CN103493602A - Plasma processing apparatus - Google Patents

Plasma processing apparatus Download PDF

Info

Publication number
CN103493602A
CN103493602A CN201180070316.2A CN201180070316A CN103493602A CN 103493602 A CN103493602 A CN 103493602A CN 201180070316 A CN201180070316 A CN 201180070316A CN 103493602 A CN103493602 A CN 103493602A
Authority
CN
China
Prior art keywords
processing apparatus
plasma processing
gas
cathode electrode
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180070316.2A
Other languages
Chinese (zh)
Other versions
CN103493602B (en
Inventor
铃木正康
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shimadzu Corp
Original Assignee
Shimadzu Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shimadzu Corp filed Critical Shimadzu Corp
Publication of CN103493602A publication Critical patent/CN103493602A/en
Application granted granted Critical
Publication of CN103493602B publication Critical patent/CN103493602B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

This plasma processing apparatus is provided with: an anode electrode; a cathode electrode having a through hole that is provided with openings in the surfaces that face the anode electrode; a gas supply apparatus, which introduces a process gas to between the anode electrode and the cathode electrode; and an alternating current power supply, which supplies alternating current power to between the anode electrode and the cathode electrode, and brings the process gas into the plasma state at an area between the anode electrode and the cathode electrode.

Description

Plasma processing apparatus
Technical field
The present invention relates to a kind ofly produce plasma and carry out the plasma processing apparatus of processing substrate.
Background technology
In the manufacturing step of semiconductor element, easily carry out high-precision technology controlling and process because having advantages of, so the middle plasma processing apparatus that uses such as film forming step, etching step, ashing (ashing) steps.As plasma processing apparatus, for example known have plasma activated chemical vapour deposition (chemical vapor deposition, CVD) device, plasma-etching apparatus, a plasma ashing apparatus etc.For example, in plasma CVD equipment, utilize High frequency power etc. by the unstrpped gas plasma, and utilize chemical reaction to form film on substrate.
In addition, proposition is in order to obtain equably plasma density, and used the plasma processing apparatus of the shower electrode (shower electrode) of the internal feed process gas (process gas) from cathode electrode, or utilized the plasma processing apparatus (for example,, with reference to patent documentation 1) of hollow cathode discharge in order to produce more highdensity plasma in shower electrode.
The background technology document
Patent documentation
Patent documentation 1: Japanese Patent Laid-Open 2004-296526 communique
Summary of the invention
Invent problem to be solved
Yet, carry out plasma treatment in order to utilize shower electrode, and must form on the surface of cathode electrode the fine hole that a plurality of diameters are 0.3mm~0.4mm left and right.Therefore, the manufacture of cathode electrode or maintenance difficulty, and cost is high.And, because of the obstruction of shower electrode, can't use continuously sometimes.In the plasma processing apparatus that has utilized hollow cathode discharge also equally real estate give birth to these problems.And, quote in example, be only with a negative electrode face in opposite directions on generate the formation of plasma, and be difficult on the two sides of cathode electrode evenly and the plasma of generating high density stably.
In view of described problem, the object of the present invention is to provide can be on the two sides of cathode electrode the plasma processing apparatus of the plasma of generating high density evenly and stably.
For solving the means of problem
According to an embodiment of the present invention, provide a kind of plasma processing apparatus, comprising: anode electrode, installation base plate; Cathode electrode, with mode in opposite directions of anode electrode and configure, have at mask in opposite directions the through hole that peristome is being set; Gas supply device, introducing technology gas between antianode electrode and cathode electrode; And AC power, supply with alternating electromotive force between antianode electrode and cathode electrode, become plasmoid at anode electrode and cathode electrode chien shih process gas; Chamber, storage anode electrode and cathode electrode; Exhaust pump and exhaust velocity control part, described exhaust pump carries out vacuum exhaust to chamber, and described exhaust velocity control part is adjusted exhaust velocity; And pressure tester, the pressure of mensuration chamber interior.
The effect of invention
According to the present invention, can provide can be on the two sides of cathode electrode the plasma processing apparatus of the plasma of generating high density evenly and stably.
The accompanying drawing explanation
Fig. 1 is the schematic diagram of formation of the plasma processing apparatus of the first execution mode of the present invention.
Fig. 2 is the schematic diagram (one) in plasma zone of through hole that the plasma processing apparatus of the first execution mode of the present invention is described.
Fig. 3 is the schematic diagram (its two) in plasma zone of through hole that the plasma processing apparatus of the first execution mode of the present invention is described.
Fig. 4 is the schematic diagram (its three) in plasma zone of through hole that the plasma processing apparatus of the first execution mode of the present invention is described.
Fig. 5 is the schematic diagram (its four) in plasma zone of through hole that the plasma processing apparatus of the first execution mode of the present invention is described.
Fig. 6 is the schematic diagram that the hollow cathode discharge of comparative example is described.
Fig. 7 is the schematic diagram of structure example of cathode electrode of the plasma processing apparatus of the first execution mode of the present invention.
Fig. 8 is the schematic diagram of configuration example of the peristome that is formed at the through hole in cathode electrode of the plasma processing apparatus of the first execution mode of the present invention.
Fig. 9 is the schematic diagram of discharge condition of the plasma processing apparatus of the first execution mode of the present invention.
Figure 10 is the schematic diagram of other discharge conditions of the plasma processing apparatus of the first execution mode of the present invention.
Figure 11 is the form of condition of hollow cathode discharge of the plasma processing apparatus of the first execution mode of the present invention.
Figure 12 is the curve chart of the relation of the average free engineering of electronics and pressure.
Figure 13 is the form of example of the calculated value of Debye length (Debye length).
Figure 14 is the schematic diagram of formation of plasma processing apparatus of the first variation of the first execution mode of the present invention.
Figure 15 is the schematic diagram of formation of plasma processing apparatus of the second variation of the first execution mode of the present invention.
Figure 16 is the schematic diagram of formation of the plasma processing apparatus of the second execution mode of the present invention.
Figure 17 is the schematic diagram of other formations of the plasma processing apparatus of the second execution mode of the present invention.
Figure 18 is the schematic diagram of formation of plasma processing apparatus of the variation of the second execution mode of the present invention.
Embodiment
Then, with reference to accompanying drawing, the first execution mode of the present invention and the second execution mode are described.In the record of following accompanying drawing, same or similar part is enclosed to same or similar symbol.Wherein, should be careful accompanying drawing for schematically scheming.And, the first execution mode shown below and the second execution mode be illustration for the device that technological thought of the present invention is specific or method, embodiments of the present invention not by the structure of constituent part, configuration etc. specific be following content.
(the first execution mode)
The plasma processing apparatus 10 of the first execution mode of the present invention comprises as shown in Figure 1: anode electrode 11, installation process substrate; Cathode electrode 12, having with anode electrode 11 mask in opposite directions the through hole 120 that peristome is being set; Gas supply device 13,12 introducing technology gases 100 of antianode electrode 11 and cathode electrode; And AC power 14,12 of antianode electrode 11 and cathode electrodes are supplied with alternating electromotive force, at anode electrode 11 and cathode electrode 12 chien shih process gass 100, become plasmoid.As shown in Figure 1, anode electrode 11 is plate with cathode electrode 12, and plasma processing apparatus 10 utilizes capacitive coupling plasma (Capacitively Coupled Plasma).The interelectrode distance of capacitive coupling it is desirable to roughly even.
Surface is arranging the cathode electrode 12 of peristome, as the hollow cathode electrode that generates hollow cathode discharge, brings into play function.Below hollow cathode discharge is described.
In common capacitive coupling plasma, take the surface that utilizes target electrode 12 incident ion and the secondary electron (Secondary electron) of emitting is starting point, chainly by the gas molecule ionization, maintaining and ionizing thus.In situation of the present invention, it is exactly this situation that the plasma on the surface of the cathode electrode 12 the inside except through hole 120 generates.On the other hand, the plasma of through hole 120 inside is generated as hollow cathode discharge, in hollow cathode discharge, in through hole 120 inside of cathode electrode 12, electronics is sealing into through hole 120 inside and has kinergety, forms thus the space of high density electronics.Namely, the blocking of the cathode drop produced on the sidewall by the through hole 120 that is arranged at cathode electrode 12 (cathode drop) and debye, electron impact can not disappear to the sidewall of through hole 120.Namely, by through hole 120 inside, repeat electronics from bounce-back in opposite directions be known as " swinging (Pendulum movement) effect " such resilience, and forming the high density Cyberspace in through hole 120 inside.Repeat inelastic collision with the electronics of gas molecule collision, to maintain, to promote ionization.These electronics, repeat ionization and amplify and cumulative ionization to all directions scattering in through hole 120 inside.
With reference to Fig. 2~Fig. 5, described phenomenon is described.Fig. 2 amplifies the regional A shown in Fig. 1 in the figure of gained.Form glow discharge (glow discharge) zone 101 at anode electrode 11 and 12 of cathode electrodes, in through hole 120 inside that are formed at cathode electrode 12, forming hollow discharge zone 102.In addition, forming respectively sheath layer region (sheath area) 200 at anode electrode 11 and cathode electrode 12 and 101, glow discharge zone.And through hole 120 inside, forming sheath layer region 200 at cathode electrode 12 and 102, hollow discharge zone.The interval that anode electrode 11 and cathode electrode are 12 is made as apart from S.
As shown in Figure 3, the ion 50 that invades through hole 120 utilizes sheath layer region 200 and accelerates, and collides the internal face of cathode electrode 12.
From the secondary electron 60 of wall radiation as shown in Figure 4, utilize sheath layer electric field (sheath electric field) and accelerate to the direction vertical with wall.The secondary electron 60 that obtains enough energy after accelerating collides neutral gas molecule 70, thereby causes electron avalanche.Thus, the electron density of through hole 120 inside increases rapidly.
As shown in Figure 5, the wall electronics 61 nearby of the arrival opposition side from the secondary electron 60 of wall radiation, resilience in the sheath layer electric field of opposition side and in being pushed back to plasma.This is known as the swing effect, and the existence of the electronics in through hole 120 is established to be increased tremendously.Utilize these effects, in through hole 120, maintaining high electron density, become the plasma structure different from being formed at glow discharge between parallel flat.
The gas molecule that invades the high electron density zone repeats ionization and is combined, then in conjunction with the time as the luminous of high briliancy, be observed.The presoma 80 generated in high-density plasma is the free radical kind, irrelevant and to the diffuse outside of through hole 120 with electrode potential, forms film being disposed at for example substrate surface of anode electrode 11.
In order to the diameter of the through hole 120 that obtains efficiently uniform high electron density, according to the average free engineering of pressure, temperature, process gas kind and this electronics, studied.Diameter about through hole 120 will be narrated in following.
In addition, according to described principle, for cathode electrode 12, be preferably the maintenances such as cheapness and handling ease and cleaning and be easy to carbon material etc.For example can utilize fluoric acid to process, clean the cathode electrode 12 that comprises the carbon material.And, by using the carbon material, can Yin Gaowen in plasma treatment step and produce distortion.Perhaps, be the material that aluminium alloy of easily forming metal oxide film etc. is suitable for the hollow cathode electrode.In addition, can will add carbon that carbon fiber is arranged, stainless steel alloy, copper, copper alloy, glass, pottery etc. for cathode electrode 12.Perhaps, also can utilize alumite processing, plating, thermal spraying (thermal spraying) to come described material is implemented to coating.
About anode electrode 11, also be applicable to using the carbon material.And, can will add carbon that carbon fiber is arranged, aluminium alloy, stainless steel alloy, copper, copper alloy, glass, pottery etc. for anode electrode 11.Perhaps, also can utilize alumite processing, plating, thermal spraying to come described material is implemented to coating.
In plasma processing apparatus 10 shown in Fig. 1, a plurality of through holes 120 that hollow cathode discharge can be produced are formed at the surface of cathode electrode 12 with certain density, can easily reach uniform high electron density electric field on the two sides of cathode electrode 12 thus.This be because, utilize the character via the bipolarity diffusion of the plasma of through hole 120, the difference of the height of the plasma density on the two sides of cathode electrode 12 is revised automatically.
On the other hand, the comparative example shown in research Fig. 6, the surface at cathode electrode 12A in this comparative example forms recess 601, in the bottom surface of recess 601, gas vent 602 is set.This comparative example is the example of employing from the shower electrode of the internal feed process gas 100 of cathode electrode 12A.In comparative example shown in Fig. 6, the inside of recess 601 is for utilizing the space of hollow cathode discharge generating high density plasma.Be configured to as follows: the gas vent 602 ejection process gass 100 of the minute diameter by the bottom surface from being formed at recess 601, process gas 100 is effectively by the high-density plasma space.
Yet, in the comparative example shown in Fig. 6, be difficult to a plurality of recesses 601 are supplied with to process gas 100 equably, in the flow of the opening diameter of gas vent 602 or length, process gas 100 or pressure etc., various restrictions are arranged.And then gas vent 602 is atomic little diameter, thereby easily cause obstruction.In the situation that can't introducing technology gas 100 because of obstruction, be difficult to produce hollow cathode discharge in the recess 601 that occurs to stop up, thereby can't maintain the uniformity of the electric discharge of whole of cathode electrode 12A.
On the other hand, in the plasma processing apparatus 10 shown in Fig. 1, generated near the through hole 120 of high-density plasma stably flow-through process gas utilizing hollow cathode discharge.Therefore, the whole face separately on the two sides of cathode electrode 12 is maintaining the uniformity of electric discharge.
Through hole 120 preferably forms a plurality of on the surface of cathode electrode 12 as much as possible.Such as six side's solid matter configurations etc., with the surperficial solid matter at cathode electrode 12 configuring peristome mode form through hole 120.Thus, form highdensity plasma on the surface uniform ground of cathode electrode 12.
Fig. 7 is the example on surface of cathode electrode 12 that is forming the peristome of through hole 120.Now, for example, shown in Fig. 8, in the situation that the diameter of through hole 120 is 5mm, the center distance of 120 of the through holes of adjacency on above-below direction is set as to 3mm, on tilted direction, the distance of the left and right directions of 120 of the through holes of adjacency is set as 5.2mm.
In addition, as shown in Figure 7, the gas supply nozzle 130 of the ejection process gas 100 of gas supply device 13 is towards the bottom surface of cathode electrode 12, and has in the situation of a plurality of gas supply nozzles 130, and gas supply nozzle 130 is arranged along the bottom surface of cathode electrode 12.By making the bottom surface of gas supply nozzle 130 towards cathode electrode 12, but and process gas 100 is supplied with on the approximate equality ground, two sides of target electrode 12.
In the situation that process gas 100, for mixing the gas of multiple gases, can be supplied with the process gas 100 that mix all gas from gas supply nozzle 130, also can be from for every kind of gas and different gas supply nozzles 130 supply gas respectively.
About the plasma processing apparatus 10 shown in Fig. 1, for the size of the through hole 120 that effectively determines to form hollow cathode discharge, and behavior that must the research electronics.The below behavior of the electronics of explanation through hole 120.
Fig. 9 is the details of discharge condition of the regional A of Fig. 1.Electronics can't invade the place, length lambda d inside, ratio debye with respect to cathode electrode 12, but resilience.And collision for the first time occurs with gas molecule in the electronics of emitting from the internal face of through hole 120 near the average free engineering of electronics, and the gas molecule ionization is generated to plasma.In Fig. 9, meaned the diameter d of through hole 120 is deducted the distance of the Debye length λ d gained of both sides by length a.If the average free engineering of electronics (mean free pass) is made as to b, following formula (1) is set up:
a=2b+c…(1)
In formula (1), length c is the diametric distance in the zone except the sheath layer region of through hole 120 inside.The diameter d of through hole 120 is meaned by following formula (2):
d=a+2×λd=2b+c+2×λd…(2)
In the situation that c=0, can't guarantee to have the mobile space of the electronics of enough kinergeties, and can't guarantee in through hole 120 inside enough plasma spans.
In addition, in the situation that the diameter d of the through hole 120 as c>5b is thick, as shown in figure 10, in through hole 120 inside, highdensity plasma is in the mode of the wall that is bonded at through hole 120 and generate.Therefore, in the central space of the through hole 120 meaned by length f, plasma density thins out.
On the other hand, shown in Figure 11, if the diameter d of through hole 120 reduces, the electronics moving range meaned by the length c in through hole 120 reduces.Therefore, can't produce enough plasma spaces.
Gaseous species shown in Figure 11 is the pressure P that the hollow cathode discharge in ammonia, the temperature situation that is 673K produces, the form of the condition of the diameter d of through hole 120.In Figure 11, using the diameter d of through hole 120 with respect to the ratio of the average free engineering Y of electronics be 2.38, collision frequency is 3.7 as the conditions that produce hollow cathode discharge.As shown in figure 11, c is less for the less length of the diameter d of through hole 120, more is difficult to guarantee the plasma span.
If length c is best, guarantee to have the mobile space of the electronics of enough kinergeties, in addition, guarantee enough wide high-density plasma space.
The average free engineering Y of electronics when Figure 12 institute temp. displaying function is 673K and the relation of pressure P.In Figure 12, the average free engineering that circular mark is ammonia (NH3), the average free engineering that the triangle mark is single silane (SiH4) gas.In addition, the average free engineering Y in Figure 11 under illustrative pressure P=67Pa, 87Pa, 130Pa, circular mark and triangle mark by hollow in Figure 12 mean.
In addition, the relation of Debye length λ d and electron temperature Te and electron density ne is meaned by following formula (3):
λd=7.4×103×(Te/ne)1/2…(3)
Figure 13 is the example of the calculated value of Debye length λ d.Calculate Debye length λ d by electron temperature and the electron density of common high density glow discharge plasma herein.In addition, the average free engineering λ g of gas molecule means by formula (4), and the average free engineering λ e of electronics is meaned by formula (5):
λg=3.11×10-24×T4/(P×D)…(4)
λe=λg×4×21/2…(5)
In formula (4), T is environmental gas temperature (K), and P is pressure (Pa), the diameter that D is gas molecule (m).
By setting as described best length c, and can determine the diameter d of through hole 120.Namely, pressure according to the rules, environmental gas temperature, gaseous species, and can prepare the custom-designed cathode electrode 12 in the mode of optimum efficiency ground generation hollow cathode discharge.
In plasma processing apparatus 10 shown in Fig. 1, must produce hollow cathode discharge by the swing effect of electronics at the inside of through hole 120 optimum efficiency land productivity.Now, the average free engineering of electronics is decided by the size of environmental gas temperature and pressure, gas molecule.The people such as inventor use the cathode electrode 12 that is forming a plurality of through holes 120, and the mist of single silane (SiH4) gas and ammonia (NH3) is used for to process gas 100 and tests.The environmental gas temperature T is being set as to 350 ℃~450 ℃, pressure P is set as in the situation of 67Pa, when the diameter of through hole 120 is 5.0mm, the thickness of cathode electrode 12, namely the length t of through hole 120 is 5mm, 12 of anode electrode 11 and cathode electrodes be 16mm apart from S the time, can obtain on the two sides of cathode electrode 12 uniform Multihollow (multi hollow) electric discharge." Multihollow electric discharge " be the hollow cathode discharge that produces respectively in each through hole 120 in conjunction with and the electric discharge that produces on the surface of cathode electrode 12.
And, in the situation that the diameter of through hole 120 is 3.9mm, 2.9mm, as shown in figure 11, near being respectively 87Pa, 130Pa, pressure P obtains uniform Multihollow electric discharge.When this is 400 ℃ in the environmental gas temperature T, become 4.72 times of average free engineering of the electronics in single silane gas, 2.38 times of the average free engineering of the electronics in ammonia (ratio of the average free engineering of the electronics in the average free engineering of the electronics in single silane gas and ammonia is 1.98).
Because reality is used mist in process gas 100, so be to take the average free engineering of the electronics in the many ammonia of gas flow ratio to estimate the diameter d of through hole 120 as benchmark.Specifically, using the mist of single silane gas and ammonia, is 400 ℃, pressure P while being 67Pa in the environmental gas temperature T, and the diameter d of through hole 120 is made as to 5mm, obtains uniform Multihollow electric discharge on the two sides of cathode electrode 12.The process gas of CVD mixes to import usually the gaseous species such as single silane, hydrogen, nitrogen, but, in the research of through hole 120 diameters, is conceived to the longest gaseous species of average free engineering in mist, derives the optimum value of the diameter of through hole 120.
In addition, for the easiness of processing or with desired pressure, obtain the Multihollow electric discharge, preferably the diameter d of through hole 120 is made as to 3.8mm~8.0mm left and right.These sizes are easier than the pore volume that is formed for manufacturing the required 0.3mm~0.4mm of shower electrode.Therefore, can reduce the manufacturing cost of plasma processing apparatus 10.
In addition, the section that has shown through hole 120 in described is circular example.Yet the section of through hole 120 also can be the polygon that diameter is roughly 3.8mm~8.0mm left and right.
And, also can on cathode electrode 12, form a plurality of through holes 120 mutually the same along the section shape of long axis direction, or, make to mix and exist and form along the size of the section shape of long axis direction or through hole 120 that shape differs from one another.Exist by through hole that diameter d is different 120 is mixed, under pressure or different a plurality of conditions such as temperature, gaseous species, all can obtain respectively the Multihollow electric discharge.
The length of the long axis direction of through hole 120, namely the thickness t of cathode electrode 12 is in order easily to produce hollow cathode discharge, and is set as 3mm~10mm left and right, is preferably set to the 5mm left and right.
12 of anode electrode 11 and cathode electrodes be preferably 10mm~40mm left and right apart from S.Thus, can produce equably plasma at anode electrode 11 and 12 of cathode electrodes.
In the existing method of the comparative example shown in Fig. 6 etc., from the recess 601 by hollow cathode discharge generating high density plasma, as emit equably process gas 100 spraying, thus can be first in whole the uniformity that obtains plasma of cathode electrode 12A.
On the other hand, in the plasma processing apparatus 10 shown in Fig. 1, the introducing technology gas 100 via cathode electrode 12 not.Many greatly because of the diameter in the diameter d of through hole 120 hole more required than shower electrode, so do not worry stopping up, maintenance is also easy in addition.
In plasma processing apparatus 10, preferably 12 of anode electrode 11 and cathode electrodes, from below towards top introducing technology gas 100.By from below introducing technology gas 100, and the gas molecule of the plasma of light specific gravity, free radical particle are as top stream and naturally upwards mobile along the surface of cathode electrode 12.Therefore, even if do not use the complicated structure as shower electrode, but also process gas is supplied with on the surface uniform ground of target electrode 12.And the space of the high-density plasma generated by hollow cathode discharge is through hole 120, thereby has guaranteed the continuity of plasma at the table back side of cathode electrode 12, and reciprocally automatically revises the height of plasma density.Therefore, in plasma processing apparatus 10, on the two sides of cathode electrode 12, can generate uniform highdensity plasma.
In addition, in order to make process gas 100, flow swimmingly, preferably the smooth surface of cathode electrode 12, except the surface, inside of through hole 120, be processed as surface roughness below 3 μ m.For example, the flattening surface of cathode electrode 12 is served as reasons " ▽ ▽ ▽ " mean the degree of processing mark.Namely, preferably maximum height Ry is 6.3S, and 10 mean roughness Rz are 6.3Z, and arithmetic average roughness Ra is less than 1.6a.By reducing the surface roughness of cathode electrode 12, and can improve the film forming speed of the film that is formed at substrate 1.
As described above, the plasma processing apparatus 10 of first embodiment of the invention, by cathode electrode 12, forming through holes 120, and can be on the two sides of cathode electrode 12 plasma of generating high density evenly and stably.In addition, with the device of the shower electrode of using essential thousands of above minute aperture processing, compare, short during the manufacture of plasma processing apparatus 10, and the fine ratio of product raising.Therefore, the increase of the manufacturing cost of plasma processing apparatus 10 is inhibited.
And, according to plasma processing apparatus 10, can carry out large tracts of land and the generation of high-density plasma uniformly with the frequency-independent ground of the alternating electromotive force of the supply of AC power 14.Even if the frequency setting of the alternating electromotive force that AC power 14 is supplied with, for for example 60Hz~27MHz left and right, also can generate even and highdensity plasma.Namely, need not use the AC power of the alternating electromotive force of very high frequency(VHF) (very high frequency, the VHF) wave band of supplying with high price.On the other hand, in the plasma processing apparatus of existing parallel plate-type, in order to realize large tracts of land and highdensity capacitive coupling high-frequency discharge, for example must replace radio frequency (the radio frequency of 13.56MHz, RF) frequency of wave band, and use the frequency of the above VHF wave bands such as 27MHz of 13.56MHz, the plasma density caused with raising and the standing wave (standing wave) of eliminating because of plasma density inhomogeneous.
In plasma processing apparatus 10, even if be the picture low frequency RF wave band of the cheapness 250KHz for example, also can obtain and the existing plasma processing apparatus of the AC power of using the VHF wave band high-density plasma more than equal.
In addition, also the alternating electromotive force of AC power 14 outputs can be supplied to 12 of anode electrode 11 and cathode electrodes via pulse generator (Pulse Generator).For example, the output of pulse generator is supplied to cathode electrode 12, and makes anode electrode 11 ground connection.The supply of alternating electromotive force is stopped by the cycle with certain, and stably form plasma.This is the temperature decline for the electronics by the supply of alternating electromotive force, stopping period being set, thereby the stability of electric discharge improves.
For example, by the ON time of supplying with alternating electromotive force be made as 600 microseconds, opening time of stopping the supply of alternating electromotive force is made as 50 microseconds, and so that ON time and opening time alternately repeated mode antianode electrode 11 and 12 supply alternating electromotive forces of cathode electrode.In addition, preferably in the scope of 100 microseconds~1000 microsecond left and right, set ON time, in the scope of 10 microseconds~100 microsecond left and right, set opening time.
By as described the supply of the alternating electromotive force towards 12 of anode electrode 11 and cathode electrodes being carried out to pulse control, and make periodically conducting of supply, the disconnection of alternating electromotive force, and can suppress the generation of paradoxical discharge.
The<the first variation >
Figure 14 is the example that anode electrode 11 is a plasma processing apparatus 10 in situation.When as shown in figure 14 only during the one-sided surface excitation plasma at cathode electrode 12, at the face of the not activated plasma from cathode electrode 12, count the position configuration negative electrode backboard 121 into distance k.Now, in order at cathode electrode 12 and 121 of negative electrode backboards, not produce plasma, and with k<b(b: the mode average free engineering of electronics) is carried out setpoint distance k.Now, supply with alternating electromotive force from AC power 14 target electrodes 12 and negative electrode backboard 121.In addition, 12 of antianode electrode 11 and cathode electrodes, and cathode electrode 12 and 121 introducing technology gases 100 of negative electrode backboard.
The<the second variation >
Figure 15 is the example that plasma processing apparatus 10 has a plurality of cathode electrodes 12.In plasma processing apparatus 10 shown in Figure 15, anode electrode 11 is alternately configuring with cathode electrode 12, and outermost is configuring anode electrode 11.Therefore, the piece number of anode electrode 11 is than more than 12 1 of cathode electrodes.In Figure 15, be the example that cathode electrode 12 is 3, but the piece number of cathode electrode 12 is not limited to 3 certainly.
By adopting the formation shown in Figure 15, can increase the quantity in the plasma zone that is formed at anode electrode 11 and cathode electrode 12.Thus, the disposal ability of plasma processing apparatus 10 improves.
(the second execution mode)
Plasma processing apparatus 10 shown in Fig. 1 is applicable to plasma activated chemical vapour deposition (CVD) device, plasma-etching apparatus, plasma ashing apparatus etc.
Figure 16 is the example for plasma CVD equipment by the plasma processing apparatus 10 shown in Fig. 1.Anode electrode 11 and cathode electrode 12 are disposed in chamber 20, and on anode electrode 11, the configuration film forming is processed the substrate 1 of object.Anode electrode 11 ground connection.
The gas that use comprises the unstrpped gas that film forming uses is used as process gas 100, from gas supply device 13 via gas supply nozzle 130 to the interior introducing technology gas 100 of chamber 20.
Pressure in chamber 20 is measured by capacitance meter (capacitance gauge) equal pressure analyzer 16, utilizes as the exhaust velocity control part (APC) 15 by the exhaust pump of chamber 20 vacuum exhausts and adjustment exhaust velocity and adjusts the pressure in chamber 20.After the pressure of the process gas 100 in chamber 20 is adjusted to the air pressure of regulation, utilize AC power 14 that the alternating electromotive force of regulation is supplied to 11 of cathode electrode 12 and anode electrodes.Thus, the process gas 100 in chamber 20 is by plasma.By substrate 1 is exposed in formed plasma, contained raw material is principal component in unstrpped gas the desired film-shaped of take is formed in the surface of exposing of substrate 1.
In addition, also can utilize the substrate heater 21 shown in Figure 16, set the temperature of the substrate 1 in the film forming processing.By the temperature of Temperature Setting for stipulating of the substrate 1 during film forming is processed, and can accelerate film forming speed, or improve membranous.
Such as already described, in the plasma processing apparatus 10 shown in Fig. 1, the even and highdensity plasma at the Surface Creation of cathode electrode 12.Therefore, according to the plasma CVD equipment shown in Figure 16, unstrpped gas is decomposed efficiently, at a high speed and be formed uniformly film in large area on substrate 1.Therefore, the thickness of formed film, membranous uniformity improve, and film forming speed improves.
Utilization has adopted the plasma CVD equipment of plasma processing apparatus 10, and by suitably selecting unstrpped gas, and can form desired film.For example, Si semiconductor film, silicon nitride film, silicon oxide film, silicon oxynitride film, carbon film etc. can be formed on substrate 1.Specifically, use the mist of ammonia (NH3) and single silane (SiH4) gas, form silicon nitride (SiN) film on substrate 1.Perhaps, use mist or tetraethoxysilane (Tetraethoxysilane, TEOS) gas and the oxygen of single silane (SiH4) gas and N2O gas, form silica (SiOx) film on substrate 1.
Figure 17 has installed the example of AC power 17 dividually with the AC power 14 that is installed on cathode electrode 12 on anode electrode 11.Supply with alternating electromotive forces by antianode electrode 11, and can improve the membranous of the film that is formed on substrate 1.The frequency of the alternating electromotive force that AC power 17 is supplied with can be equal or lower than it with the frequency of the alternating electromotive force of the supply of AC power 14.The frequency setting of the alternating electromotive force that for example, AC power 17 is supplied with is 60Hz~27MHz left and right.
In addition, from AC power 14, do not supply with alternating electromotive force, and only from AC power 17, supply with alternating electromotive force, can clean anode electrode 11 thus.Specifically, the gas that sputter is used imports in chamber 20, on one side, by supplying with from AC power 17 sputter etching that alternating electromotive force carries out on one side, clean anode electrode 11.
And, in the situation that the anode electrode 11 shown in Figure 14 is one, the plasma processing apparatus 10 shown in Figure 18 of AC power 14, AC power 17 also will be installed respectively on cathode electrode 12 and anode electrode 11, for plasma CVD equipment.In addition, such as already described, till setting from the face of the not activated plasma of cathode electrode 12 to negative electrode backboard 121 in the mode of k<b apart from k.
In addition, be applied in plasma CVD equipment by the plasma processing apparatus with a plurality of cathode electrodes 12 10 by shown in Figure 15, and the quantity of the substrate of one-pass film-forming increases, thereby can improve the film forming disposal ability.
The example that plasma processing apparatus 10 shown in Fig. 1 is applied to plasma CVD equipment more than has been described.In the formation shown in Figure 15 or Figure 16, by the gaseous species of Replacement procedure gas 100, and the plasma processing apparatus 10 shown in Fig. 1 can be applied to plasma-etching apparatus or plasma ashing apparatus etc.
For example, by using plasma etching with gas in process gas 100 imports to chamber 20, can realize being formed at the plasma-etching apparatus that the film etching on substrate 1 is removed.Plasma etching can suitably be selected according to the material of etch target with gas, such as adopting the fluorine such as Nitrogen trifluoride (NF3) gas or carbon tetrafluoride (CF4) gas, is gas.
And, by using plasma ashing with gas in process gas 100 imports to chamber 20, can realize using the plasma ashing apparatus of plasma processing apparatus 10.For example, by using oxygen and argon gas, be used as process gas 100, can will be formed at the ashing such as carbon film on substrate 1 or photoresistance film with shade as etching.
As discussed above, by use can be on the two sides of cathode electrode 12 plasma processing apparatus 10 of the plasma of generating high density evenly and stably, and can improve processing speed or the precision of plasma CVD equipment, plasma-etching apparatus, plasma ashing apparatus etc.
As described, the present invention puts down in writing by the first execution mode and the second execution mode, but the discussion and the accompanying drawing that are interpreted as becoming the part of the disclosure do not limit the present invention.According to the disclosure content, certainly understand to those skilled in the art various replacement execution modes, embodiment and application technology.Namely, the present invention comprises herein the various execution modes of not record etc. certainly.Therefore, technical scope of the present invention is only stipulated by the specific item of appropriate invention according to described explanation.
Utilizability on industry
The two sides that plasma processing apparatus of the present invention is used in cathode electrode is equably in the purposes of the plasma of generating high density.

Claims (13)

1. a plasma processing apparatus, is characterized in that, comprising:
Anode electrode, installation base plate;
Cathode electrode, with mode in opposite directions of described anode electrode and configure, have at mask in opposite directions the through hole that peristome is being set;
Gas supply device, to introducing technology gas between described anode electrode and described cathode electrode; And
AC power, between described anode electrode and described cathode electrode, supplying with alternating electromotive force, become plasmoid at described anode electrode and the described process gas of described cathode electrode chien shih.
2. plasma processing apparatus according to claim 1 is characterized in that:
Described gas supply device imports to described process gas between described anode electrode and described cathode electrode towards top from below.
3. plasma processing apparatus according to claim 2 is characterized in that:
Described gas supply device, from the gas supply nozzle of the configuration of the bottom surface along described cathode electrode, sprays described process gas towards the bottom of described cathode electrode.
4. plasma processing apparatus according to claim 1 is characterized in that:
The two sides that described peristome is being set at described cathode electrode is configuring respectively described anode electrode opposite to each other.
5. plasma processing apparatus according to claim 1 is characterized in that:
Described plasma processing apparatus comprises a plurality of described cathode electrodes.
6. plasma processing apparatus according to claim 1 is characterized in that:
In described anode electrode and described cathode electrode at least any comprises carbon.
7. plasma processing apparatus according to claim 1 is characterized in that:
The diameter of described through hole is more than 3.8mm and below 8.0mm.
8. plasma processing apparatus according to claim 1 is characterized in that:
The surperficial solid matter of described cathode electrode configuring described peristome.
9. plasma processing apparatus according to claim 1 is characterized in that:
On described cathode electrode, forming along size or the mutually different multiple described through hole of shape of the section shape of long axis direction.
10. plasma processing apparatus according to claim 1 is characterized in that:
The frequency of the described alternating electromotive force that described AC power is supplied with is more than 60Hz and below 27MHz.
11. plasma processing apparatus according to claim 1 is characterized in that:
The gas that use comprises the unstrpped gas that film forming uses is used as described process gas, on the described substrate be disposed on described anode electrode, forms and usings in described unstrpped gas contained raw material as the film of principal component.
12. plasma processing apparatus according to claim 1 is characterized in that:
Use is carried out etched gas to the film that is formed at the surface that is configured in the described substrate on described anode electrode and is used as described process gas.
13. plasma processing apparatus according to claim 1 is characterized in that:
The gas that use comprises oxygen and argon gas is used as described process gas, will be formed at the film ashing on the surface that is configured in the described substrate on described anode electrode.
CN201180070316.2A 2011-07-14 2011-09-22 Plasma processing apparatus Expired - Fee Related CN103493602B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011155514 2011-07-14
JP2011-155514 2011-07-14
PCT/JP2011/071657 WO2013008344A1 (en) 2011-07-14 2011-09-22 Plasma processing apparatus

Publications (2)

Publication Number Publication Date
CN103493602A true CN103493602A (en) 2014-01-01
CN103493602B CN103493602B (en) 2016-06-08

Family

ID=47505662

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180070316.2A Expired - Fee Related CN103493602B (en) 2011-07-14 2011-09-22 Plasma processing apparatus

Country Status (4)

Country Link
JP (1) JP5804059B2 (en)
KR (1) KR101485140B1 (en)
CN (1) CN103493602B (en)
WO (1) WO2013008344A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140216343A1 (en) 2008-08-04 2014-08-07 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US20170309458A1 (en) 2015-11-16 2017-10-26 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
CN107615888A (en) * 2014-12-05 2018-01-19 北美Agc平板玻璃公司 The method for reducing the plasma source of coating using grand particle and plasma source being used for depositing thin film coatings and surface modification
CN109358237A (en) * 2018-09-26 2019-02-19 台州学院 The experiment porch and application method that a kind of plasma collision frequency influences electromagnetic propagation
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10586685B2 (en) 2014-12-05 2020-03-10 Agc Glass Europe Hollow cathode plasma source
TWI727332B (en) * 2018-06-01 2021-05-11 日商島津製作所股份有限公司 Method for forming conductive film, and method for manufacturing wiring board

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014188576A1 (en) * 2013-05-24 2014-11-27 株式会社島津製作所 Plasma processing apparatus
CN106470953B (en) * 2014-04-30 2019-03-12 康宁股份有限公司 For manufacturing the etch-back technics of the grafting material of break-through glass through-hole
JP2016197528A (en) * 2015-04-03 2016-11-24 株式会社島津製作所 Plasma processing apparatus
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
KR102377982B1 (en) * 2020-06-05 2022-03-23 한국기계연구원 PLASMA REACTOR AND PFCs REDUCTION SCRUBBER
FR3115180B1 (en) * 2020-10-14 2022-11-04 Peter Choi Plasma generating device

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02301134A (en) * 1989-05-16 1990-12-13 Kokusai Electric Co Ltd Plasma controller for plasma generator
CN1109365A (en) * 1994-01-20 1995-10-04 顾恩友 Cold plasma sterilizing device
JP2001155997A (en) * 1999-11-24 2001-06-08 Kanegafuchi Chem Ind Co Ltd Plasma cvd system and method for fabricating silicon based thin film photoelectric converter
JP2001271168A (en) * 2000-03-24 2001-10-02 Komatsu Ltd Surface treating device
JP2002280377A (en) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc Substrate treatment apparatus
JP2006057122A (en) * 2004-08-18 2006-03-02 Kyoto Institute Of Technology Plasma chemical vapor deposition system and plasma chemical vapor deposition method
CN201172685Y (en) * 2008-03-31 2008-12-31 北京世纪辉光科技发展有限公司 Vertical producing apparatus for double-face plasma surface treated sheet metal
WO2009069211A1 (en) * 2007-11-29 2009-06-04 Shimadzu Corporation Plasma process electrode and plasma process device
WO2009125477A1 (en) * 2008-04-08 2009-10-15 株式会社島津製作所 Cathode electrode for plasma cvd and plasma cvd apparatus
US20100025371A1 (en) * 2008-07-29 2010-02-04 Psk Inc. Method for generating hollow cathode plasma and method for treating large area substrate using hollow cathode plasma
JP2010109157A (en) * 2008-10-30 2010-05-13 Shibaura Mechatronics Corp Semiconductor manufacturing apparatus

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02301134A (en) * 1989-05-16 1990-12-13 Kokusai Electric Co Ltd Plasma controller for plasma generator
CN1109365A (en) * 1994-01-20 1995-10-04 顾恩友 Cold plasma sterilizing device
JP2001155997A (en) * 1999-11-24 2001-06-08 Kanegafuchi Chem Ind Co Ltd Plasma cvd system and method for fabricating silicon based thin film photoelectric converter
JP2001271168A (en) * 2000-03-24 2001-10-02 Komatsu Ltd Surface treating device
JP2002280377A (en) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc Substrate treatment apparatus
JP2006057122A (en) * 2004-08-18 2006-03-02 Kyoto Institute Of Technology Plasma chemical vapor deposition system and plasma chemical vapor deposition method
WO2009069211A1 (en) * 2007-11-29 2009-06-04 Shimadzu Corporation Plasma process electrode and plasma process device
CN201172685Y (en) * 2008-03-31 2008-12-31 北京世纪辉光科技发展有限公司 Vertical producing apparatus for double-face plasma surface treated sheet metal
WO2009125477A1 (en) * 2008-04-08 2009-10-15 株式会社島津製作所 Cathode electrode for plasma cvd and plasma cvd apparatus
US20100025371A1 (en) * 2008-07-29 2010-02-04 Psk Inc. Method for generating hollow cathode plasma and method for treating large area substrate using hollow cathode plasma
JP2010109157A (en) * 2008-10-30 2010-05-13 Shibaura Mechatronics Corp Semiconductor manufacturing apparatus

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10580624B2 (en) 2008-08-04 2020-03-03 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US20150002021A1 (en) 2008-08-04 2015-01-01 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US10438778B2 (en) 2008-08-04 2019-10-08 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US20140216343A1 (en) 2008-08-04 2014-08-07 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US10580625B2 (en) 2008-08-04 2020-03-03 Agc Flat Glass North America, Inc. Plasma source and methods for depositing thin film coatings using plasma enhanced chemical vapor deposition
US10586685B2 (en) 2014-12-05 2020-03-10 Agc Glass Europe Hollow cathode plasma source
CN107615888A (en) * 2014-12-05 2018-01-19 北美Agc平板玻璃公司 The method for reducing the plasma source of coating using grand particle and plasma source being used for depositing thin film coatings and surface modification
US11875976B2 (en) 2014-12-05 2024-01-16 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
US10755901B2 (en) 2014-12-05 2020-08-25 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
US20170309458A1 (en) 2015-11-16 2017-10-26 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10559452B2 (en) 2015-11-16 2020-02-11 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
TWI727332B (en) * 2018-06-01 2021-05-11 日商島津製作所股份有限公司 Method for forming conductive film, and method for manufacturing wiring board
CN109358237A (en) * 2018-09-26 2019-02-19 台州学院 The experiment porch and application method that a kind of plasma collision frequency influences electromagnetic propagation

Also Published As

Publication number Publication date
JP5804059B2 (en) 2015-11-04
JPWO2013008344A1 (en) 2015-02-23
KR20130137034A (en) 2013-12-13
KR101485140B1 (en) 2015-01-22
CN103493602B (en) 2016-06-08
WO2013008344A1 (en) 2013-01-17

Similar Documents

Publication Publication Date Title
CN103493602B (en) Plasma processing apparatus
US10586685B2 (en) Hollow cathode plasma source
JP5328685B2 (en) Plasma processing apparatus and plasma processing method
CN102473634B (en) Plasma treatment device and plasma treatment method
CN103003924B (en) Plasma processing apparatus and plasma processing method
CN111492469A (en) Multi-zone gas distribution system and method
CN102376559B (en) Plasma processing method and plasma processing apparatus
US20080122368A1 (en) Methods of generating plasma, of etching an organic material film, of generating minus ions, of oxidation and nitriding
US7927455B2 (en) Plasma processing apparatus
CN101243733A (en) Plasma processing apparatus
JP5377749B2 (en) Plasma generator
TWI427183B (en) Plasma processing apparatus
JP5484375B2 (en) Plasma film forming apparatus and plasma film forming method
JP2010212277A (en) Film forming apparatus
US7157659B2 (en) Plasma processing method and apparatus
JP2005220368A (en) Plasma cvd system and film deposition method
JP4672436B2 (en) Plasma processing equipment
KR102340365B1 (en) An antenna structure for a high density linear ICP source
JP2011109141A (en) Plasma cvd device, and method of manufacturing silicon-based film using the same
JP2011071544A (en) Plasma processing method and device, and plasma cvd method and device
JP6065111B2 (en) Plasma processing equipment
WO1990015018A1 (en) Coated dielectric material for an ozone generator
JP2011129954A (en) Plasma cvd apparatus and method of manufacturing silicon based film using plasma cvd apparatus
JP2020047591A (en) Hollow cathode plasma source
CN105695958B (en) A kind of PECVD gas spray, film forming chamber and working method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160608

Termination date: 20180922