CN102591615A - Structured mixed bit-width multiplying method and structured mixed bit-width multiplying device - Google Patents

Structured mixed bit-width multiplying method and structured mixed bit-width multiplying device Download PDF

Info

Publication number
CN102591615A
CN102591615A CN201210012465XA CN201210012465A CN102591615A CN 102591615 A CN102591615 A CN 102591615A CN 201210012465X A CN201210012465X A CN 201210012465XA CN 201210012465 A CN201210012465 A CN 201210012465A CN 102591615 A CN102591615 A CN 102591615A
Authority
CN
China
Prior art keywords
multiplier
multiplicand
multiplying
partial product
low level
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201210012465XA
Other languages
Chinese (zh)
Inventor
李振涛
郭海勇
陈书明
郭阳
刘祥远
唐涛
张科勋
温亮
杨唐第
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
National University of Defense Technology
Original Assignee
National University of Defense Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by National University of Defense Technology filed Critical National University of Defense Technology
Priority to CN201210012465XA priority Critical patent/CN102591615A/en
Publication of CN102591615A publication Critical patent/CN102591615A/en
Pending legal-status Critical Current

Links

Images

Abstract

The invention discloses a structured mixed bit-width multiplying method and a structured mixed bit-width multiplying device. The method includes the steps: 1) inputting a multiplier, a multiplicand and a computing control signal; 2) splitting the multiplier and the multiplicand and performing sign bit expansion for the multiplier and the multiplicand; 3) importing the multiplier and the multiplicand to two MXN multiplying units for Booth decoding and generating partial products, and compressing the partial products; 4) generating a corrected value; and 5) compressing a compressed output result and the corrected value to obtain a multiplying result. The device comprises an operand selection and expansion unit, the first MXN multiplying unit, the second MXN multiplying unit, a correcting unit and a final product arithmetic element, wherein the operand selection and expansion unit is connected with the final product arithmetic element through the MXN multiplying unit, the second MXN multiplying unit and the correcting unit. The structured mixed bit-width multiplying device has the advantages of high hardware use ratio and area use ratio, high arithmetic speed, low hardware expenditure, simple structure and orderliness in obtained territory.

Description

Structuring mixes bit wide multiplying method and device
Technical field
The present invention relates to processor and relate to the field, be specifically related to the method and the device of a kind of implementation structure mixing bit wide multiplying.
Background technology
Multiplier is the key operation parts of Modern microprocessor, and the multiplying number of times of accomplishing in the unit interval is an important indicator weighing microprocessor performance.Different arithmetic types has different demands to the bit wide of multiplier.In science was calculated, 32 and 64 was basic requirement, and therefore directly 32 of supports or 64 s' multiply operation of specialised hardware generally all is set in high-performance microprocessor.In multimedia and communication class application, most of arithmetic type is 8 and 16.In order to improve the ability of little bit wide data processing, many processors have all increased the SIMD instruction.The SIMD instruction once can be done same operation to a plurality of data, has improved the processing power of little bit wide computing greatly.SIMD has dual mode on hardware is realized, a kind of is that special SIMD parts are set, and these parts only can be carried out the SIMD operation, like the MMX parts among the PentumII; Another kind is that original arithmetic unit is improved, and makes it both keep original arithmetic capability, possesses the ability of new execution SIMD operation again, like Intel XScale.A kind of implementation method in back; Need not carry out big change to architecture; Its multi-medium data processing power is doubled; Therefore in the design of embedded microprocessors such as DSP, obtained using widely, all adopted this method like the design of the up-to-date DSP multiplier of TI company and AD company.
In order to realize mixing the bit wide multiplying, prior art comprises two kinds of methods: a kind of direct implementation method is that a plurality of multipliers are set, and the shortcoming of this method is that hardware spending is big; Another kind method is to adopt the flowing water technology, and like Intel XScale, its shortcoming is to have improved CPI, the instruction number of accomplishing in promptly single the bat.Therefore, high-performance, low-power consumption microprocessor Design press for a kind of bit wide Multiplier Design technology of mixing efficiently.And multiplier now commonly used generally comprises, and this decoding of cloth, partial product produce, partial product is compressed three parts.Bu Si decoding is at first deciphered multiplier, produces control signal control multiplicand and produces partial product, then partial product is sent into the compression array addition and produces last product.The partial product compression array generally adopts the Wallace tree structure; In order to shorten line; The compression module of when layout, usually coordination being weighed is placed on same row, and the domain that obtains at last generally is the shape of parallelogram, and therefore certain waste is arranged on area; When the multiplier bit wide was very big, the area waste was even more serious.In order to improve the area utilization of multiplier domain, need carry out new design to multiplier.When full customization multiplier domain was realized, the corresponding a kind of partial product compression array structure of a kind of operand of bit wide when the bit wide of input changes, need be adjusted even design again compression array, and the reusability of domain is not high.Full custom design can well improve design performance, but the process that realizes is quite consuming time, in order to improve the design efficiency of multiplier, also need explore the implementation algorithm of multiplier.
Summary of the invention
The technical matters that the present invention will solve provide a kind of hardware utilization factor and area utilization height, fast operation, hardware spending little, obtain domain structuring regular, simple in structure and mix bit wide multiplying method and device.
In order to solve the problems of the technologies described above, the technical scheme that the present invention adopts is:
A kind of structuring mixes bit wide multiplying method, and implementation step is following:
1) the s operation control signal of importing multiplier, multiplicand and comprising arithmetic type, sign bit;
2) according to said arithmetic type multiplier, multiplicand are split, the multiplicand after splitting is carried out the sign bit expansion according to said sign bit;
3) will split with sign bit expansion after multiplier, multiplicand send into respectively that two M * N multiplier carries out this decoding of cloth, partial product generates, and the partial product that all M * N multiplier generates is compressed respectively;
4) generate modified value according to arithmetic type in the said s operation control signal and sign bit;
5) modified value that all output results that the compression of said step 3) obtained and said step 4) obtain is compressed and is obtained multiplication result.
Mix bit wide multiplying further improvements in methods as structuring of the present invention:
Arithmetic type in the said step 1) comprises 1 M * 2N multiplying and 2 (M/2) * N multiplying.
Said step 2) according to arithmetic type the detailed step that multiplier, multiplicand split is comprised in: if arithmetic type is 1 M * 2N multiplying, then multiplier is split as high-order multiplier part and low level multiplier part, multiplicand remains unchanged; If arithmetic type is 2 (M/2) * N multiplying, then multiplier is split as high-order multiplier part and low level multiplier part, and M position multiplicand is split as high-order multiplicand part and the low level multiplicand part that is the M/2 position.
Said step 2) according to said sign bit the detailed step that the multiplicand after splitting carries out the sign bit expansion is comprised in: if said arithmetic type is 1 M * 2N multiplying of realization, then multiplicand remains unchanged; If said arithmetic type for realizing 2 (M/2) * N multiplying, then with the low level expansion 0 of high-order multiplicand part, is partly done sign extended with the low level multiplier.
To split in the said step 3) with sign bit expansion after multiplier, multiplicand send into two M * N multiplier respectively and specifically be meant: if said arithmetic type is for realizing 1 M * 2N multiplying; Then high-order multiplier part and multiplicand are sent into a M * N multiplier, low level multiplier part and multiplicand are sent into another M * N multiplier; If said arithmetic type is for realizing 2 (M/2) * N multiplying, then high-order multiplicand part and the multiplicand after the low level expansion 0 sent into a M * N multiplier, and part of the low level multiplier after the sign extended and multiplicand are sent into another M * N multiplier.
Detailed step according to arithmetic type in the said s operation control signal and sign bit generation modified value in the said step 4) comprises: if said arithmetic type is 1 M * 2N multiplying of realization, then generating modified value is 0; If said arithmetic type is for realizing 2 (M/2) * N multiplying, and the operation result of part of the low level multiplier after the said sign extended and multiplicand is negative, and (the M/2)+N position that then generates modified value is 1, and all the other are 0.
The concrete steps that the partial product that in the said step 3) all M * N multiplier is generated is compressed comprise: the partial product that at first all M * N multiplier is generated is according to array or tree-like arrangement, then a plurality of partial products on each in the said arrangement compressed the result who obtains the mode of representing with redundancy.
The present invention comprises that also a kind of structuring mixes bit wide multiplying device; Comprise be used for according to the s operation control signal multiplier, the multiplicand of input split and the operand of sign bit expansion select expanding element, the one M * N multiplier, the 2nd M * N multiplier, be used to revise multiplication result low level multiplication symbol position amending unit, be used for the modified value of amending unit output is compressed the finant product arithmetic element that obtains multiplication result with the result of multiplying, said operand is selected expanding element to pass through the one M * N multiplier, the 2nd M * N multiplier, amending unit respectively to link to each other with said finant product arithmetic element.
Mix the further improvement of bit wide multiplying device as structuring of the present invention:
Said the one M * N multiplier is the identical multiplication module of structure with the 2nd M * N multiplier; Said multiplication module comprises this decoding module of cloth, partial product generation module, the partial product compression module of series connection successively; The input end of said this decoding module of cloth selects expanding element to link to each other with operand, and the output terminal of said partial product compression module links to each other with the finant product arithmetic element.
Said partial product compression module comprises the processed compressed logic that is used for storing long-pending partial product storage organization of said partial product generation module output and the partial product processed compressed that is used for the partial product storage organization is stored; The input end of said partial product storage organization links to each other with said partial product generation module; The output terminal of said partial product storage organization links to each other through the said finant product arithmetic element of processed compressed logical and, and said partial product storage organization is tree structure or array structure.
Structuring of the present invention mixes bit wide multiplying method and has following advantage:
1, the present invention is through splitting operand according to arithmetic type and sign bit expansion, generating modified value according to arithmetic type in the s operation control signal and sign bit; And the result of M * N multiplier output and modified value compressed obtain multiplication result; Can realize the multiplying of 2 (M/2) * N or two kinds of bit wides of 1 M * 2N through same set of hardware, the utilization factor of hardware resource is high, hardware spending is little.
2, agent structure of the present invention is 2 M * N multiplier; The design complexity of M * N multiplier is than much lower with M * 2N multiplier; The present invention can make up M * 2N multiplier through 2 M * N multiplier, have simple in structure, obtain the advantage that domain is regular, area utilization is higher.
3, the compression first time can only be carried out to partial product in the single M of the present invention * N multiplier inside, and the output resume as a result after will compressing then carries out the compression second time, can effectively promote the multiplying speed of multiplier.
Structuring of the present invention mixes bit wide multiplying device and mixes the corresponding structure of bit wide multiplying method owing to have structuring, therefore also has the utilization factor height, fast operation of hardware resource, the advantage that hardware spending is little, simple in structure, area utilization is higher; Partial product compression module of the present invention further comprises the processed compressed logic that is used for storing long-pending partial product storage organization of said partial product generation module output and the partial product processed compressed that is used for the partial product storage organization is stored; And the partial product storage organization is tree structure or array structure, and the domain that obtains is more regular.
Description of drawings
Fig. 1 mixes the schematic flow sheet of bit wide multiplying method for embodiment of the invention structuring.
Fig. 2 is the contraction principle synoptic diagram of embodiment of the invention M * N multiplier partial product.
Fig. 3 mixes the framed structure synoptic diagram of bit wide multiplying device for embodiment of the invention structuring.
Fig. 4 is the schematic flow sheet that the embodiment of the invention realizes 1 M * 2N multiplication.
Fig. 5 is the schematic flow sheet that the embodiment of the invention realizes 2 (M/2) * N multiplication.
Marginal data: 1, operand is selected expanding element; 21, the one M * N multiplier; 22, the 2nd M * N multiplier; 23, this decoding module of cloth; 24, partial product generation module; 25, partial product compression module; 3, amending unit; 4, finant product arithmetic element.
Embodiment
As shown in Figure 1, the implementation step that the present embodiment structuring mixes bit wide multiplying method is following:
1) the s operation control signal of importing multiplier, multiplicand and comprising arithmetic type, sign bit;
2) according to arithmetic type multiplier, multiplicand are split, the multiplicand after splitting is carried out the sign bit expansion according to sign bit;
3) will split with sign bit expansion after multiplier, multiplicand send into respectively that two M * N multiplier carries out this decoding of cloth, partial product generates, and the partial product that all M * N multiplier generates is compressed respectively;
4) generate modified value according to arithmetic type in the s operation control signal and sign bit;
5) modified value that all output results that step 3) compression obtained and step 4) obtain is compressed and is obtained multiplication result.
Comprise twice compression process in the present embodiment altogether, compression is for the first time accomplished in step 3), and its compressed object is a partial product; Compression is for the second time accomplished in step 5), and its compressed object is that all compress the result of output and the modified value that step 4) produces, the multiplication result of the result of its output for finally obtaining for the first time.
Arithmetic type in the step 1) comprises 1 M * 2N multiplying and 2 (M/2) * N multiplying.
Step 2) according to arithmetic type the detailed step that multiplier, multiplicand split is comprised in: if arithmetic type is 1 M * 2N multiplying, then multiplier is split as high-order multiplier part and low level multiplier part, multiplicand remains unchanged; If arithmetic type is 2 (M/2) * N multiplying, then multiplier is split as high-order multiplier part and low level multiplier part, and M position multiplicand is split as high-order multiplicand part and the low level multiplicand part that is the M/2 position.Step 2) according to sign bit the detailed step that the multiplicand after splitting carries out the sign bit expansion is comprised in: if arithmetic type is 1 M * 2N multiplying of realization, then multiplicand remains unchanged; If arithmetic type for realizing 2 (M/2) * N multiplying, then with the low level expansion 0 of high-order multiplicand part, is partly done sign extended with the low level multiplier.
To split in the step 3) with sign bit expansion after multiplier, multiplicand send into two M * N multiplier respectively and specifically be meant: if arithmetic type is for realizing 1 M * 2N multiplying; Then high-order multiplier part and multiplicand are sent into a M * N multiplier, low level multiplier part and multiplicand are sent into another M * N multiplier; If arithmetic type is for realizing 2 (M/2) * N multiplying, then high-order multiplicand part and the multiplicand after the low level expansion 0 sent into a M * N multiplier, and part of the low level multiplier after the sign extended and multiplicand are sent into another M * N multiplier.The concrete steps that the partial product that in the present embodiment step 3) all M * N multiplier is generated is compressed comprise: the partial product that at first all M * N multiplier is generated is according to array or tree-like arrangement, and a plurality of partial products in will arranging then on each are compressed the result who obtains the mode of representing with redundancy.As shown in Figure 2; This deciphers the control signal of available partial product to 21B through cloth for the multiplier after splitting; Can produce PPA partial product array 21C by this control signal, when compression adopt the method for 3-2 compression or 4-2 compression will arrange in a plurality of partial products on each compress the 21D as a result that obtains the mode of representing with redundancy.Wherein S is the redundant digit of identifier, when partial product is S=0 in correct time, as partial product S=1 when negative.E is a sign-extension bit, when multiplicand and partial product symbol contrary sign or partial product E=0 when being-0 * multiplicand, when multiplicand and partial product jack per line or partial product E=1 when being+0 * multiplicand.Can know that by this compression array shape can the partial product compression module on both sides be put together when the layout design constitutes row, make compression array more regular with this, thereby the domain that obtains is more regular.In addition, partial product equally also can reach according to tree-like arrangement and make the more regular technique effect of compression array domain more regular, that obtain.
Detailed step according to arithmetic type in the s operation control signal and sign bit generation modified value in the step 4) comprises: if arithmetic type is 1 M * 2N multiplying of realization, then generating modified value is 0; If arithmetic type is for realizing 2 (M/2) * N multiplying, and the operation result of part of the low level multiplier after the sign extended and multiplicand is negative, and (the M/2)+N position that then generates modified value is 1, and all the other are 0.
As shown in Figure 3; The present embodiment structuring mix bit wide multiplying device comprise be used for according to the s operation control signal multiplier, the multiplicand of input split and the operand of sign bit expansion select expanding element 1, the one M * N multiplier 21, the 2nd M * N multiplier 22, be used to revise multiplication result low level multiplication symbol position amending unit 3, be used for the modified value of amending unit 3 outputs is compressed the finant product arithmetic element 4 that obtains multiplication result with the result of multiplying, operand is selected expanding element 1 to pass through the one M * N multiplier 21, the 2nd M * N multiplier 22, amending unit 3 respectively to link to each other with finant product arithmetic element 4.
The one M of present embodiment * N multiplier 21 is the identical multiplication module of structure with the 2nd M * N multiplier 22; This multiplication module comprises this decoding module 23 of cloth, partial product generation module 24, the partial product compression module 25 of series connection successively; The input end of this decoding module 23 of cloth selects expanding element 1 to link to each other with operand, and the output terminal of partial product compression module 25 links to each other with finant product arithmetic element 4.The compression first time of present embodiment is accomplished in step 3) by partial product compression module 25, and compression is for the second time accomplished in step 5) by finant product arithmetic element 4.In the present embodiment; Partial product compression module 25 comprises the processed compressed logic that is used for long-pending partial product storage organization of long-pending generation module 24 outputs of storage area and the partial product processed compressed that is used for the partial product storage organization is stored; The input end of partial product storage organization links to each other with partial product generation module 24; The output terminal of partial product storage organization links to each other through processed compressed logical and finant product arithmetic element 4, and the partial product storage organization is tree structure or array structure.In the present embodiment, the partial product storage organization is an array structure, and finant product arithmetic element 4 also is an array structure.With reference to Fig. 2, partly accumulating storage structure in the present embodiment is that array structure can make the more regular technique effect of compression array domain more regular, that obtain; In addition, the partial product storage organization is that tree structure equally also can reach and makes the more regular technique effect of compression array domain more regular, that obtain.
As shown in figures 1 and 3, arithmetic type control signal 10C is used to indicate common multiplication or the SIMD multiplication of 2 (M/2) * N that current multiplication is 1 M * 2N, indicate current multiplication simultaneously has sign multiplication or no sign multiplication; The bit wide of multiplicand 10A and multiplier 10B is respectively M and 2N.Operand is selected expanding element 1 at first multiplicand 10A and multiplier 10B to be broken and is obtained 11A, 11B, 11C, 11D; Multiplicand 11A after the fractionation, 11C send into the one M * N multiplier 21 with multiplier 11B, 11D combination respectively and the 2nd M * N multiplier 22 carries out this decoding of cloth, partial product produces and the squeeze operation of one-level partial product.The one M * N multiplier 21 and the 2nd M * N multiplier 22 common properties give birth to two groups of 21A as a result, 22A after the compression, and amending unit 3 produces a modified value 3A simultaneously, send into finant product arithmetic element 4 in the lump and continue compression and obtain multiplication result.Operand selects module according to the arithmetic type control signal; When carrying out the common multiplication of a M * 2N, be the multiplier 10C of 2N multiplier 11B, 11D that to be divided into two bit wides be N with bit wide, if current be that sign multiplication is arranged; Multiplier after the partition is done sign extended, otherwise expand 0; When carrying out the SIMD multiplication of two (M/2) * N; Because hardware multiplexing; The multiplicand input position still is a number that bit wide is M; Will be that the multiplicand of M extracts height (M/2) position and low (M/2) position respectively this moment with bit wide, and high (M/2) multiplicand forms the multiplicand 11A that new bit wide is M to low level expansion (M/2) position 0, and whether low (M/2) position multiplicand is to have sign multiplication to do sign extended or the new bit wide of 0 expansion formation is the multiplicand 11C of M to a high position according to current multiplication.
As shown in Figure 4, realize that Src1 and Src2 are respectively multiplicand and the multiplier that bit wide is M and 2N in 1 M * 2N multiplication (common multiplication) calculating process, do not need in this case to revise, so the modified value of amending unit 3 outputs is 0.Step 2) in, multiplier Src1 remains unchanged, and the multiplicand Src2 of 2N position is split as high-order multiplicand part (Src2_H) and the low level multiplicand part (Src2_L) that is the N position.In the step 3); Src1 sends into the one M * N multiplier 21 (high-order M * N multiplier) with Src2_H and obtains the long-pending 21A of high-order portion; Src1 and Src2_L send into the 2nd M * N multiplier 22 (low level M * N multiplier) and obtain the long-pending 22A of low portion; If it is current for sign multiplication is arranged; The long-pending 22A of low portion needs obtain 22B to a high position expansion N position sign bit, otherwise 22A directly expansion 0 obtain 22B, 22B and high-order portion amass 21A and send into finant product arithmetic element 4 and continue to compress and obtain multiplication result 4A then.
As shown in Figure 5, realize that Src1 is a multiplicand in 2 (M/2) * N multiplication (SIMD multiplication) calculating process, Src2 is a multiplier.Step 2) in, multiplier Src1 is split as high-order multiplier part (Src1_H) and low level multiplier part (Src1_L), and multiplicand Src2 is split as high-order multiplicand part (Src2_H) and the low level multiplicand part (Src2_L) that is the M/2 position; Src1_H is to low level expansion 0 then, and Src1_L does sign extended, to high-order escape character position or 0.In the step 3); Src1_H after the low level expansion 0 sends into the one M * N multiplier 21 (high-order M * N multiplier) with Src2_H; Src1_L and Src2_L send into the 2nd M * N multiplier 22 (low level M * N multiplier) after the sign extended; The one M * N multiplier 21 computings obtain the long-pending 21A of high-order portion, and the 2nd M * N multiplier 22 computings obtain the long-pending 22A of low portion.Because SIMD multiplication and common multiplication are shared this multiplier architecture is so whether the long-pending 22A of low portion can will influence high-order SIMD multiplication and obtain correct result according to current for there being sign multiplication to do the sign bit expansion equally like this.When 22A is negative, that is when Src1_L and Src2_L opposite in sign, need be to this situation correction.(M/2)+N position of the modified value of amending unit 3 generations is 1 in such cases, and all the other positions are 0.If it is current for sign multiplication is arranged; The long-pending 22A of low portion needs to obtain 22B to a high position expansion N position sign bit; Otherwise 22A directly expansion 0 obtains 22B; Long-pending 22B of low portion after long-pending 21A of high-order portion and the expansion and modified value 3A send into the last product of finant product arithmetic element 4 completion together and calculate (the compression second time) like this, finally obtain the multiplication result 4A of two (M/2) * N multiplication.
The above only is a preferred implementation of the present invention, and protection scope of the present invention also not only is confined to the foregoing description, and all technical schemes that belongs under the thinking of the present invention all belong to protection scope of the present invention.Should be pointed out that for those skilled in the art in the some improvement and the retouching that do not break away under the principle of the invention prerequisite, these improvement and retouching also should be regarded as protection scope of the present invention.

Claims (10)

1. a structuring mixes bit wide multiplying method, it is characterized in that implementation step is following:
1) the s operation control signal of importing multiplier, multiplicand and comprising arithmetic type, sign bit;
2) according to said arithmetic type multiplier, multiplicand are split, the multiplicand after splitting is carried out the sign bit expansion according to said sign bit;
3) will split with sign bit expansion after multiplier, multiplicand send into respectively that two M * N multiplier carries out this decoding of cloth, partial product generates, and the partial product that all M * N multiplier generates is compressed respectively;
4) generate modified value according to arithmetic type in the said s operation control signal and sign bit;
5) modified value that all output results that the compression of said step 3) obtained and said step 4) obtain is compressed and is obtained multiplication result.
2. structuring according to claim 1 mixes bit wide multiplying method, and it is characterized in that: the arithmetic type in the said step 1) comprises 1 M * 2N multiplying and 2 (M/2) * N multiplying.
3. structuring according to claim 2 mixes bit wide multiplying method; It is characterized in that; Said step 2) according to arithmetic type the detailed step that multiplier, multiplicand split is comprised in: if said arithmetic type is 1 M * 2N multiplying; Then multiplier is split as high-order multiplier part and low level multiplier part, multiplicand remains unchanged; If said arithmetic type is 2 (M/2) * N multiplying, then multiplier is split as high-order multiplier part and low level multiplier part, and M position multiplicand is split as high-order multiplicand part and the low level multiplicand part that is the M/2 position.
4. structuring according to claim 3 mixes bit wide multiplying method; It is characterized in that; Said step 2) according to said sign bit the detailed step that the multiplicand after splitting carries out the sign bit expansion is comprised in: if said arithmetic type is 1 M * 2N multiplying of realization, then multiplicand remains unchanged; If said arithmetic type for realizing 2 (M/2) * N multiplying, then with the low level expansion 0 of high-order multiplicand part, is partly done sign extended with the low level multiplier.
5. structuring according to claim 4 mixes bit wide multiplying method; It is characterized in that; To split in the said step 3) with sign bit expansion after multiplier, multiplicand send into two M * N multiplier respectively and specifically be meant: if said arithmetic type is for realizing 1 M * 2N multiplying; Then high-order multiplier part and multiplicand are sent into a M * N multiplier, low level multiplier part and multiplicand are sent into another M * N multiplier; If said arithmetic type is for realizing 2 (M/2) * N multiplying, then high-order multiplicand part and the multiplicand after the low level expansion 0 sent into a M * N multiplier, and part of the low level multiplier after the sign extended and multiplicand are sent into another M * N multiplier.
6. structuring according to claim 5 mixes bit wide multiplying method; It is characterized in that: the detailed step according to arithmetic type in the said s operation control signal and sign bit generation modified value in the said step 4) comprises: if said arithmetic type is 1 M * 2N multiplying of realization, then generating modified value is 0; If said arithmetic type is for realizing 2 (M/2) * N multiplying, and the operation result of part of the low level multiplier after the said sign extended and multiplicand is negative, and (the M/2)+N position that then generates modified value is 1, and all the other are 0.
7. mix bit wide multiplying method according to any described structuring in the claim 1~6; It is characterized in that; The concrete steps that the partial product that in the said step 3) all M * N multiplier is generated is compressed comprise: the partial product that at first all M * N multiplier is generated is according to array or tree-like arrangement, then a plurality of partial products on each in the said arrangement compressed the result who obtains the mode of representing with redundancy.
8. a structuring mixes bit wide multiplying device; It is characterized in that: comprise be used for according to the s operation control signal multiplier, the multiplicand of input split and the operand of sign bit expansion select expanding element (1), the one M * N multiplier (21), the 2nd M * N multiplier (22), be used to revise multiplication result low level multiplication symbol position amending unit (3), be used for the modified value of amending unit (3) output is compressed the finant product arithmetic element (4) that obtains multiplication result with the result of multiplying, said operand is selected expanding element (1) to pass through the one M * N multiplier (21), the 2nd M * N multiplier (22), amending unit (3) respectively to link to each other with said finant product arithmetic element (4).
9. structuring according to claim 8 mixes bit wide multiplying device; It is characterized in that: said the one M * N multiplier (21) is the identical multiplication module of structure with the 2nd M * N multiplier (22); Said multiplication module comprises this decoding module of cloth (23), partial product generation module (24), the partial product compression module (25) of series connection successively; The input end of this decoding module of said cloth (23) selects expanding element (1) to link to each other with operand, and the output terminal of said partial product compression module (25) links to each other with finant product arithmetic element (4).
10. structuring according to claim 9 mixes bit wide multiplying device; It is characterized in that: said partial product compression module (25) comprises the processed compressed logic that is used for storing long-pending partial product storage organization of said partial product generation module (24) output and the partial product processed compressed that is used for the partial product storage organization is stored; The input end of said partial product storage organization links to each other with said partial product generation module (24); The output terminal of said partial product storage organization links to each other through the said finant product arithmetic element of processed compressed logical and (4), and said partial product storage organization is tree structure or array structure.
CN201210012465XA 2012-01-16 2012-01-16 Structured mixed bit-width multiplying method and structured mixed bit-width multiplying device Pending CN102591615A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201210012465XA CN102591615A (en) 2012-01-16 2012-01-16 Structured mixed bit-width multiplying method and structured mixed bit-width multiplying device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201210012465XA CN102591615A (en) 2012-01-16 2012-01-16 Structured mixed bit-width multiplying method and structured mixed bit-width multiplying device

Publications (1)

Publication Number Publication Date
CN102591615A true CN102591615A (en) 2012-07-18

Family

ID=46480352

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210012465XA Pending CN102591615A (en) 2012-01-16 2012-01-16 Structured mixed bit-width multiplying method and structured mixed bit-width multiplying device

Country Status (1)

Country Link
CN (1) CN102591615A (en)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105183424A (en) * 2015-08-21 2015-12-23 电子科技大学 Fixed-bit-width multiplier with high accuracy and low energy consumption properties
CN105824601A (en) * 2016-03-31 2016-08-03 同济大学 Partial product multiplexing method supporting multi-mode multiplier
CN106951211A (en) * 2017-03-27 2017-07-14 南京大学 A kind of restructural fixed and floating general purpose multipliers
CN107463354A (en) * 2017-07-12 2017-12-12 东南大学 A kind of variable Montgomery modular multiplication circuits of dual domain degree of parallelism towards ECC
CN107688854A (en) * 2016-08-05 2018-02-13 北京中科寒武纪科技有限公司 A kind of arithmetic element, method and device that can support different bit wide operational datas
CN108288091A (en) * 2018-01-19 2018-07-17 上海兆芯集成电路有限公司 Adopt the microprocessor of booth multiplication
CN108351761A (en) * 2015-11-12 2018-07-31 Arm有限公司 Use the multiplication of the first and second operands of redundant representation
CN108364065A (en) * 2018-01-19 2018-08-03 上海兆芯集成电路有限公司 Adopt the microprocessor of booth multiplication
CN109242091A (en) * 2018-09-03 2019-01-18 郑州云海信息技术有限公司 Image-recognizing method, device, equipment and readable storage medium storing program for executing
CN109284083A (en) * 2018-09-14 2019-01-29 北京探境科技有限公司 A kind of multiplier unit and method
CN109976707A (en) * 2019-03-21 2019-07-05 西南交通大学 A kind of variable bit width multiplier automatic generating method
CN110378478A (en) * 2019-08-30 2019-10-25 上海寒武纪信息科技有限公司 Multiplier, data processing method, chip and electronic equipment
CN110531954A (en) * 2019-08-30 2019-12-03 上海寒武纪信息科技有限公司 Multiplier, data processing method, chip and electronic equipment
CN110727412A (en) * 2019-09-14 2020-01-24 无锡江南计算技术研究所 Mask-based hybrid floating-point multiplication low-power-consumption control method and device
CN110780845A (en) * 2019-10-17 2020-02-11 浙江大学 Configurable approximate multiplier for quantization convolutional neural network and implementation method thereof
CN111008003A (en) * 2019-09-24 2020-04-14 上海寒武纪信息科技有限公司 Data processor, method, chip and electronic equipment
CN111258545A (en) * 2018-11-30 2020-06-09 上海寒武纪信息科技有限公司 Multiplier, data processing method, chip and electronic equipment
CN111258633A (en) * 2018-11-30 2020-06-09 上海寒武纪信息科技有限公司 Multiplier, data processing method, chip and electronic equipment
CN111488133A (en) * 2020-04-15 2020-08-04 电子科技大学 High-radix approximate Booth coding method and mixed-radix Booth coding approximate multiplier
CN111522528A (en) * 2020-04-22 2020-08-11 厦门星宸科技有限公司 Multiplier, multiplication method, operation chip, electronic device, and storage medium
CN111966327A (en) * 2020-08-07 2020-11-20 南方科技大学 Mixed precision space-time multiplexing multiplier based on NAS (network attached storage) search and control method thereof
CN112711395A (en) * 2019-10-25 2021-04-27 阿里巴巴集团控股有限公司 Encryption and decryption method and device, electronic equipment and computer readable storage medium
CN112764712A (en) * 2021-01-13 2021-05-07 合肥工业大学 High-performance approximate Booth multiplier and calculation method
CN112948901A (en) * 2021-02-04 2021-06-11 深圳安捷丽新技术有限公司 Acceleration operation optimization method and device in SSD (solid State disk) main control chip
CN113010148A (en) * 2021-02-09 2021-06-22 南方科技大学 Fixed-point multiply-add operation unit and method suitable for mixed precision neural network
CN113031915A (en) * 2019-12-24 2021-06-25 上海寒武纪信息科技有限公司 Multiplier, data processing method, device and chip
CN113031912A (en) * 2019-12-24 2021-06-25 上海寒武纪信息科技有限公司 Multiplier, data processing method, device and chip
CN113672196A (en) * 2021-07-16 2021-11-19 南京大学 Double-multiplication computing device and method based on single digital signal processing unit
CN114239819A (en) * 2021-12-24 2022-03-25 西安交通大学 DSP-based hybrid bit width accelerator and fusion calculation method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4346451A (en) * 1979-06-01 1982-08-24 Aisuke Katayama Dual moduli exponent transform type high speed multiplication system
JPH117439A (en) * 1997-06-17 1999-01-12 Fujitsu Ltd Product sum device
JPH11134174A (en) * 1997-10-27 1999-05-21 Oki Electric Ind Co Ltd Arithmetic circuit
CN1598757A (en) * 2004-09-02 2005-03-23 中国人民解放军国防科学技术大学 Design method of number mixed multipler for supporting single-instruction multiple-operated

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4346451A (en) * 1979-06-01 1982-08-24 Aisuke Katayama Dual moduli exponent transform type high speed multiplication system
JPH117439A (en) * 1997-06-17 1999-01-12 Fujitsu Ltd Product sum device
JPH11134174A (en) * 1997-10-27 1999-05-21 Oki Electric Ind Co Ltd Arithmetic circuit
CN1598757A (en) * 2004-09-02 2005-03-23 中国人民解放军国防科学技术大学 Design method of number mixed multipler for supporting single-instruction multiple-operated

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
李国强等: "FT-SIMD:一种高性能乘法器的设计", 《计算机工程与科学》, vol. 34, no. 1, 15 January 2012 (2012-01-15), pages 53 - 57 *
郭海勇: "65nm工艺运算器全定制设计技术与方法", 《万方数据库》, 30 November 2011 (2011-11-30) *

Cited By (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105183424B (en) * 2015-08-21 2017-09-01 电子科技大学 A kind of fixation bit wide multiplier with high-precision low energy consumption characteristic
CN105183424A (en) * 2015-08-21 2015-12-23 电子科技大学 Fixed-bit-width multiplier with high accuracy and low energy consumption properties
CN108351761B (en) * 2015-11-12 2022-08-23 Arm有限公司 Method and apparatus for multiplying first and second operands using redundant representations
CN108351761A (en) * 2015-11-12 2018-07-31 Arm有限公司 Use the multiplication of the first and second operands of redundant representation
CN105824601A (en) * 2016-03-31 2016-08-03 同济大学 Partial product multiplexing method supporting multi-mode multiplier
CN107688854B (en) * 2016-08-05 2021-10-19 中科寒武纪科技股份有限公司 Arithmetic unit, method and device capable of supporting different bit width arithmetic data
CN107688854A (en) * 2016-08-05 2018-02-13 北京中科寒武纪科技有限公司 A kind of arithmetic element, method and device that can support different bit wide operational datas
CN106951211A (en) * 2017-03-27 2017-07-14 南京大学 A kind of restructural fixed and floating general purpose multipliers
CN106951211B (en) * 2017-03-27 2019-10-18 南京大学 A kind of restructural fixed and floating general purpose multipliers
CN107463354A (en) * 2017-07-12 2017-12-12 东南大学 A kind of variable Montgomery modular multiplication circuits of dual domain degree of parallelism towards ECC
CN107463354B (en) * 2017-07-12 2020-08-21 东南大学 ECC-oriented Montgomery modular multiplication circuit with variable double-domain parallelism
CN108364065A (en) * 2018-01-19 2018-08-03 上海兆芯集成电路有限公司 Adopt the microprocessor of booth multiplication
CN108288091A (en) * 2018-01-19 2018-07-17 上海兆芯集成电路有限公司 Adopt the microprocessor of booth multiplication
CN108364065B (en) * 2018-01-19 2020-09-11 上海兆芯集成电路有限公司 Microprocessor for booth multiplication
CN109242091A (en) * 2018-09-03 2019-01-18 郑州云海信息技术有限公司 Image-recognizing method, device, equipment and readable storage medium storing program for executing
CN109242091B (en) * 2018-09-03 2022-03-22 郑州云海信息技术有限公司 Image recognition method, device, equipment and readable storage medium
CN109284083A (en) * 2018-09-14 2019-01-29 北京探境科技有限公司 A kind of multiplier unit and method
CN111258633B (en) * 2018-11-30 2022-08-09 上海寒武纪信息科技有限公司 Multiplier, data processing method, chip and electronic equipment
CN111258633A (en) * 2018-11-30 2020-06-09 上海寒武纪信息科技有限公司 Multiplier, data processing method, chip and electronic equipment
CN111258545B (en) * 2018-11-30 2022-08-09 上海寒武纪信息科技有限公司 Multiplier, data processing method, chip and electronic equipment
CN111258545A (en) * 2018-11-30 2020-06-09 上海寒武纪信息科技有限公司 Multiplier, data processing method, chip and electronic equipment
CN109976707A (en) * 2019-03-21 2019-07-05 西南交通大学 A kind of variable bit width multiplier automatic generating method
CN110378478A (en) * 2019-08-30 2019-10-25 上海寒武纪信息科技有限公司 Multiplier, data processing method, chip and electronic equipment
CN110531954A (en) * 2019-08-30 2019-12-03 上海寒武纪信息科技有限公司 Multiplier, data processing method, chip and electronic equipment
CN110378478B (en) * 2019-08-30 2023-09-08 上海寒武纪信息科技有限公司 Multiplier, data processing method, chip and electronic equipment
CN110727412A (en) * 2019-09-14 2020-01-24 无锡江南计算技术研究所 Mask-based hybrid floating-point multiplication low-power-consumption control method and device
CN110727412B (en) * 2019-09-14 2022-01-07 无锡江南计算技术研究所 Mask-based hybrid floating-point multiplication low-power-consumption control method and device
CN111008003A (en) * 2019-09-24 2020-04-14 上海寒武纪信息科技有限公司 Data processor, method, chip and electronic equipment
CN111008003B (en) * 2019-09-24 2023-10-13 上海寒武纪信息科技有限公司 Data processor, method, chip and electronic equipment
CN110780845A (en) * 2019-10-17 2020-02-11 浙江大学 Configurable approximate multiplier for quantization convolutional neural network and implementation method thereof
CN112711395A (en) * 2019-10-25 2021-04-27 阿里巴巴集团控股有限公司 Encryption and decryption method and device, electronic equipment and computer readable storage medium
CN113031912A (en) * 2019-12-24 2021-06-25 上海寒武纪信息科技有限公司 Multiplier, data processing method, device and chip
CN113031915A (en) * 2019-12-24 2021-06-25 上海寒武纪信息科技有限公司 Multiplier, data processing method, device and chip
CN111488133B (en) * 2020-04-15 2023-03-28 电子科技大学 High-radix approximate Booth coding method and mixed-radix Booth coding approximate multiplier
CN111488133A (en) * 2020-04-15 2020-08-04 电子科技大学 High-radix approximate Booth coding method and mixed-radix Booth coding approximate multiplier
CN111522528B (en) * 2020-04-22 2023-03-28 星宸科技股份有限公司 Multiplier, multiplication method, operation chip, electronic device, and storage medium
TWI783295B (en) * 2020-04-22 2022-11-11 大陸商星宸科技股份有限公司 Multiplier and multiplication method
CN111522528A (en) * 2020-04-22 2020-08-11 厦门星宸科技有限公司 Multiplier, multiplication method, operation chip, electronic device, and storage medium
CN111966327A (en) * 2020-08-07 2020-11-20 南方科技大学 Mixed precision space-time multiplexing multiplier based on NAS (network attached storage) search and control method thereof
CN112764712B (en) * 2021-01-13 2022-10-04 合肥工业大学 High-performance approximate Booth multiplier and calculation method
CN112764712A (en) * 2021-01-13 2021-05-07 合肥工业大学 High-performance approximate Booth multiplier and calculation method
CN112948901A (en) * 2021-02-04 2021-06-11 深圳安捷丽新技术有限公司 Acceleration operation optimization method and device in SSD (solid State disk) main control chip
CN112948901B (en) * 2021-02-04 2023-10-03 深圳安捷丽新技术有限公司 Optimization method and device for acceleration operation in SSD main control chip
CN113010148B (en) * 2021-02-09 2022-11-11 南方科技大学 Fixed-point multiply-add operation unit and method suitable for mixed precision neural network
CN113010148A (en) * 2021-02-09 2021-06-22 南方科技大学 Fixed-point multiply-add operation unit and method suitable for mixed precision neural network
CN113672196A (en) * 2021-07-16 2021-11-19 南京大学 Double-multiplication computing device and method based on single digital signal processing unit
CN113672196B (en) * 2021-07-16 2023-09-15 南京大学 Double multiplication calculating device and method based on single digital signal processing unit
CN114239819A (en) * 2021-12-24 2022-03-25 西安交通大学 DSP-based hybrid bit width accelerator and fusion calculation method
CN114239819B (en) * 2021-12-24 2023-09-26 西安交通大学 Mixed bit width accelerator based on DSP and fusion calculation method

Similar Documents

Publication Publication Date Title
CN102591615A (en) Structured mixed bit-width multiplying method and structured mixed bit-width multiplying device
CN109740739B (en) Neural network computing device, neural network computing method and related products
Chen et al. Some new inequalities of Simpson’s type for s-convex functions via fractional integrals
CN110163358B (en) Computing device and method
CN101916177B (en) Configurable multi-precision fixed point multiplying and adding device
CN110413254B (en) Data processor, method, chip and electronic equipment
CN109753268B (en) Multi-granularity parallel operation multiplier
CN104461449A (en) Large integer multiplication realizing method and device based on vector instructions
CN105373517A (en) Spark-based distributed matrix inversion parallel operation method
Xie et al. High-throughput finite field multipliers using redundant basis for FPGA and ASIC implementations
EP3343359A1 (en) Apparatus and method for processing sparse data
TWI763079B (en) Multiplier and method for floating-point arithmetic, integrated circuit chip, and computing device
Yang et al. Efficient FPGA implementation of modular multiplication based on Montgomery algorithm
Gandhi et al. Comparative analysis for hardware circuit architecture of Wallace tree multiplier
CN1963745A (en) High speed split multiply accumulator apparatus
CN116450217A (en) Multifunctional fixed-point multiplication and multiply-accumulate operation device and method
CN111767512A (en) Discrete cosine transform/inverse discrete cosine transform DCT/IDCT system and method
CN109408117B (en) Matrix transposing device and method, and display device
CN103412737A (en) Base 4-Booth coding method, door circuit and assembly line large number multiplying unit
CN103955585B (en) FIR (finite impulse response) filter structure for low-power fault-tolerant circuit
WO2021078210A1 (en) Computing apparatus and method for neural network operation, integrated circuit, and device
CN104503730A (en) Instruction-based large-number point addition and point multiplication operation circuit and realization method
TW202117534A (en) Converter, chip, electronic equipment and method for converting data types
CN107368459A (en) The dispatching method of Reconfigurable Computation structure based on Arbitrary Dimensions matrix multiplication
CN111258633B (en) Multiplier, data processing method, chip and electronic equipment

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20120718