CN102097364B - Hard mask material - Google Patents

Hard mask material Download PDF

Info

Publication number
CN102097364B
CN102097364B CN201010569747.0A CN201010569747A CN102097364B CN 102097364 B CN102097364 B CN 102097364B CN 201010569747 A CN201010569747 A CN 201010569747A CN 102097364 B CN102097364 B CN 102097364B
Authority
CN
China
Prior art keywords
hard mask
film
plasma
deposition
sublayer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201010569747.0A
Other languages
Chinese (zh)
Other versions
CN102097364A (en
Inventor
维什瓦纳坦·兰加拉扬
乔治·安德鲁·安东内利
阿南达·班纳吉
巴尔特·范施拉文迪杰克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/631,709 external-priority patent/US8178443B2/en
Priority claimed from US12/631,691 external-priority patent/US8247332B2/en
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Priority to CN201510566292.XA priority Critical patent/CN105185707B/en
Publication of CN102097364A publication Critical patent/CN102097364A/en
Application granted granted Critical
Publication of CN102097364B publication Critical patent/CN102097364B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The invention provides the hard mask film with high rigidity and low stress.In certain embodiments, the stress of film is between about between-600MPa and 600MPa and hardness is at least about 12GPa.In certain embodiments, by use multiple fine and close plasma post deposit in PECVD process chamber multiple through doping or non-impurity-doped carborundum sublayer prepare hard mask film.In certain embodiments, hard mask film comprises the high rigidity boron-containing thin film being selected from the group be made up of the following: Si xb yc z, Si xb yn z, Si xb yc zn w, B xc yand B xn y.In certain embodiments, hard mask film comprises the rich germanium GeN of the germanium comprised at least about 60 atom % xmaterial.In the multiple rear end that these hard masks can be used for IC manufacturing and front-end processing scheme.

Description

Hard mask material
Technical field
The present invention relates to the hard mask film for semiconductor processes.The invention still further relates to the method and apparatus forming described film.
Background technology
During lithographic patterning, such as, between the groove and/or through hole Formation period of embedded-type technical (Damascene process), the hard mask film of normal use is as sacrifice layer.In inserted process, usually hard mask film is deposited into and needs on the dielectric layer of patterning.Photoresist is deposited upon above hard mask film and (between hard mask and photoresist, deposits optional anti-reflecting layer), and as required patterning is carried out to photoresist.Usual use laser carrys out aligned pattern and underlies structure, and therefore hard mask should be transparent in fact under the wavelength for aiming at.After photoresist is developed, the hard mask film exposed under removing pattern, and the dielectric of etch exposed, thus form the recess feature with required size.Remain hard mask for the protection of the described dielectric portion needing to retain during etching process.Therefore, hard mask material should have good etching selectivity relative to dielectric.Usual employing uses the reactive ion etching (RIE) of halogen radical plasma chemistries to carry out dielectric etch.
Then fill etched recess feature with electric conducting materials such as such as copper, thus form the conductive path of integrated circuit.Usually, after filling recess feature, hard mask material is removed completely from the Manufactured Semiconductor substrate of part.
The current titanium nitride deposited by physical vapour deposition (PVD) (PVD) that usually uses in this application is as hard mask material.At United States Patent (USP) the 6th, also report in 455, No. 409 and No. the 6th, 506,692, United States Patent (USP) and used carborundum as hard mask material.
Summary of the invention
The invention provides the hard mask film and its manufacture method with improved characteristics.In lithography application, need the hard mask material with low stress, because compression or the high material of tensile stress can make the hard mask film on substrate buckle or delamination, and make the pattern in photolithography aim at thus to be deteriorated.Except low stress, hard mask material should have high rigidity and/or high Young's modulus (Young ' s modulus) with the underlying materials that adequately protects because hardness and modulus usually and high etch-selectivity closely related.
The combination of this low stress and high rigidity (or high-modulus) is especially difficult to reach, because the usual compression stress of harder material is higher.Such as, conventional titanium nitride is relatively hard material, and its compression stress is greater than about 1,000MPa.The hard mask of described high compression is used (especially to use together with the soft dielectric of too low k (k=2.8 is with lower), and be particularly useful for the feature (such as length-width ratio is the feature of 2: 1 and Geng Gao) defining high aspect ratio) aligning can be caused poor, and formed structure can be made to occur undesirably wriggling.In general, carborundum can have the physical characteristic of wide region, and prepares except non-usage special deposition process of the present invention, otherwise it can not have low stress and high rigidity simultaneously.
In the present invention is in some, provide the hard mask material with low stress and high rigidity.In certain embodiments, the hardness of film is at least about 12GPa, is preferably at least about 16GPa, such as at least about 20GPa, and stress is between about between-600MPa and 600MPa, such as, between about between-300MPa and 300MPa, most preferably between about between 0MPa and 300MPa.Film usually not containing metal and comprise the material being selected from the group be made up of the following in fact: high rigidity low stress through doping or non-impurity-doped carborundum, Si xb yc z, Si xb yn z, Si xb yc zn w, B xn yand B xc y.These materials are formed by the chemical vapour deposition (CVD) (PECVD) of plasma enhancing and other technique based on CVD.Provide hard mask can be used for front end and rear end semiconductor processes application in multiple lithography solution in.Set forth the sedimentary condition that low stress high rigidity characteristic is provided herein.The membrane structure feature relevant to these characteristics is also provided.
In one aspect, the method forming the hard mask film of high rigidity low stress is on a semiconductor substrate included in plasma enhanced chemical vapor deposition (PECVD) process chamber and accepts Semiconductor substrate and use how fine and close plasma treatment to deposit through doping or non-impurity-doped multilayer carborundum films.Preferably behind each carborundum sublayer of deposition, implement described process.In certain embodiments, process comprises and introduces in process chamber containing the process gas of silicon precursor (such as tetramethylsilane) by comprising and form plasma with the first sublayer of the hard mask film of depositing silicon carbide.Afterwards, removed containing silicon precursor from process chamber with purge gas purge room by (such as).Then plasma processing gas is introduced in room, form plasma, and plasma treatment is carried out to make densifying materials to carborundum sublayer.Plasma processing gas can be identical with purge gas, or described gas can be different.The gas being applicable to purging and/or plasma treatment comprises inert gas (such as He, Ar), CO 2, N 2, NH 3and H 2.In certain embodiments, for purging and plasma treatment, He, Ar, H 2or its various mixture is preferred.After carrying out plasma treatment to the first sublayer of carborundum, repeated deposition, purging and plasma processing operation are to be formed and another sublayer of densification carborundum.Usually, the thickness of each sublayer is less than about (be such as less than about ) to allow good densification.In certain embodiments, described method relates to deposition and densification 10 or more sublayer (such as 20 or more a sublayer) to form hard mask film, in certain embodiments, the thickness of described hard mask film is between about with about between.
Multiple plasma process can improve the hardness of film relative to individual layer carborundum films.In certain embodiments, form high rigidity low stress membrane and comprise the non-impurity-doped carborundum films with high Si-C linkage content.In certain embodiments, in IR spectrum, Si-C peak is at least about 20 relative to the ratio of the area of Si-H.In certain embodiments, in IR spectrum, Si-C peak is at least about 50 relative to the ratio of the area of C-H.The carborundum films that provides also has usually at least about 2g/cm 3density.In certain embodiments, preferably use high-frequency radio frequency (HFRF) and low frequency radio frequency (LFRF) plasma to implement plasma post, wherein LF/HF power ratio is at least about 1.5, such as, at least about 2.
In the present invention on the other hand, the method forming high rigidity low stress membrane relates to the boron-containing thin film that deposition is selected from the group be made up of the following: Si xb yc z, Si xb yn z, Si xb yc zn w, B xn yand B xc y.These films can use the suitable precursor containing silicon, carbon and boron to be deposited by PECVD.Such as, for Si xb yc zdeposition, in one embodiment, provide containing boron precursor (such as B in PECVD process chamber 2h 6) and comprise carbon and silicon precursor (such as tetramethylsilane) to form Si in the plasma xb yc zfilm.For the film of preparation high rigidity low stress, preferred person is the dual frequency plasma that LF/HF power ratio is at least about 1.5 (such as at least about 2).In certain embodiments, film is rich in boron, BC/ [BC+SiC] than being at least about 0.35, as the area by respective peaks in IR spectrum determined.In certain embodiments, by making B 2h 6the boron-rich Si of high rigidity is prepared with the flow rate at least about 2 times higher than the flow velocity of tetramethylsilane xb yc zfilm.Advantageously, after patterning completes, easily can remove boron-containing thin film by chemico-mechanical polishing (CMP), because boron-containing thin film is generally hydrophily and is easy to dissolve by CMP chemical substance.
In the present invention on the other hand, provide and form GeN xthe method of hard mask film.In certain embodiments, described method is included in PECVD process chamber and accepts Semiconductor substrate and form GeN xhard mask film.Described film is by making containing germanium precursor and flowing into PECVD process chamber containing nitrogen precursor and form plasma to be formed.In certain embodiments, form GeN xthe modulus of film is at least about 100GPa and is rich in germanium.In certain embodiments, rich germanium film comprises at least about 60 atom %, preferably 70 atom % germanium (not comprising hydrogen).The density of film can more than 4g/cm 3.Advantageously, GeN xtransparent in fact (in the visible and near IR part such as at spectrum) under for the alignment wavelengths of lithographic patterning.In certain embodiments, GeN is deposited by forming plasma in the process gas comprising germane, ammonia and nitrogen xfilm, wherein the velocity ratio of germane/ammonia is at least about 0.05.In certain embodiments, dual frequency plasma body source is preferably used to deposit GeN xfilm.In certain embodiments, the LF/HF power ratio used between depositional stage is at least about 1.Similar with other film referred to above, GeN xfilm can be used in the multiple processing scheme of rear end and front-end semiconductor process.
In certain embodiments, by hard mask film (in such as above-mentioned film any one) deposition on the dielectric layer, such as dielectric constant is less than about 3, such as, be less than the dielectric of about 2.8.Usually photoresist is deposited upon (but directly might not contact with hard mask, because may anti-reflecting layer be deposited therebetween) above hard mask.Afterwards, implement lithographic patterning, wherein form recess feature (through hole and/or groove) in the dielectric layer.Patterning complete and by metal filled described feature after, remove hard mask (such as passing through CMP).In certain embodiments, for the chemical method (being generally RIE technique) for etching vias and/or groove, hard mask film is at least about 8: 1 relative to dielectric etching selectivity.
In other embodiments, in front-end processing by hard mask film (in such as above-mentioned film any one) deposition on the polysilicon layer, and it for protecting polysilicon during each treatment step.In certain embodiments, hard mask material is not removed and it can be retained in manufactured device.
Hereafter set forth these and other feature & benefits of the present invention in more detail with reference to correlative type.
Accompanying drawing explanation
Use during Figure 1A-1K is illustrated in the illustrative rear end photoetching process in semiconductor device manufacture herein provide hard mask to produce the cross-sectional illustration of device architecture.
Use during Fig. 2 A-2E is illustrated in the illustrative front end photoetching process in semiconductor device manufacture herein provide hard mask to produce the cross-sectional illustration of device architecture.
Fig. 3 be applicable to herein the process chart of the rear end photoetching process used together with hard mask is provided.
Fig. 4 be applicable to herein the process chart of the front end photoetching process used together with hard mask is provided.
Fig. 5 A be according to herein the process chart of the hard mask of embodiment depositing silicon carbide is provided.
Fig. 5 B provides the use IR spectrum of multilayer carborundum films compared with individual layer carborundum films that how fine and close plasma post obtains.The Si-C peak that its display is more outstanding.
Fig. 5 C is the stress of multilayer carborundum films and the experiment plot of stiffness characteristics compared with single thin film.
Fig. 5 D is the stress of multilayer carborundum films and the experiment plot of Young's modulus feature compared with single thin film.
Fig. 6 A provides the process chart of the exemplary processing method of the hard mask of embodiment employing boracic according to herein institute.
Fig. 6 B is the stress of boron-containing thin film and the experiment plot of stiffness characteristics of applicable hard mask application.
Fig. 6 C is the stress of boron-containing thin film and the experiment plot of Young's modulus feature of applicable hard mask application.
Fig. 6 D shows Si xb yc zfilm hardness is to the B used during PECVD 2h 6the dependent experiment plot of/tetramethylsilane velocity ratio.
Fig. 6 E shows Si xb yc zthe Young's modulus of film and stress parameters are to the dependent experiment plot of BC/ [BC+SiC] IR peak area ratio.
Fig. 6 F shows Si xb yn zthe Young's modulus of film and stress parameters are to the dependent experiment plot of BN/ [BN+SiN] IR peak area ratio.
Fig. 6 G shows Si xb yc zthe experiment plot of the performance of film in the test of contact angle hydrophobicity compared with non-impurity-doped carborundum films.Si xb yc zthe hydrophily that film display is relatively strong.
Fig. 7 adopts GeN according to this paper institute embodiment that provides xthe process chart of the exemplary processing method of hard mask.
Fig. 8 is the schematic representation of the PECVD device that can use low frequency (LF) and high frequency (HF) the radio frequency plasma body source that can be used for deposited hard mask film according to some embodiments of the invention.
Fig. 9 is the schematic representation of the multistation PECVD device being suitable for being formed according to some embodiments of the invention hard mask film.
Embodiment
Introduce and general introduction
Be provided for the hard mask film of rear end and front-end semiconductor process application.Described film comprises the material being selected from the group be made up of the following: SiC x(through doping or non-impurity-doped), Si xb yc z, Si xb yn z, Si xb yc zn w, B xn y, B xc yand GeN x.
Described material is substantially formed by element cited in corresponding formula and is optionally comprised the hydrogen clearly do not enumerated.Subscript x, y, z and w show that described material might not have stoichiometry.Described material only just comprises dopant when clearly mentioning and there is dopant.Such as, non-impurity-doped SiC described herein x(carborundum) substantially forms (might not have stoichiometric ratio) by silicon and carbon and optionally comprise the material of hydrogen.Through doped SIC xcomprise dopant element in addition, such as boron, oxygen, phosphorus or nitrogen.
In certain embodiments, herein provide material to have one or many person in following advantageous feature: high rigidity, high Young's modulus and low stress.In a preferred embodiment, described material has the combination of high rigidity and low stress simultaneously, thus (such as technology node is 45nm and less to make it especially be applicable to advanced technology nodes, such as 22nm) the hard mask application at place, especially be applicable to ultralow k (ULK) dielectric more weak to mechanicalness and carry out patterning, and be applicable to being formed the depression that length-width ratio is 2: 1 and (such as 4: 1 and larger) more greatly.
In certain embodiments, the hardness of hard mask material is at least about 12GPa, such as, at least about 16GPa, such as, at least about 18GPa or at least about 20GPa.Hardness is the clearly defined characteristic in material engineering field and can measures by reliable fashion, such as, measured by any suitable device (comprising nano impress device).In certain embodiments, except high rigidity, hard mask material has between the low stress about between-600-600MPa, such as, between about between-300MPa and 300MPa, between about between 0-600MPa, and most preferably between about between 0MPa and 300MPa.
Compression and tensile stress is measured, wherein on the occasion of corresponding to tensile stress and negative value corresponds to compression stress with a kind of scale.According to this scale, the feature of higher compression stress is lower negative value, and the feature of higher tensile stress is higher positive.According to this scale, the film without residual stress corresponds to zero.Stress is clearly defined parameter, and it can use (such as) can measure purchased from " Flexus " instrument of KLA-Teng Ke company (KLA-Tencor Corporation).
The material with high compression stress often causes substrate to occur buckling, and the material with high tensile stress often causes delamination (when adhesion strength especially is between the materials lower).In hard mask material, this two classes stress is all less desirable.But, such as contain in boron material more described herein, tolerance that is low and moderate elongation stress (such as 200-600MPa) is better than to the tolerance of the compression stress to same magnitude.
In certain embodiments, the Young's modulus of hard mask film described herein is at least about 100MPa, such as, at least about 125MPa, and such as 150MPa and larger.Young's modulus uses nano impress device to measure by standard technique.
It should be noted that hard mask material described herein is different from the material as dielectric diffusion barrier layer and etch stop layer usually.Dielectric diffusion barrier and etch-stop material are generally hardness and are less than about 10GPa and the dielectric constant relatively soft material that is less than about 5.Diffusion-barrier coating is retained in be needed in the final integrated circuit structure of low-k.On the contrary, the hard mask material that provides not necessarily needs to have low-k herein, and dielectric constant is greater than about 4 usually, such as, be greater than about 5, or is greater than about 6.This is because hard mask is sacrifice layer in many examples, its after patterning completely self-structure remove, and therefore on the electrical characteristics of formed integrated circuit without impact.In the described embodiment, if hard mask does not remove in final structure, then its be present in described in do not need the position of low-k, or the position of the material with relatively high-k in described device, can be tolerated.In addition, the hard mask material deposited by PECVD normally uses the power being significantly higher than softer low k diffusion barrier material to deposit in plasma occurs.Structurally, the usual softer low k diffusion barrier material of hard mask material is piled up more closely and finer and close.
In many examples, provide hard mask material to be in fact transparent (such as in the visible and near IR part of spectrum, such as, under 633nm) under the optical maser wavelength of aiming at for pattern.
The thickness of institute's deposited hard mask film depends on multiple parameter, and such as specific hard mask material is relative to the etching selectivity of underlying materials, the thickness needing the underlying materials of etching and etch chemistry used.In general, can deposit have high etch optionally harder hard mask material to be formed than having the film thin compared with the material of soft and lower etching selectivity.In addition, be favourable with thinner hard mask layer prepared by high selectivity hard material, because veryyer thin film has relatively high transparency, so it allows that preferred optical is aimed at.In certain embodiments, by thin film deposition to thickness between about 100- between, such as, between about 500- between.
In the chemical method for through hole and/or trench etch, institute's film that provides relative to dielectric (be such as 3.0 and lower relative to dielectric constant, such as 2.8 and lower, or 2.4 and lower dielectric) there is high etch-selectivity.Exemplary etch chemistry comprises RIE, and it is used in and comprises C xf y(such as CF 4), inert gas (such as Ar) and oxidant (such as O 2) process gas in the plasma that formed.Other dry-etching can be used, such as, use and comprise Cl 2and N 2the plasma etching of process gas.In certain embodiments, such as, for comprising mentioned C above xf yplasma etch chemistries matter, can obtain at least about 5: 1, such as at least about 8: 1 etching selectivity (that is, slower than dielectric at least 8 times of the etching of hard mask material).In certain embodiments, during Wet-type etching operation, such as wet fluoride etch chemistry in the selectivity Wet-type etching of silica based materials in use, the film that provides can be used as hard mask.
Can provide in herein institute and comprise silica, carbon doped silicon oxide (SiCOH), TEOS (tetraethyl orthosilicate)-deposition oxide, various silicate glass, hydrogen silsesquioxane (HSQ), methyl silsesquioxane (MSQ) and porous and/or organic dielectric through exposing the dielectric etched under hard mask material exists, described porous and/or organic dielectric comprise polyimides, polynorbornene, benzocyclobutene etc.Provide hard mask be advantageously used in most to dielectric constant be 2.8 and the more weak organic and/or porous dielectric of the mechanicalness of lower (such as 2.4 and lower) carry out patterning.
Hard mask material described herein generally can use multiple method to deposit, and comprises the method based on CVD and the method based on PVD.PECVD is particularly preferred deposition process, and allows that PECVD that dual frequency plasma occurs even more preferably.The device with high and low frequency power supply comprises can send out from promise that system (Novellus Systems) (Joseph of Arimathea, Saint, CA) buy with instrument.Low frequency radio frequency (RF) power refers to the RF power of frequency between 100kHz and 2MHz.The exemplary frequency range of LF plasma source between about between 100kHz to 500kHz, such as, can use 400kHz frequency.Between hard mask layer depositional stage, LF power density is usually at about 0.001-1.3W/cm 2in scope, be about 0.1-0.7W/cm in a particular embodiment 2.HF power is usually at about 0.001-1.3W/cm 2in scope, and be about 0.02-0.28W/cm in a particular embodiment 2.High frequency power refers to that frequency is greater than the RF power of 2MHz.Usual HF RF frequency is within the scope of about 2MHz-30MHz.Conventional HF RF value comprises 13.56MHz and 27MHz.In certain embodiments, the deposition of hard mask relates to and is set as at least about 1 by LF/HF power ratio, such as, at least about 1.5, such as, at least about 2.
Between PECVD depositional stage, usually with between 0.001sccm within the scope of about 10000sccm, the flow velocity that is preferably about 1sccm to about 1000sccm provides reactant gas or steam and uses within the scope of about 20 DEG C to about 500 DEG C, is preferably about the substrate pedestal temperature of 200 DEG C to about 450 DEG C in process chamber.In certain embodiments, be preferred concerning the temperature lower than about 400 DEG C (such as about 200 DEG C to about 400 DEG C) hard masked-deposition.Pressure within the scope of about 10 millitorrs to about 100 holders, can be preferably about 0.5 holder to 5 and holds in the palm.Should be understood that prerequisite flow velocity can become with substrate size and room size.
Purposes in back-end processing
The film that provides can be used in multiple hard mask application.The exemplary application of hard mask film in back-end processing can be explained by structure shown in Figure 1A-1K, and is explained by process chart shown in Fig. 3.See the illustrative process flow process in Fig. 3, described technique starts through exposing the substrate of dielectric layer by providing to have in 301.Semiconductor (such as silicon) wafer of one layer or more material (such as conductor or dielectric) is left above substrate is generally.Contain through expose portion the dielectric layer needed with through hole and channel patterns in substrate.The hard mask that provides generally can be used for carrying out patterning to multiple dielectric substance listed in preceding section herein.Especially advantageously use provide hard mask material come patterned dielectric constant be 2.8 and lower, such as 2.4 and lower ULK dielectric, comprise the more weak porous of mechanical property and organic dielectric.As above explain, the hard mask that provides has pole low stress in many embodiment:, and can significantly reduce usually when using heavily stressed hard mask material to carry out patterning to the ULK dielectric that mechanical property is poor appearance buckle and poor pattern is aimed at.It should be noted that in certain embodiments, the resilient coating of the material using mechanical property stronger between fragility ULK dielectric and hard mask.Therefore, in certain embodiments, provide substrate to have to be positioned on ULK material layer through exposing resilient coating (dielectric that such as mechanical property is stronger).Such as, comprise dielectric resilient coating that k is greater than 2.8 can be positioned at and have on the dielectric more weak compared with the mechanical property of low-k.Such as, resilient coating comprises the material being selected from the group be made up of the following: carbon doped silicon oxide (SiCOH), TEOS (tetraethyl orthosilicate)-deposition oxide, various silicate glass, hydrogen silsesquioxane (HSQ) and methyl silsesquioxane (MSQ), it can be positioned on porous and/or organic dielectric, and described porous and/or organic dielectric can comprise polyimides, polynorbornene, benzocyclobutene etc.ULK dielectric and resilient coating dielectric deposit by (such as) spin coating method or PECVD.In certain embodiments, by dielectric and/or buffer layer deposition in the PECVD module identical with hard mask layer institute deposition modules.This provides another advantage relative to the hard mask of titanium nitride, and the deposition of the hard mask of titanium nitride needs PVD module.In operation 303, hard mask material to be deposited on the dielectric layer in PECVD process chamber (or be deposited on resilient coating, it is also dielectric usually).Afterwards, optionally deposit one or more anti-reflecting layers (such as bottom antireflective coating (BARC)), side deposits photoresist on the hard mask in operation 305 afterwards.It should be noted that photoresist not necessarily directly contacts with hard mask material, because one or more anti-reflecting layers are usually located between hard mask and photoresist.Afterwards, in operation 307, institute's deposited hard mask and lithographic patterning is used to come etching vias and/or groove in the dielectric layer.Suitable etching comprises RIE described in preceding section, wherein for etching have high etch-selectivity through expose hard mask exist under etch dielectric substance.
Multiple lithography solution can be used to form the desired pattern of recess feature, and described lithography solution can comprise and deposits and remove multiple photoresist oxidant layer, deposit builders layer etc.These lithography solution are known in the industry, and no longer elaborate.Use the scheme first defining groove then forming section through hole as the illustrated example in Figure 1A-1K.However, it should be understood that back-end processing can use other scheme multiple.After formation through hole and/or groove, with metal (such as acid copper or its alloy) filling vias and/or groove in 309, and remove hard mask film by (such as) CMP or suitable wet type or dry-etching in operation 311.In certain embodiments, the Wet-type etching containing peroxide or CMP composition (the acid slurries such as containing hydrogen peroxide) are preferred for hard mask and remove.
Figure 1A-1K shows the schematic cross-sectional view according to the Manufactured Semiconductor substrate of illustrative process scheme part during back-end processing.Figure 1A shows the part in Semiconductor substrate (underlie silicon layer and active device do not show) with the layers of copper 101 be embedded in the first dielectric layer 103 (such as ULK dielectric), and wherein diffusion-barrier coating 105 (such as comprises Ta, Ti, W, TaN x, TiN x, WN xor its combination) interface between dielectric and copper.Dielectric diffusion barrier layer (also referred to as etch stop layer) 107, such as silicon nitride or nitrogen doped silicon carbide layer are positioned on the top of copper 101 and dielectric 103.Second dielectric layer 109 (such as passing through the ULK dielectric of spin coating or PECVD deposition) is positioned on the top of dielectric diffusion barrier layer 107.Because dielectric layer 109 possibility mechanical property is poor, and may be impaired during hard masked-deposition, therefore dielectric buffer layer 111 (such as TEOS dielectric or carbon doped silicon oxide (SiCOH)) stronger for mechanical property be deposited on layer 109.By PECVD, the hard mask layer 113 comprising high hardness material described herein is deposited on resilient coating 111.Different from dielectric diffusion barrier layer 107, hard mask layer 113 is deposited on and does not comprise on the surface of exposing metal.By spin coating method, photoresist oxidant layer 115 is deposited on above hard mask 113.Usually one or more anti-reflecting layers are deposited directly between hard mask and photoresist.For keeping clear picture, do not show described anti-reflecting layer.
After depositing photoresist 115, use standard photolithography techniques to be patterned, thus formation width is the opening of t, it can be used for forming groove subsequently.Gained has the configuration shows of patterned photoresist oxidant layer 115 in Figure 1B.Afterwards, to being positioned at hard mask layer 113 opening (etching) removed below photoresist, thus form the pattern through exposing dielectric 111, as is shown in fig. 1 c.Remain hard mask to be used in photoresist and to remove and protect dielectric during dielectric etch subsequently.Afterwards, remove photoresist oxidant layer 115 by (such as) ashing from described structure, and form the structure had through the hard mask 113 of exposure patternization.In this stage, start to carry out patterning to form through hole.For patterning through hole, the surface that the filling oxidant layer 117 easily removing dielectric (such as HSQ or MSQ) is deposited on described structure can be comprised, thus fill the opening in hard mask, as shown in fig. 1e.Afterwards, the second photoresist oxidant layer 119 is deposited on and fills above oxidant layer 117 (there is optional anti-reflecting layer therebetween), thus form structure shown in Fig. 1 F.Then carry out patterning to form the opening that width is V to photoresist 119, it can be used for forming through hole, as shown in structure 1G.Afterwards, remove the hard mask below described photoresist pattern, and use the partially-etched through hole of (such as) RIE in dielectric 109.Remove photoresist 119 and fill oxidant layer 117, thus formation has partially-etched through hole and the structure through defining groove, as shown in figure ih.Afterwards, continue etch dielectric layer 111 and 109 until through hole arrives etch stop layer 107, etched the metal level 101 penetrating to expose via bottoms subsequently, as shown in figure ii.Subsequently by PVD conformally deposit and spread barrier material 105 to add back boxing to substrate in recess feature He in place.Use metal 121 (such as acid copper or its alloy) to fill recess feature subsequently, and usually in described field, have certain overload, thus form the structure shown in Fig. 1 J.Afterwards, remove metal overload, diffusion barrier material 105, hard mask layer 113 and dielectric buffer layer 111 from the place of described structure, thus the Manufactured device of forming section, it has and is arranged in the metal interconnected of low-k dielectric layer 109, as shown in figure ik.In other processing scheme, resilient coating 111 can not be removed and it can be retained on substrate.
A kind of possible patterning regimes for low K dielectrics of processing scheme explaination of forming section through hole is related to as shown in Figure 1A-1K.The hard mask material that provides can be used in other processing scheme multiple herein, comprises via-first and groove-priority two schemes.
Purposes in front-end processing
Provide another illustrative use of hard mask to be protect polysilicon during front-end processing.Polysilicon is widely used in the active device (such as transistor) formed on semiconductor wafer.In certain embodiments, provided hard mask material is deposited on polysilicon, and uses it during the various process operations for active device manufacture, protect polysilicon.It should be noted that in many embodiments of front-end processing, the hard mask layer that provides not is victim and is retained in resulting devices and and polysilicon contact.
Illustrative front-end processing schemes show in the process chart of Fig. 4, and is explained further by the schematic cross-sectional view of the Manufactured structure of part shown in Fig. 2 A-2E.See Fig. 4, described technique starts from 401, and it provides the substrate through exposed polysilicon layer having and be positioned at oxide skin(coating) (such as silica, hafnium oxide etc.) top.In other embodiments, polysilicon can be positioned at the top of different active layer.Oxide is usually located on monocrystalline silicon layer.For making oxide and polysilicon layer pattern, side's deposition two hard mask layers on the polysilicon layer.Such as, by the first hard mask Direct precipitation to polysilicon layer and it comprises material described herein, SiC x(through doping or non-impurity-doped), Si xb yc z, Si xb yn z, Si xb yc zn w, B xn y, B xc yand GeN x, as operated as shown in 403.Hard mask is by CVD technology, deposits more preferably by PECVD.Afterwards, can the hard mask of ashing (the hard mask be such as substantially made up of carbon (optionally there is hydrogen)) at the first hard mask disposed thereon in operation 405.Can also hydrocarbon precursor be used to deposit by CVD technology (such as being deposited by PECVD) by the hard mask of ashing.Afterwards, can the hard mask of ashing deposit photoresist oxidant layer and as required patterning carried out to photoresist, as operated as shown in 407.Optionally can deposit one or more anti-reflecting layers between the hard mask of ashing and photoresist, it does not show to keep clear picture.The illustrative structures with non-patterned photoresist is showed in Fig. 2 A, and its middle level 201 is monocrystalline silicon layers.The layer 203 be positioned on silicon layer 201 is oxide skin(coating)s.The layer 205 that oxide skin(coating) 203 pushes up is polysilicon layers.Hard mask material 207 described herein is located immediately at polysilicon 205 and pushes up, and can be positioned at above the first hard mask layer 207 by the hard mask of ashing (the hard mask of such as carbon) 209.Photoresist oxidant layer 211 is positioned at can above the hard mask of ashing 209 (optional anti-reflecting layer does not therebetween show).The configuration shows obtained after patterning photoresist is in Fig. 2 B, and it is presented at two positions and removes photoresist, thus retains the part between two positions.
Refer again to Fig. 4, described technique is followed operation 409 and is used and can etch desired pattern by the hard mask of ashing in polysilicon and oxide skin(coating) for patterning.This is showed in structure 2C-2E.In structure 2C, the part place exposed after patterning photoresist is to can ashing hard mask layer 209 opening (etching).Afterwards, remove photoresist 211 completely, and not by can ashing hard mask layer 209 protect part place etching first hard mask layer 207, polysilicon layer 205 and oxide skin(coating) 203, thus provide the structure shown in Fig. 2 D.
Refer again to Fig. 4, in operation 411, being removed by (such as) oxygen plasma treatment can the hard mask of ashing, retains the first hard mask layer containing the material being selected from the group be made up of the following on the polysilicon layer: SiC simultaneously x(through doping or non-impurity-doped), Si xb yc z, Si xb yn z, Si xb yc zn w, B xn y, B xc yand GeN x.Resulting structures is showed in Fig. 2 E.Hard mask layer 207 can be retained during front-end processing subsequently and its be used in multiple subsequent operation during (such as during dopant is implanted crystalline silicon) protection polysilicon.The hard mask material that it should be noted that in described process sequence do not play actual masking action (shelter be by can the hard mask 209 of ashing reach), but mainly for the protection of polysilicon.According to Integrated Solution, hard mask 207 can in follow-up front-end operations (during the dry type such as in clean or Wet-type etching, or during the oxide etching for defining lock) for sheltering.Hard mask material can finally remove from resulting devices, maybe can retain in the devices, and this depends on Integrated Solution used.
Above-mentioned rear end and front end applications are that property sequence provides as an example, and should be understood that provided material can be used for the multiple high hardness material that needs in other technique protecting underlying bed.
Now the preparation of suitable hard mask material will be elaborated.
Multilayer carborundum films
In one embodiment, the multilayer carborundum films with high rigidity and low stress is provided.Specifically, in certain embodiments, the hardness of described film is greater than about 12GPa, such as, be greater than about 18GPa, and stress is between about between-600MPa-600MPa, such as, between about between-300MPa-300MPa.Described film be by deposition through doping or non-impurity-doped carbofrax material sublayer and deposition each sublayer after implement fine and close plasma post to be formed.
Although carborundum can use multiple method to deposit, in certain embodiments, preferably deposited seed layer implement plasma post in a PECVD device.The thickness of each sublayer is less than about usually such as be less than about thus make material can densification more completely.Deposition can relate to the formation of arbitrary quantity sublayer and plasma treatment to obtain suitable hard mask thickness.In certain embodiments, deposit at least 2 sublayers, such as at least 10 sublayers, or at least about 20 sublayers.
The exemplary process flow chart forming multilayer carborundum films is showed in Fig. 5 A.In operation 501, Semiconductor substrate (such as having through exposing dielectric layer or the substrate through exposed polysilicon layer) is provided in PECVD process chamber.PECVD process chamber contains entrance for introducing precursor and plasma generator.In certain embodiments, preferred person is the double frequency RF plasma generator with HF and LF generator assembly.
In operation 503, formed through doping or the first sublayer of non-impurity-doped carborundum, wherein deposition comprises and makes to flow in process chamber containing silicon precursor and form plasma.In one example, use HF RF frequency for about 13.56MHz and the LF RF frequency dual frequency plasma that is 400kHz.In this example, HF power density is about 0.04-0.2W/cm 2, and LF power density is about 0.17-0.6W/cm 2.
Can use multiple containing silicon precursor, comprise organosilicon precursor, such as alkyl silane, alkenyl silanes and alkynyl silane.In certain embodiments, preferred person is saturated precursor, such as tetramethylsilane, tri isopropyl silane and 1,1,3,3-tetramethyl 1,3-bis-silicon cyclobutane.
In certain embodiments, comprise carbon containing silicon precursor, as described in example above.In other embodiments, can use in process gas carbon-free containing silicon precursor (such as silane) and independent carbonaceous precursor (such as hydrocarbon).In addition, in certain embodiments, process gas and can comprise hydrocarbon and organosilicon precursor.
Usually will such as, introduce together in process chamber containing silicon precursor and carrier gas (such as inert gas, He, Ne, Ar, Kr or Xe).In certain embodiments, H can be comprised in deposition process gases 2.In an example, deposition process gases is made up of tetramethylsilane (flow velocity be about 500-2,000sccm) and helium (flow velocity is about 3-5slm) substantially.
If desired formed through doped silicon carbide layer, then Suitable dopants is added in process gas.Such as, can by N 2, NH 3, N 2h 4, amine or different to be added in process gas containing nitrogen precursor to form nitrogen doped silicon carbide.Such as diborane etc. can be added and contain boron precursor to form boracic carborundum.Phosphorous precursor (such as PH can be added 3) to form phosphorus doping carborundum.
Light plasma and formed expect thickness carborundum sublayer after, remove containing silicon precursor from process chamber in operation 505.In certain embodiments, described in remove by having come with purge gas purge room, described purge gas can containing the gas being selected from the group be made up of the following: inert gas (such as He, Ar), CO 2, N 2, NH 3, H 2with its mixture.In certain embodiments, He, Ar, H 2or its various mixture is preferred purge gas.In operation 507, removing completely after containing silicon precursor, plasma treatment use process gases (it can be identical or different with purge gas) to be introduced in process chamber and be preferably at least about 1.5 in LF/HF power ratio, such as at least about the condition of 2 under with plasma treatment first sublayer.In operation 509, repeated deposition and plasma post are to form the plural layers containing at least 2 sublayers, such as at least 10 sublayers.Implement the plasma post of each sublayer through the time span needed for thin film densification, and described time span can be depending on molecular layers thick.In certain embodiments, implement plasma post second through about 5-25, such as about 8-15 second is implemented in each sublayer.
Find the structure and characteristics of gained film and to commonly use carborundum films different.People are surprised to find that, the plural layers prepared by how fine and close plasma post can have high rigidity and low stress simultaneously, and commonly use deposition process and can not reach this result.
The structural characterization display of these films, infrared (IR) spectrum of described film has distinctive high Si-C/Si-H and Si-C/C-H peak ratio, and wherein said ratio refers to and is centrally located at about 760-800cm -1(Si-C), 2070-2130cm -1and 2950-3000cm (Si-H) -1(C-H) the corresponding IR peak area ratio at place.
In certain embodiments, in IR spectrum, Si-C peak is at least about 50 relative to the area ratio at C-H peak and Si-C/Si-H ratio is at least about 20.The film that provides also has usually at least about 2g/cm 3density.
Fig. 5 B shows IR spectrum (the IR spectrum (curve b) of the multilayer non-impurity-doped carborundum films of curve a) with through how fine and close plasma treatment acquisition of the individual layer non-impurity-doped carborundum films obtained without plasma post.Under the pressure of 2.1 holders by making process gas flow containing tetramethylsilane (flow velocity is 1,000sccm) and helium (flow velocity is 3000sccm) at 300mm deposition on wafer single thin film.Between depositional stage, use LF power density for about 0.25W/cm 2and HF power density is about 0.13W/cm 2dual frequency plasma.For sublayer deposition, deposit multilayer film under the same conditions, but its plasma post implemented after being included in each sublayer deposition in addition.Reprocessing relates to be made to flow in process chamber with the speed of 3slm as the argon of post-treatment gas in the room pressure of 2.1 holders, and formation LF power density is about 0.25W/cm 2and HF power density is about 0.13W/cm 2dual frequency plasma.The feature of gained single thin film is that SiC/SiH area ratio is about 15.The feature of the gained plural layers formed through fine and close plasma treatment is that SiC/SiH IR peak area ratio is about 24.The Young's modulus of plural layers is about 170GPa and hardness is about 20.4GPa, and the Young's modulus of single thin film is about 95GPa and hardness is only about 12GPa.The stress value of single thin film and plural layers is respectively-20MPa and 179MPa.
Fig. 5 C shows two stress of multilayer non-impurity-doped carborundum films and the stress of hardness number and two individual layer non-impurity-doped carborundum films prepared without reprocessing and hardness number using fine and close plasma post to prepare.Fig. 5 D shows stress and the Young's modulus value of identical film.Table 1 summarizes deposition and the post-treatment condition of film.
Table 1.
All films are all use the mixture of tetramethylsilane and helium to prepare as deposition process gases under the pressure of about 2 holders.Under all deposition situations, all use dual frequency plasma to occur.The power density of HF and LF plasma is shown in table, and wherein said power density is by being calculated divided by Substrate Area by power.Film A and D is the single thin film prepared without plasma post.Visible, these films can not have high rigidity and low stress simultaneously.Such as, although film A relatively hard (22.4GPa), there is the extra-high voltage stress under compression of-830MPa.Although film D stress less (-20MPa), only has the medium hardness of 12GPa.
Film B and C is plural layers, wherein after the deposition of each carborundum sublayer, implements plasma post.Under the pressure of about 2 holders, use argon as plasma processing gas.Dual frequency plasma is used to carry out plasma post.The power density of HF and LF plasma is shown in table.Surprisingly, it is found that plural layers have high rigidity (and/or modulus) and low stress simultaneously.Such as, film B has the hardness of 20.86GPa and the stress (described stress is less than 1/2nd of the stress of film A) of-412MPa.In addition, plural layers C has the high rigidity of 20.4GPa and the tensile stress of 179MPa.The hardness of film C is greater than 1.5 times of film D hardness.It should be noted that except plasma post, film C and D deposits under the same conditions.Visible, plasma post makes film harder and the compression stress of film can not be made to occur unacceptable increase.
In certain embodiments, the dual frequency plasma preferably using LF power to be greater than HF power (such as LF/HF power ratio be at least about 1.5 or at least about 2) implements reprocessing to carborundum sublayer.Surprisingly, the ratio improving LF/HF power used during reprocessing can improve the characteristic of gained film.Improve the refractive index that LF/HF power ratio can improve gained film, refractive index is the parameter be proportionate with film hardness.In certain embodiments, provide refractive index to be at least about 2.25, such as at least about 2.30 multilayer carborundum films.Film refractive index increases with the increase of LF/HF power ratio and is showed in table 2.
Table 2.
Film is numbered Reprocessing every station HF power, W Reprocessing every station LF power, W Refractive index
1 114 211 2.3021
2 325 0 2.2308
3 114 111 2.2527
The hard mask film of boracic
In another aspect, provide boracic hard mask film.Boron-containing thin film comprises the material being selected from the group be made up of the following: Si xb yc z, Si xb yn z, Si xb yc zn w, B xn yand B xc y.In certain embodiments, these materials have high rigidity, and (such as hardness is at least about 12GPa through transformation, preferably at least about 16GPa) and low stress (such as stress is between about-600 and 600MPa, preferably between about-300 and 300MPa).Advantageously, in certain embodiments, provide the boron-containing thin film without compression stress, such as, there is the film of extremely low tensile stress (such as between about between 0-300MPa).In addition, the hydrophily of boron-containing thin film is better than non-impurity-doped carborundum films usually, and can be easier to be removed by CMP (such as using the acid slurries containing hydrogen peroxide).In general, the hard mask of boracic is prepared by multiple method, such as, based on the technology of CVD and the technology based on PVD.In certain embodiments, for preparing the hard mask of boracic, PECVD is preferred.
See Fig. 6, it is illustrated in back-end processing the exemplary process flow process using the hard mask of boracic.Described technique is started containing the Semiconductor substrate through exposing dielectric layer by providing package in PECVD process chamber in 601.Dielectric layer can be (such as) ultra low-k dielectric (such as k is less than about 2.8, such as, be less than about 2.4) or there is the buffering dielectric layer of high dielectric constant.
In operation 601, deposition is selected from the hard mask film of high rigidity low stress boracic of the group be made up of the following: Si xb yc z, Si xb yn z, Si xb yc zn w, B xn yand B xc y.Described deposition is that the process gas by making to comprise suitable precursor flows into process chamber and forms plasma to implement.In certain embodiments, dual frequency plasma is preferred.In certain embodiments, when the power density of LF plasma is greater than power density (such as LF/HF power ratio is at least about 1.5, such as, at least about 2) of HF plasma, thin film parameter excellent is especially obtained.
After deposit film, in 605, patterning is carried out to dielectric, thus form groove and/or through hole, such as, as described in see Figure 1A-1K.Boron-containing thin film can be used as hard mask during implementing dry-etching by RIE to dielectric.Afterwards, after forming through hole and/or groove in the dielectric, in operation 607, with metal pair, it is filled.Afterwards, usually after removing metal overload, in 609, remove the hard mask of boracic by CMP.
Si xb yc zpECVD deposition by use containing containing silicon precursor, come containing the process gas of boron precursor and carbonaceous precursor.One or many person in these precursors can be same molecular.Such as, tetraalkyl silane both can be used as carbonaceous precursor and also can be used as and play a role containing silicon precursor.Usual use diborane, as containing boron precursor, can use alkyl silane (such as tetramethylsilane), alkenyl silanes and alkynyl silane as siliceous and carbonaceous precursor.In addition, saturated and unsaturated hydrocarbons (C can be used xh y) as carbonaceous precursor, and can SiH be used 4as containing silicon precursor.
Si xb yc zn wdeposition by comprise containing silicon precursor, containing boron precursor, carbonaceous precursor (as mentioned above) and containing the process gas of nitrogen precursor in form plasma and come.Ammonia, hydrazine, N can be comprised containing nitrogen precursor 2with its mixture.In addition, can be identical with carbonaceous precursor and can amine be comprised, such as monoalkylamine, dialkylamine and trialkylamine containing nitrogen precursor.Containing nitrogen precursor can with boracic precursor phase with and tetramethyl borazine can be comprised.In addition, can be same with siliceous precursor phase containing nitrogen precursor, such as silazane.
Si xb yn wdeposition by comprising containing silicon precursor (such as SiH 4), containing boron precursor (such as diborane) with containing nitrogen precursor (such as ammonia, hydrazine, N 2with its various mixture) process gas in form plasma and come.
B xn ycan use and comprise containing boron precursor (such as diborane) with containing nitrogen precursor (such as nitrogen, hydrazine, N 2with its mixture) process gas deposit.
B xc ythe process gas comprised containing boron precursor (such as diborane) and carbonaceous precursor (such as saturated or unsaturated hydrocarbons) can be used.The such as inert carrier gas such as helium or the argon normally part of process gas used between the depositional stage of these boron-containing thin films.In certain embodiments, in process gas, also H is comprised 2.
Fig. 6 B shows the various Si deposited by PECVD xb yc z, Si xb yn z, Si xb yc zn wthe hardness of film and stress parameters.Fig. 6 C shows Young's modulus and the stress parameters of identical film.Obtain the sedimentary condition of film and characteristic is shown in table 3.
Table 3.
All films are all use dual frequency plasma to be deposited on 300mm wafer under the pressure within the scope of about 2 to about 4 holders, and wherein HFRF power density is in about 0.08 to about 0.30 scope, and LFRF power density between about 0.10 to about 0.24W/cm 2in scope.
In one embodiment, Si xb yc zfilm uses substantially by B 2h 6, tetramethylsilane (4MS) and He composition process gas deposit.B 2h 6flow velocity can in the scope about between 2,000-4,000sccm, preferably between about between 3,500-4,000sccm, and the flow velocity of tetramethylsilane can within the scope of about 1,000-1,500sccm.Preferably use between carrier gas (such as, the He) flow velocity about between 3-8slm.Use HFRF power density between about 0.04-0.26W/cm in certain embodiments 2between and LFRF power density between about 0.14-0.53W/cm 2between dual frequency plasma.
People are surprised to find that, obtain film hardness height depend on B 2h 6with the ratio of tetramethylsilane (4MS).Preferably use the B at least about 2 (such as, at least about 3) 2h 6/ 4MS velocity ratio, to obtain the boron-rich film of high rigidity.
Fig. 6 D illustrates Si xb yc zthe hardness of film is with B 2h 6/ 4MS velocity ratio and becoming.Visible, hardness can be improved about 2 times by velocity ratio is increased to about 3.5 from about 0.5.Corresponding hardness and the stress value of different in flow rate ratio are showed in table 3.
Structurally, the feature with the film of high rigidity and high Young's modulus is high B-C linkage content.In certain embodiments, preferred person is the film of high hardness that BC/ [BC+SiC] IR peak area ratio is at least about 0.35.Described ratio refers to and is centrally located at about 1120-1160cm -1and 760-800cm (B-C) -1(Si-C) ratio of the corresponding IR peak area at place.
Fig. 6 E illustrates various Si xb yc zthe dependence that the Young's modulus of film and stress parameters become with BC/ [BC+SiC] area ratio.Visible, the film that BC/ [BC+SiC] is less than about 0.3 is significantly softer than the film with higher B-C linkage content.Table 4 is summarized about three kinds of Si xb yc zthe data obtained of film.All three kinds of films are all under 2.1 backing pressure power, use HFRF power density for about 0.12W/cm 2and LFRF power density is about 0.22W/cm 2dual frequency plasma with by B 2h 6the process gas that (flow velocity fades to 3500sccm from 500sccm), 4MS (flow velocity is 1,000sccm) and He (flow velocity is 3,000sccm) form deposits.The hardness, stress and the Young's modulus parameter declaration that become with B-C content are in table 4.
Table 4.
Film BC/[BC+SiC] Stress, MPa Hardness, GPa Modulus, GPa
1.Si xB yC z 0.386 439 17.3 163
2.Si xB yC z 0.22 211 12.29 103
3.Si xB yC z 0.364 418 15.15 138
In certain embodiments, the dual frequency plasma preferably using LF power to be greater than HF power (such as LF/HF power ratio is at least about 1.5, at least about 2, such as, at least about 3) deposits Si xb yc z.It is found that, improve LF/HF power ratio used between depositional stage and can improve the characteristic of gained film.Improve the refractive index that LF/HF power ratio can improve gained film, itself and film hardness are proportionate.In certain embodiments, provide refractive index to be at least about 2.3, such as at least about 2.5, such as at least about 2.6 Si xb yc zfilm.Increase with LF/HF power ratio and the film refractive index of raising is showed in table 5.
Table 5.
Film is numbered LF/HF power ratio Refractive index
I.Si xB yC z 1.86 2.518
II.Si xB yC z 4.33 2.5714
III.Si xB yC z 3.05 2.6131
IV.Si xB yC z 0.81 2.3382
At Si xb yn zin film, the important feature feature of film is the content of B-N key, and it uses BN/ [BN+SiN] peak area ratio in IR spectrum to quantize, and wherein said ratio refers to and is centrally located at about 1400cm -1and 820-850cm (B-N) -1(Si-N) ratio of the corresponding IR peak area at place.
Fig. 6 F shows, and both stress and Young's modulus all highly depend on this parameter.Specifically, compression stress increases with B-N linkage content and increases fast.In certain embodiments, preferred person is that BN/ [BN+SiN] is less than about 0.7, is such as less than the Si of about 0.6 xb yn zfilm.B-N linkage content can be regulated containing silicon precursor with containing the flow velocity of boron precursor by appropriate change as required.The film characteristics with the film of different B N/ [BN+SiN] ratio shown by table 6.
Table 6
As previously mentioned, boron-containing thin film is very suitable for the application of hard mask.A particular advantages of boron-containing thin film is its hydrophily, and it removes easily through CMP.Fig. 6 G shows various Si xb yc zfilm uses the hydrophily of contact angle test compared with non-impurity-doped carborundum films, is wherein placed on film by a water.Measure the contact angle of water droplet on film, lower contact angle is corresponding to the film had compared with strongly hydrophilic.The Si listed in test chart 3 xb yc zfilm 4-6, and the contact angle obtaining 38-42 °.On the contrary, the hydrophobicity of non-impurity-doped carborundum films is significantly comparatively strong, as 66 ° of significantly higher contact angles confirm.
The hard mask film of germanium nitride
In another aspect, GeN is provided xhard mask film.In certain embodiments, the feature of these films is at least about 100GPa, such as at least about the high Young's modulus of 130GPa and high density, (such as density is greater than about 4g/cm 3).GeN xfilm can be used as hard mask in multiple rear end and front-end processing scheme, and enough transparent under the optical maser wavelength of aiming at for pattern, and after a procedure easily through CMP or Wet-type etching technology from substrate removal.
In certain embodiments, rich germanium GeN is preferably used xhard mask film.The germanium concentration of described rich germanium film is at least about 60 atom %, such as, at least about 70 atom %, such as, at least about 75 atom % (not comprising hydrogen).High Ge content makes germanium nitride film remove more responsive to CMP and Wet-type etching after described film is for patterning.In certain embodiments, removing is by making hard mask contact with the composition comprising hydrogen peroxide in CMP or Wet-type etching operation.Such as, the acidic CMP slurry containing hydrogen peroxide can be used.
In one example, preparing germanium concentration is about 79 atom % germanium, and Young's modulus is about 144GPa and density is about 4.4g/cm 3geN xhard mask film.
The hard mask of germanium nitride generally can use multiple CVD and PVD technology to prepare, and wherein sets forth PECVD and is used as illustrative example.Prepare with reference to backend process flow chart shown in Fig. 7, described technique is started containing the Semiconductor substrate through exposing dielectric layer by providing package in PECVD process chamber in 701.In operation 703, germanium content is at least about the GeN of 60 atom % xhard mask film.Deposition is by comprising containing germanium precursor (such as germane) with containing nitrogen precursor (such as NH 3, N 2, N 2h 4with its various mixture) process gas to introduce in process chamber and to form that plasma implements with cvd nitride germanium layer.Deposition process gases optionally comprises inert gas, such as helium or argon.Containing nitrogen precursor with containing the velocity ratio of germanium precursor through selecting to form rich germanium germanium nitride film. in one example, if precursor is germane and ammonia, then use at least about the germane of 0.05 and the ratio of ammonia.
In an illustrative example, by making at the temperature between about 350-450 DEG C substantially by germane (flow velocity is between about between 50-100sccm), NH 3(flow velocity is between about between 600-1200sccm) and N 2process gas that (flow velocity is about 12slm) forms to flow in process chamber and forms dual frequency plasma to prepare GeN at deposited on substrates germanium nitride film on 300mm wafer xhard mask, wherein said temperature refers to the temperature at pedestal place.In this illustrated example, the pressure between depositional stage is between about 2.5-4 holds in the palm.In this illustrative depositing operation, frequency of utilization is that (power density is about 0.18W/cm to about 13.56MHz 2) HF RF assembly and frequency be that (power density is about 0.23W/cm to about 400kHz 2) LF RF assembly.In certain embodiments, power density is preferably used to be greater than the LF assembly of HF assembly.
Refer again to the process chart in Fig. 7, after cvd nitride germanium film, in operation 707, patterning is carried out to form groove and/or through hole, such as, as shown in Figure 1A-1K to dielectric.During dry-etching patterning, such as, in dielectric reactive ion etching (RIE) period, the hard mask of germanium nitride can be used.Such as, can through expose GeN xthere is lower use and comprise C in hard mask xf y(such as CF 4), inert gas (such as Ar) and oxidant (such as O 2) process gas by making to have substrate through exposing hard mask and dielectric layer and Plasma contact and etching vias and/or groove in the dielectric.Other dry-etching can be used, such as, use and comprise Cl 2and N 2process gas implement plasma etching.
After carrying out patterning to dielectric, with filling hole with metal and/or groove in operation 707.Such as, by plating, copper is deposited in recess feature.Afterwards in operation 709, remove hard mask by CMP.Such as, this can remove period at the CMP of copper overload and diffusion barrier material and completes.In certain embodiments, use there is acid pH and the CMP slurry liquid comprising peroxide (such as hydrogen peroxide) to remove GeN xhard mask.In other embodiments, GeN xhard mask film (such as uses by Wet-type etching and comprises H 2sO 4and H 2o 2solution, its can 3: 1 ratio exist) remove.
Process chart in Fig. 7 shows back-end processing scheme.GeN xfilm also can be used as hard mask in front-end processing.In addition, during Wet-type etching, such as, during use fluoride Wet-type etching chemical method carries out patterning to silica based materials, germanium nitride film can be used as hard mask.
Device
Generally can deposit hard mask material described herein in dissimilar device, comprise CVD and PVD device.In a preferred embodiment, described device is PECVD device, and it comprises HFRF and LFRF power supply.The example of suitable device comprises and certainly can be positioned at Joseph of Arimathea, Saint, and Novellus Systems Inc. of CA buys with instrument.
In general, described equipment will comprise one or more rooms or " reactor " (sometimes comprising multiple station), and it can hold one or more wafers and be suitable for carrying out processing of wafers.Each room can hold one or more for process wafer.Wafer maintains such as, through defining position (move in described position or do not move, rotating, vibrating or other stirring) by one or more rooms described.In certain embodiments, during processing, the wafer carrying out hard mask layer deposition is transferred to another station from the station of in reactor.When processing, by pedestal, wafer chuck and/or other wafer holding apparatus by each wafer held in place.For the operation for heated chip, described equipment can comprise heater, such as heating plate.
Fig. 8 provides the simple block diagram illustrated through arranging the various reactor assemblies for implementing suitable PECVD reactor of the present invention.As shown in the figure, reactor 800 comprises process chamber 824, and it seals other assembly of reactor and for holding the plasma produced by capacitor type systematic, described capacitor type systematic comprises the shower nozzle 814 worked in conjunction with ground connection heater module 820.High frequency RF generator 804 and low frequency RF generator 802 are connected to matching network 806, and described matching network 806 is connected to again shower nozzle 814.
In reactor, wafer base 818 support substrates 816.Described pedestal generally includes chuck, Y-piece or stripper pin with fixing during deposition reaction and between each deposition reaction and shifts described substrate.Described chuck can be electrostatic chuck, mechanical chuck or can be used for the chuck of other types various in industry and/or research.
Process gas is introduced by entrance 812.Multi-source gas line 810 is connected to manifold 808.Gas can premixed or not premixed.Suitable valve control and mass flow control mechanism is adopted to guarantee in the deposition of described technique and send correct gas during the plasma treatment stage.If send precursor in liquid form, then adopt liquid flow control mechanism.Subsequently before described liquid arrives settling chamber, In transit in the manifold being heated above described vaporizing liquid point, makes vaporizing liquid and processes gas and vapor permeation with other.
Process gas leaves room 824 by outlet 822.Vacuum pump 826 (such as, one-level or two-stage machinery dry pump and/or turbomolecular pump) pump-and-treat system gas the suitable low pressure maintained by the movement restriction device (such as choke valve or pendulum valve) of closed loop systems in reactor usually.
In the one of multiple embodiment, multistation equipment can be used to carry out deposited hard mask layer.Described multistation reactor makes can run similar and different process in same room environmental simultaneously, and then improves the efficiency of processing of wafers.An example of this equipment is illustrated in Fig. 9.It shows the suitability diagram of vertical view.Canyon 901 comprises four station 903-909.In general, in the single ventricle of multistation device, any amount of station is all possible.Station 903 is for being loaded into and unloading substrate wafer.Station 903-909 can have identical or different function, and in certain embodiments can (such as, under different temperatures scheme) operation under different technology conditions.
In certain embodiments, whole hard mask layer is deposited in a station of device.In other embodiments, make the Part I of hard mask layer be deposited in the first station, then by wafer transfer to the second station, wherein deposit the Part II of same hard mask layer, and so on, until wafer returns the first station and leaves described device.
In one embodiment, the deposition of carborundum sublayer and plasma post are carried out in a station in a device.In other embodiments, the deposition of sublayer is carried out in one or more Special stations, and plasma post is carried out in one or more different stations.
In one embodiment, station 903,905,907 and 909 is all for deposited hard mask layer.Use index dial 9 ¨ that substrate is lifted away from pedestal and exactly substrate orientation processed station place in next.After wafer substrates is loaded into station 903, it is indexed into successively station 905,907 and 909, wherein in a part for each station place deposited hard mask layer.At station 903, place unloads treated wafer, and loads described module with new wafer.In the normal operation period, independent substrate occupies each station and when repeating described process at every turn, substrate is moved to new station.Therefore, the device with four stations 903,905,907 and 909 allows process four wafers simultaneously.
Process conditions and technological process self can control by controlled device unit 913, described controller unit comprises for monitoring, the program command maintaining and/or regulate some state-variable (such as, HF and LF power, precursor flow rate, temperature, pressure and like this).Controller comprises the program command for implementing arbitrary hard mask deposition event described herein.Such as, in certain embodiments, controller comprise program command for depositing silicon carbide sublayer (namely for making suitable treatment gas flow and using required power parameter to generate plasma), to purge room with purge gas, with plasma processing gas plasma treatment implemented to described sublayer and described deposition and plasma treatment procedure repeated implement desired times (such as deposit and process at least 10 sublayers).In certain embodiments, controller comprises program command for depositing the hard mask of boracic (it comprises as discussed previously for making the instruction of the process gas flow with suitable composition) and the program command for using suitable power level (such as LF/HF power ratio is at least about 1.5) to generate plasma.In other embodiments, controller comprises for depositing GeN xthe program command of hard mask, it comprises for making to comprise containing germanium precursor and the instruction of flow with certain flow rate containing the process gas of nitrogen precursor, and it preferably causes forming the film contained at least about 60 atom % germanium.Described controller can comprise the similar and different instruction for different device station, allows described device station independence or simultaneous operation thus.
Should be understood that example described herein and embodiment are only for illustration purposes, and one of ordinary skill in the art can understand various amendment or change according to described example and embodiment.Although omitted various details for the purpose of clear elaboration, various design alternatives can be put into practice.Therefore, herein each example should be considered as illustrative and indefiniteness, and the present invention is not limited to details described herein, but can modify in the category of following claims.Should be understood that in certain embodiments, hard mask film energetically for sheltering, but might not may only be used simply as the hard protective layer of underlying materials in photolithography.

Claims (18)

1. form a method for hard mask film on a semiconductor substrate, described method comprises:
Semiconductor substrate is received in plasma enhanced chemical vapor deposition (PECVD) process chamber; With
Form hardness by PECVD and be greater than 12GPa and the hard mask film of stress between-600MPa and 600MPa, the hard mask deposition event of wherein said PECVD comprises the multiple fine and close plasma treatment deposition of use through doping or non-impurity-doped multilayer carborundum films, and the deposition of wherein said film comprises:
A () to be introduced comprising in described process chamber containing the process gas of silicon precursor and inert gas and is formed plasma to deposit the first sublayer of described silicon carbide hardmask layer film;
B () removes described containing silicon precursor from described process chamber;
C plasma processing gas to be introduced in described process chamber and with substrate described in plasma treatment to make the deposited seed layer densification of described institute by (); With
D () repeats (a) to (c) to form extra carborundum sublayer and to make its densification,
Wherein the thickness of each sublayer is less than and wherein said method comprises at least 10 sublayers in the described film of deposition.
2. the method for claim 1, the stress of wherein said film is between-300MPa and 300MPa.
3. the method for claim 1, the stress of wherein said film is between 0MPa and 600MPa.
4. the method for claim 1, the hardness of wherein said film is at least 16GPa.
5. the method for claim 1, the modulus of wherein said film is at least 100GPa.
6. the method for claim 1, wherein said carborundum non-impurity-doped and wherein said containing silicon precursor be saturated precursor.
7. the method for claim 1, the wherein said silicon precursor that contains comprises tetramethylsilane (Me 4si).
8. the method for claim 1, the described process gas wherein used between depositional stage comprises the carrier gas being selected from the group be made up of the following in addition: H e, N e, Ar, Kr and X e.
9. the method for claim 1, wherein said plasma processing gas is selected from the group be made up of the following: H e, Ar, CO 2, N 2, NH 3and H 2.
10. the method for claim 1, wherein in the carborundum films of described formation, the SiC peak in IR spectrum is at least 20 relative to the ratio of the area of SiH, and SiC peak described in IR spectrum is at least 50 relative to the ratio of the area of CH.
11. the method for claim 1, the density of the carborundum films of wherein said formation is at least 2g/cm 3.
12. the method for claim 1, the hard mask layer of wherein said formation is deposited on dielectric constant and is less than above the dielectric layer of 2.8, and the hard mask film wherein formed described in dry plasma etchs is at least 8: 1 relative to described dielectric etching selectivity.
13. the method for claim 1, the hard mask layer of wherein said formation is deposited on above polysilicon layer.
14. the method for claim 1, wherein said hard mask is formed being less than at the temperature of 400 DEG C.
15. 1 kinds of equipment for deposited hard mask film, described equipment comprises:
(a) process chamber, it is configured for the formation of plasma;
(b) wafer substrates carrier, its be configured for during hard masked-deposition by described wafer substrates held in place, and
(c) controller, it comprises the program command for following process: use multiple fine and close plasma treatment to deposit through doping or non-impurity-doped multilayer carborundum films, and the deposition of wherein said film comprises:
I () to be introduced comprising in described process chamber containing the process gas of silicon precursor and inert gas and is formed plasma to deposit the first sublayer of described silicon carbide hardmask layer film;
(ii) remove from described process chamber described containing silicon precursor;
(iii) plasma processing gas to be introduced in described process chamber and with substrate described in plasma treatment to make the deposited seed layer densification of described institute; With
(iv) (i) to (iii) is repeated to form extra carborundum sublayer and to make its densification,
Wherein the thickness of each sublayer is less than and wherein said process comprises at least 10 sublayers in the described film of deposition.
16. 1 kinds of methods forming hard mask film on a semiconductor substrate, described method comprises:
Semiconductor substrate is received in plasma enhanced chemical vapor deposition (PECVD) process chamber; With
Form hardness by PECVD and be greater than 12GPa and the hard mask film of stress between-600MPa and 600MPa, the hard mask deposition event of wherein said PECVD comprises the multiple fine and close plasma treatment deposition of use through doping or non-impurity-doped multilayer carborundum films, and the deposition of wherein said film comprises:
A () to be introduced comprising in described process chamber containing the process gas of silicon precursor and inert gas and is formed plasma to deposit the first sublayer of described silicon carbide hardmask layer film;
B () removes described containing silicon precursor from described process chamber;
C plasma processing gas to be introduced in described process chamber and with substrate described in plasma treatment to make the deposited seed layer densification of described institute by (); With
D () repeats (a) to (c) to form extra carborundum sublayer and to make its densification, wherein
Deposit described carborundum sublayer to comprise and make to comprise Me 4the process gas flow of Si and inert gas and formation dual frequency plasma, wherein the power level of high-frequency plasma is between 0.04 to 0.2W/cm 2between and the power level of low frequency plasma between 0.17 to 0.6W/cm 2between;
Removing described silicon carbide precursors comprises with process chamber described in the gas purging being selected from the group be made up of the following: Ar, He, H 2with its mixture; And
The densification of described sublayer is comprised make to be selected from by Ar, He, H 2the process gas flow of the group formed with its mixture and form dual frequency plasma, wherein LF/HF power ratio is at least 1.5.
17. 1 kinds of films prepared by method described in claim 1, its comprise through doping or non-impurity-doped carborundum and its hardness at least 12GPa and stress between-600MPa and 600MPa, wherein said film comprises at least 10 sublayers, and wherein the thickness of each sublayer is less than
18. 1 kinds of films prepared by method described in claim 16, its comprise through doping or non-impurity-doped carborundum and its hardness at least 12GPa and stress between-600MPa and 600MPa, wherein said film comprises at least 10 sublayers, and wherein the thickness of each sublayer is less than
CN201010569747.0A 2009-12-04 2010-11-30 Hard mask material Active CN102097364B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510566292.XA CN105185707B (en) 2009-12-04 2010-11-30 Hard mask material, its forming method and equipment and application thereof

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/631,709 US8178443B2 (en) 2009-12-04 2009-12-04 Hardmask materials
US12/631,691 US8247332B2 (en) 2009-12-04 2009-12-04 Hardmask materials
US12/631,691 2009-12-04
US12/631,709 2009-12-04

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201510566292.XA Division CN105185707B (en) 2009-12-04 2010-11-30 Hard mask material, its forming method and equipment and application thereof

Publications (2)

Publication Number Publication Date
CN102097364A CN102097364A (en) 2011-06-15
CN102097364B true CN102097364B (en) 2015-10-14

Family

ID=44130378

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201510566292.XA Active CN105185707B (en) 2009-12-04 2010-11-30 Hard mask material, its forming method and equipment and application thereof
CN201010569747.0A Active CN102097364B (en) 2009-12-04 2010-11-30 Hard mask material

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201510566292.XA Active CN105185707B (en) 2009-12-04 2010-11-30 Hard mask material, its forming method and equipment and application thereof

Country Status (4)

Country Link
JP (1) JP5656010B2 (en)
KR (2) KR101798235B1 (en)
CN (2) CN105185707B (en)
TW (2) TWI505364B (en)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5723243B2 (en) * 2011-08-11 2015-05-27 東京エレクトロン株式会社 Film forming method, semiconductor device manufacturing method including the same, film forming apparatus, and semiconductor device
CN103258779B (en) * 2012-02-17 2015-05-20 中芯国际集成电路制造(上海)有限公司 Copper interconnection structure and manufacturing method thereof
JP5860734B2 (en) * 2012-03-13 2016-02-16 株式会社ライテック研究所 Hard coating member and method for producing the same
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
JP6007031B2 (en) * 2012-08-23 2016-10-12 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP2014078579A (en) * 2012-10-10 2014-05-01 Renesas Electronics Corp Semiconductor device manufacturing method
KR102178326B1 (en) * 2012-12-18 2020-11-13 램 리써치 코포레이션 Oxygen-containing ceramic hard masks and associated wet-cleans
JP6111097B2 (en) * 2013-03-12 2017-04-05 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP6111106B2 (en) * 2013-03-19 2017-04-05 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US20150024152A1 (en) * 2013-07-19 2015-01-22 Agilent Technologies, Inc. Metal components with inert vapor phase coating on internal surfaces
US10767259B2 (en) 2013-07-19 2020-09-08 Agilent Technologies, Inc. Components with an atomic layer deposition coating and methods of producing the same
CN104947085B (en) * 2014-03-31 2017-12-19 中芯国际集成电路制造(上海)有限公司 The lithographic method of the deposition process of mask, mask and semiconductor devices
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10535558B2 (en) * 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
WO2017149604A1 (en) * 2016-02-29 2017-09-08 株式会社日立国際電気 Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9870915B1 (en) * 2016-10-01 2018-01-16 Applied Materials, Inc. Chemical modification of hardmask films for enhanced etching and selective removal
KR102084296B1 (en) 2016-12-15 2020-03-03 도쿄엘렉트론가부시키가이샤 Film forming method, boron film, and film forming apparatus
US9837270B1 (en) * 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
JP6914143B2 (en) * 2016-12-26 2021-08-04 東京エレクトロン株式会社 Substrate processing method, substrate processing equipment, substrate processing system, substrate processing system control device, and semiconductor substrate manufacturing method
KR102020211B1 (en) * 2017-01-09 2019-11-04 주식회사 테스 Process for forming amorphous silicon layer including carbon and/or boron
JP7229929B2 (en) * 2017-02-01 2023-02-28 アプライド マテリアルズ インコーポレイテッド Boron Doped Tungsten Carbide for Hard Mask Applications
JP6914107B2 (en) * 2017-06-05 2021-08-04 東京エレクトロン株式会社 Boron film removal method
CN107742607B (en) * 2017-08-31 2021-05-11 重庆中科渝芯电子有限公司 Method for manufacturing thin film resistor by ICP dry etching
US10474027B2 (en) * 2017-11-13 2019-11-12 Macronix International Co., Ltd. Method for forming an aligned mask
JP7049883B2 (en) * 2018-03-28 2022-04-07 東京エレクトロン株式会社 Boron-based film film forming method and film forming equipment
GB201813467D0 (en) * 2018-08-17 2018-10-03 Spts Technologies Ltd Method of depositing silicon nitride
KR20230085953A (en) 2018-10-19 2023-06-14 램 리써치 코포레이션 Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
TW202111147A (en) * 2019-08-12 2021-03-16 美商應用材料股份有限公司 Low-k dielectric films
US11508573B2 (en) * 2019-12-31 2022-11-22 Micron Technology, Inc. Plasma doping of gap fill materials
US11676813B2 (en) 2020-09-18 2023-06-13 Applied Materials, Inc. Doping semiconductor films
CN114664649B (en) * 2022-05-19 2022-09-20 浙江大学杭州国际科创中心 Optimization method of silicon carbide high depth-to-width ratio groove etching process
CN115241126B (en) * 2022-09-21 2022-12-30 广州粤芯半导体技术有限公司 Through hole etching method and manufacturing method of metal interconnection structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
CN101111930A (en) * 2005-01-27 2008-01-23 皇家飞利浦电子股份有限公司 A method of manufacturing a semiconductor device

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA868641A (en) * 1971-04-13 L. Cuomo Jerome Method for etching silicon nitride films with sharp edge definition
US4895789A (en) * 1988-03-29 1990-01-23 Seiko Instruments Inc. Method of manufacturing non-linear resistive element array
KR100219550B1 (en) * 1996-08-21 1999-09-01 윤종용 Anti-reflective coating layer and pattern forming method using the same
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP3430091B2 (en) * 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 Etching mask, method of forming contact hole using etching mask, and semiconductor device formed by the method
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7238393B2 (en) * 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7727902B2 (en) * 2003-12-26 2010-06-01 Nissan Chemical Industries, Ltd. Composition for forming nitride coating film for hard mask
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
JP4837370B2 (en) * 2005-12-05 2011-12-14 東京エレクトロン株式会社 Deposition method
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
WO2007116492A1 (en) * 2006-03-31 2007-10-18 Fujitsu Microelectronics Limited Method for manufacturing semiconductor device
US7744746B2 (en) * 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
US7528078B2 (en) * 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US7550758B2 (en) * 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
KR20100028544A (en) * 2007-05-03 2010-03-12 램 리써치 코포레이션 Hardmask open and etch profile control with hardmask open

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW535253B (en) * 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
CN101111930A (en) * 2005-01-27 2008-01-23 皇家飞利浦电子股份有限公司 A method of manufacturing a semiconductor device

Also Published As

Publication number Publication date
TW201543574A (en) 2015-11-16
JP2011139033A (en) 2011-07-14
CN102097364A (en) 2011-06-15
TWI547997B (en) 2016-09-01
JP5656010B2 (en) 2015-01-21
KR20110063386A (en) 2011-06-10
CN105185707A (en) 2015-12-23
TWI505364B (en) 2015-10-21
KR101798235B1 (en) 2017-11-15
CN105185707B (en) 2018-06-01
KR101907802B1 (en) 2018-12-05
KR20170126827A (en) 2017-11-20
TW201130050A (en) 2011-09-01

Similar Documents

Publication Publication Date Title
CN102097364B (en) Hard mask material
US8846525B2 (en) Hardmask materials
US8178443B2 (en) Hardmask materials
JP7326275B2 (en) Amorphous carbon film with high etching selectivity
CN101690420B (en) Boron nitride and boron nitride-derived materials deposition method
TWI624860B (en) Oxygen-containing ceramic hard masks and associated wet-cleans
TWI738200B (en) Deposition of carbon doped silicon oxide
TWI541898B (en) Insensitive dry removal process for semiconductor integration
KR20130113345A (en) Engineering boron-rich films lithographic mask applications
TWI830206B (en) Silicon precursor compounds and method for forming silicon-containing films
KR102356462B1 (en) Oxygen-containing ceramic hard masks and associated wet-cleans
US20230103933A1 (en) New precursors for depositing films with elastic modulus
US20230066543A1 (en) Fully self aligned via integration processes
US20200165727A1 (en) 1-Methyl-1-Iso-Propoxy-Silacycloalkanes And Dense Organosilica Films Made Therefrom
KR20230072493A (en) Additives to improve the properties of dielectric films
CN114512454A (en) Semiconductor element and method for manufacturing the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant