WO2024019902A1 - Wedge seal for efem frame and panel seams - Google Patents

Wedge seal for efem frame and panel seams Download PDF

Info

Publication number
WO2024019902A1
WO2024019902A1 PCT/US2023/027356 US2023027356W WO2024019902A1 WO 2024019902 A1 WO2024019902 A1 WO 2024019902A1 US 2023027356 W US2023027356 W US 2023027356W WO 2024019902 A1 WO2024019902 A1 WO 2024019902A1
Authority
WO
WIPO (PCT)
Prior art keywords
enclosure
seal
seam
efem
opening
Prior art date
Application number
PCT/US2023/027356
Other languages
French (fr)
Inventor
Scott Vernon Wong
David E. Jacob
Peter R. Wassei
Brandon Senn
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Publication of WO2024019902A1 publication Critical patent/WO2024019902A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67376Closed carriers characterised by sealing arrangements

Definitions

  • the present disclosure relates to equipment front end modules in substrate processing systems.
  • a substrate processing system may be used to perform deposition, etch and/or other treatments of substrates such as semiconductor wafers.
  • a substrate is arranged on a substrate support in a processing chamber of the substrate processing system.
  • Gas mixtures including one or more precursors may be introduced into the processing chamber and plasma may be struck to activate chemical reactions.
  • the substrate processing system may include substrate processing tools arranged within a fabrication room. Each of the substrate processing tools may include multiple process modules including respective processing chambers. Each of the substrate processing modules may perform a clean, deposition or etch process. Substrates are transferred into a substrate processing tool through one or more intermediate chambers, such as front opening unified pods (FOUPs), an equipment front end module (EFEM), and/or load locks.
  • the EFEM may be used to transport substrates between a storage container, such as the FOUP, and another portion of the substrate processing tool.
  • the substrates may be transferred between an EFEM and process modules via a vacuum transfer module (VTM).
  • VTM vacuum transfer module
  • a seal arrangement for an enclosure in a substrate processing system includes an opening defined in a surface of the enclosure and a seam defined between adjacent first and second sections of the surface of the enclosure.
  • the seam is in fluid communication with an interior of the enclosure via the opening.
  • a cutout is defined in an edge of one of the first and second sections adjacent to the seam.
  • a seal is arranged in the cutout and adjacent to the seam between the first and second sections.
  • the enclosure is an equipment front end module enclosure.
  • the cutout and the seal are wedge-shaped.
  • the seal has a first corner configured to be inserted into a first end of the seam adjacent to the opening.
  • the first corner is defined by an acute angle.
  • the acute angle is 30-60 degrees.
  • the seal has a second corner configured to be inserted into a second end of the seam opposite the first end.
  • an outer surface of the seal is at least flush with surfaces of the first and second sections.
  • the seal arrangement further includes a fixed frame section defining the opening. At least one of the first and second sections is a removable frame section attached to the fixed frame section. The removable frame section spans the opening to divide the opening into first and second separate openings.
  • the seal arrangement further includes a cover panel arranged over at least one of the first and second separate openings. The cover panel covers the seam and the seal. A surface of the cover panel facing the interior of the enclosure includes a sealing surface. The sealing surface comprises a gasket.
  • An enclosure for a substrate processing system includes a fixed frame defining an opening in a side of the enclosure.
  • the fixed frame has a first surface.
  • First and second panels have respective second surfaces that are not coplanar with the first surface. At least one of the first and second panels is configured to be removed from the fixed frame.
  • a seam is defined between the first and second panels. The seam is in fluid communication with an interior of the enclosure via the opening.
  • An angular cutout is defined in an outer perimeter of one or both of the first and second panels.
  • a seal is arranged in the angular cutout adjacent to the seam between the first and second panels.
  • the enclosure is an enclosure of an equipment front end module.
  • the cutout and the seal are wedge-shaped.
  • the seal has a first corner defined by an acute angle and the first corner is compressed between a first end of the seam adjacent to the opening.
  • the seal has a second corner defined by an acute angle and the second corner is compressed between a second end of the seam opposite the first end.
  • An outer surface of the seal is flush with the second surfaces and or extends beyond the second surfaces.
  • the seal arrangement further includes a cover panel arranged over at least a portion of the opening. The cover panel covers the seam and the seal.
  • FIG. 1 A is a plan view of an embodiment of a substrate processing tool including an EFEM according to the present disclosure
  • FIG. 1 B is a plan view of another embodiment of a substrate processing tool including an EFEM according to the present disclosure
  • FIG. 2 is a functional block diagram of an embodiment of an EFEM composition mixture control system according to the present disclosure
  • FIG. 3A shows an embodiment of an opening in an EFEM enclosure according to the present disclosure
  • FIG. 3B shows an embodiment of a seal arranged in a seam between frame sections of an EFEM enclosure according to the present disclosure
  • FIG. 3C shows a side view of the seal arranged in the seam of FIG. 3B;
  • FIG. 3D shows a side view of another embodiment of the seal arranged in the seam of FIG. 3B.
  • FIG. 4 shows another embodiment of a seal arranged in a seam between frame sections of an EFEM enclosure according to the present disclosure.
  • An EFEM may include a sealed enclosure (referred to as the EFEM enclosure) and a robot disposed in the EFEM enclosure for transfer of substrates between, for example, FOUPs and one or more load locks.
  • the EFEM enclosure typically has a topmounted fan filter unit (FFU) that is used to flow filtered gas through the EFEM enclosure to maintain a clean environment within the EFEM enclosure.
  • the filtered gas may include, for example, nitrogen gas (N2).
  • the nitrogen gas is used as a purge gas to displace ambient air (or fabrication room air) from within the EFEM enclosure until a composition within the EFEM enclosure approaches a composition of the purge gas.
  • the EFEM enclosure is mechanically sealed and pressure within the EFEM enclosure is regulated to maintain a positive pressure within the EFEM enclosure.
  • an EFEM enclosure may be purged using a purge gas, due to permeation and/or leak-by of seals, seams, and/or cracks, ambient air (i.e., gas external to the EFEM enclosure) may enter the EFEM enclosure. This permeation and/or leak-by of ambient air results in a small (or negligible) amount of gas within the EFEM that may include, for example, oxygen and water vapor.
  • Purging and maintaining a positive pressure within the EFEM enclosure results in a low percentage by volume of oxygen and water content within the EFEM enclosure.
  • Providing an internal environment that has low percentages of oxygen and water content minimizes oxidation and corrosion of substrate surfaces, minimizes process byproduct off-gassing both pre-processing and post-processing, and minimizes condensing of water onto substrate surfaces, which reduces substrate defects.
  • sealing the EFEM enclosure maintains pressure within the EFEM enclosure and prevents leaking to meet performance requirements (e.g., a target minimum leak rate).
  • performance requirements e.g., a target minimum leak rate
  • mechanical seals such as gaskets or O-rings are arranged in gaps between surfaces of components of the EFEM enclosure.
  • the EFEM enclosure may include removeable components and/or components that are split into multiple sections to facilitate installation and removal.
  • the EFEM enclosure may include removeable frame sections or panels, split panels (i.e., cover panels comprised of two or more sections), etc. to cover large openings that provide access to an interior of the EFEM enclosure.
  • removable components such as cover panels may span a seam between frame sections or other panels.
  • a mechanical seal such as a gasket on a sealing surface of a cover panel may not effectively penetrate and seal the seam. Accordingly, gases that penetrate the seam (e.g., either gases from the interior of the EFEM enclosure or gases from atmosphere) may bypass the sealing surface of the cover panel and leak through the seam.
  • Seal systems and methods according to the present disclosure are configured to provide a novel seal arrangement.
  • an improved seal between removeable frame sections and split panels of an EFEM enclosure is described.
  • recesses or cutouts e.g., angular cutouts
  • the cutouts are located at interfaces (i.e., seams) between adjacent frame sections.
  • Respective seals e.g., wedge-shaped seals, or wedge seals
  • the wedge seals may be attached to an underlying fixed frame section in locations corresponding to the cutouts.
  • a panel with a sealing surface (e.g., an integrated or attached gasket) is installed over the seams between the frame sections with the sealing surface against the wedge seals.
  • the sealing surface of the panel covers the seam and wedge seal between adjacent frame sections. In this manner, a continuous sealing surface is formed between adjacent frame sections. Further, panels and frame sections may be removed and reinstalled without removing or disturbing the wedge seals.
  • FIGS. 1A and 1 B show substrate processing tools including example EFEMs.
  • the examples disclosed herein are applicable to other substrate processing tools and EFEMs.
  • FIG. 1 A shows a substrate processing tool 100 that includes processing modules (PMs) 104.
  • each of the PMs 104 may be configured to perform one or more respective processes on a substrate.
  • Substrates to be processed are loaded into the substrate processing tool 100 via ports of a loading station of an atmosphere-to- vacuum (ATV) transfer module, such as an EFEM 108, and then transferred into one or more of the PMs 104.
  • ATV atmosphere-to- vacuum
  • a transfer robot 112 is arranged to transfer substrates from loading stations 116 to airlocks or load locks 120.
  • a robot 124 of a vacuum transfer module 128 is arranged to transfer substrates from the load locks 120 to the various PMs 104.
  • the substrate processing tool 100 has a circular arrangement. Accordingly, the PMs 104 are arranged azimuthally around the vacuum transfer module (VTM) 128.
  • a fabrication room may include several of the substrate processing tools 100.
  • the substrate processing tool 100 further includes an EFEM composition mixture control system 130 that controls a composition of contents within an enclosure 132 of the EFEM 108.
  • the contents including two or more gases supplied to the EFEM enclosure 132.
  • the EFEM composition mixture control system 130 may control and adjust flow of the gases to the EFEM enclosure 132.
  • the substrate processing tool 100 includes the loading stations 116, EFEM 108, load locks 120, VTM 128, and PMs 104 arranged in a linear configuration.
  • the loading stations 116 may be implemented as FOUPs.
  • the load locks 120 may be fully or partially integrated within the EFEM 108.
  • the load locks 120 are arranged outside of and adjacent to the EFEM 108.
  • the PMs 104 are provided in a linear arrangement in two parallel rows adjacent to and offset from the VTM 128.
  • the substrate processing tool 100 of FIG. 1 B may include one or more storage buffers 136.
  • the storage buffers 136 are configured to store one or more substrates between processing stages, before or after processing, etc., and/or to store edge rings, covers, and other components of the PMs 104.
  • one or more of the storage buffers 136, additional process modules, post-processing modules, and/or other components may be arranged on the end of the VTM 128 opposite the loading stations 116.
  • one or more of the EFEM 108, the load locks 120, the VTM 128, and the PMs 104 may have a vertically stacked configuration.
  • FIG. 2 shows an example EFEM composition mixture control system 200 for an EFEM 204.
  • the EFEM 204 includes an EFEM enclosure 208, a fan filter module 212 and a plenum 216.
  • the fan filter module 212 filters gases received prior to being provided into the EFEM enclosure 208.
  • the fan filter module 212 also filters air and/or gases recirculating through the EFEM 204.
  • the fan filter module 212 may include one or more fans 220 for moving gases into the EFEM enclosure 208.
  • the one or more fans 220 provide laminar airflow through the EFEM enclosure 208. Purge gases may be moved into the EFEM enclosure 208 as a result of pressures of the corresponding gas sources.
  • the plenum 216 collects gases within the EFEM enclosure 208 and is used for controlling uniformity of air flow in the EFEM enclosure 208.
  • the EFEM composition mixture control system 200 includes (i) multiple mass flow controllers (MFCs) 224 that receive gases from respective gas sources 226 and (ii) an exhaust valve 228.
  • the MFCs 224 control flow of gases from the gas sources 226 to a manifold 230.
  • a composition controller 232 is connected to and, based on outputs from sensors (e.g., example sensors 236, 238), controls operation of the gas MFCs 224, and may control operation of the fan filter module 212 and/or the exhaust valve 228.
  • the composition controller 232 controls operation of the gas MFCs 224 to provide a target composition in the EFEM enclosure 208.
  • the fan filter module 212 may include a controller that independently controls operation of the one or more fans 220 of the fan filter module 212 and/or the composition controller 232 may control operation of the one or more fans 220.
  • the exhaust valve 228 may be a variable control valve (also referred to as a throttle valve) that is used to control the EFEM pressure by offsetting a rate of input purge and may also be used to control a rate of recirculation of air back to the EFEM enclosure 208.
  • the opening state of the exhaust valve 228 is directly related to a flow rate of air output from the plenum 216 and exhausted via an exhaust duct 240.
  • the exhaust valve 228 is set to control pressure during a purge from gas sources to balance volume flow of gases into the EFEM enclosure 208. Exhausting some intermediate concentration of gas may occur during this purge.
  • a flow rate of air output from the plenum 216 and recirculated back to the fan filter module 212 via a recirculation duct 242 may be controlled by controlling operation of the one or more fans 220 of the fan filter module 212.
  • the recirculation duct 242 recirculates air received from the plenum 216 back to the fan filter module 212.
  • An EFEM enclosure e.g., the EFEM enclosure 132 of FIGS. 1A and 1 B, the EFEM enclosure 208 of FIG. 2, etc.
  • implements a seal system configured to provide an improved seal between removeable frame sections and split panels of the EFEM enclosure as described below in more detail.
  • FIGS. 3A, 3B, 3C, and 3D show a seal system or arrangement for an example opening 300 in an EFEM or EFEM enclosure (e.g., the EFEM enclosure 208 of FIG. 2).
  • the opening 300 corresponds to an opening in a side surface 304 of the EFEM.
  • the side surface 304 includes an underlying fixed (i.e. , non-removable) frame or frame section 308.
  • the fixed frame section 308 of the side surface 304 defines (e.g., surrounds) the opening 300.
  • One or more removable frame sections 312 may be arranged across a portion of the opening 300.
  • the removable frame section 312 bisects the opening 300 to define two separate openings 316 and 320.
  • the removable frame section 312 may be coupled to the fixed frame section 308 using screws or other suitable fasteners.
  • cover panels 324 may be arranged to cover the respective openings 316 and 320. Accordingly, each of the cover panels 324 is arranged to cover and seal a respective one of the openings 316 and 320.
  • the cover panels 324 are configured to seal against the removable frame section 312 and respective frame sections 328 and 332.
  • surfaces of the removable frame sections 312 are not coplanar with the surface of the fixed frame section 308. In other words, surfaces of the removable frame sections 312 are stepped upward/outward relative to the fixed frame section 308.
  • the frame sections 328 and 332 may correspond to removable frame sections similar to the removable frame section 312.
  • the frame sections 328 and 332 may correspond to raised sections of the fixed frame section 308.
  • surfaces of the frame sections 328 and 332 may correspond to steps upward/outward from other surfaces 336 of the fixed frame section 308 as shown in a side view in FIG. 3C.
  • the cover panels 324 span gaps or seams between adjacent frame sections.
  • seams 340-1 and 340-2 are defined between the removable frame section 312 and the frame section 328.
  • the cover panel 324-1 spans (i.e. , crosses and covers) the seams 340.
  • seams 342-1 and 342-2 are defined between the removable frame section 312 and the frame section 332.
  • the cover panel 324-2 spans the seams 342.
  • a seal or gasket (e.g., a rubber or foam gasket) 344 is arranged on an interior-facing surface of the cover panels 324.
  • the gasket 344 spans the seams 340 and 342 and seals against surfaces of the removable frame section 312 and the frame sections 328 and 332.
  • the gasket 344 may not adequately seal against the seams 340 and 342.
  • the seams 340 and 342 are in fluid communication with an EFEM interior and gases may leak from the EFEM interior into the seams 340 and 342 and/or air may leak from atmosphere into the seams 340 and 342 (as shown by dashed arrows in FIG. 3B).
  • the removable frame section 312 includes recesses or cutouts (e.g., angular or triangular, wedge-shaped cutouts) 346. As shown, the cutouts 346 are defined in an outer perimeter (e.g., an edge) of the removable frame section 312 adjacent to the seams 340 and 342. Although shown in the removable frame section 312, in other embodiments the cutouts 346 may alternatively or additionally be defined in the frame sections 328 and 332 adjacent to the seams 340 and 342. In other words, the cutouts 346 may be located on one or both sides of the seams 340 and 342.
  • recesses or cutouts e.g., angular or triangular, wedge-shaped cutouts
  • the cutouts 346 are sized and shaped to accommodate respective glands or seals (e.g., wedge-shaped seals) 350.
  • the seals 350 may have other suitable shapes.
  • the wedge or other angled shape facilitates insertion of a corner of the seal 350 into ends of the seams 340 and 342.
  • the seal 350 since the seal 350 has a wedge or angled shaped, the seal 350 can be positioned closer to (i.e., further inside of) a respective end of one of the seams 340 and 342 or further away from the seams 340 and 342 to accommodate varying widths of the seams 340 and 342.
  • the seals 350 can be positioned to fully seal the seams 340 and 342 and maintain a continuous sealing surface. For example, if one of the seams is wider, the seal 350 can be positioned more closely to the seam such that a corner of the seal 350 contacts either side of the seam. Conversely, if one of the seams is narrower, the seal can be positioned further away from the seam.
  • the seal 350 has at least one corner (e.g., a first corner) 354 defined by an acute angle.
  • sides of the seal 350 adjacent to the first corner 354 define an acute angle.
  • the acute angle is between 30 and 60 degrees.
  • the first corner 354 defines a point configured to be inserted into an end 358 (a first end) of the seam 342-2. More specifically, the first corner 354 is received within the end 358 of the seam 342-2 adjacent to the opening 320. For example, the first corner 354 is compressed between and seals against the removable frame section 312 and the frame section 332 at the end 358.
  • the seal 350 has a second corner (e.g., a second corner 362 opposite the first corner 354) 362.
  • the second corner 362 is defined by an acute angle.
  • the acute angle of the second corner 362 may be the same as or different from the acute angle of the first corner 354.
  • the second corner 362 defines a point configured to be inserted into an end 364 (a second end) of the seam 342-2 opposite the end 358.
  • the second corner 362 is received within the end 364 of the seam 342-2 adjacent to atmosphere.
  • the second corner 362 is compressed between and seals against the removable frame section 312 and the frame section 332 at the end 364.
  • the seal 350 seals the seam 342-2 and the gasket 344 on the interior-facing surface of the cover panel 324-2 seals against the seal 350.
  • the seals 350 are arranged in the seams 342 to create a single, continuous sealing surface for the cover panel 324-2 to seal against.
  • the seals 350 are affixed or adhered to the surface of the fixed frame section 308.
  • the seals 350 are attached to the fixed frame section 308 using glue or epoxy.
  • the seals 350 are permanently attached to the fixed frame section 308.
  • the seals 350 are removably attached to the fixed frame section 308 and are configured to be periodically removed and/or replaced.
  • the seals 350 may be comprised of an adhesive-backed material, such as an adhesive-backed polymer.
  • the seals 350 may be comprised of a single material or layers of different materials.
  • the seals 350 are comprised of rubber, polymer foam, closed-cell foam, elastomer, a polymer film, etc.
  • the seals are comprised of a first layer (e.g., a rubber or elastomer layer) and a second layer (e.g., a polymer film). The second layer is softer and/or more pliant than the first layer and is arranged to contact the gasket 344.
  • a thickness of the seals 350 is configured such that an outer surface of the seals 350 is at least flush with outer surfaces of the removable frame section 312 and the frame sections 328 and 332. In this manner, contact between the seals 350 and the gasket 344 is ensured. In some embodiments, the outer surface of the seals 350 extends beyond outer surfaces of the removable frame section 312 and the frame sections 328 and 332 (e.g., by about 1 .0 mm). Accordingly, when the cover panels 324 are installed, the seals 350 are compressed into the cutouts 346 and/or the gasket 344 deforms around the seals 350 to form a tight seal.
  • the outer surface of the seal 350 is flush (i.e. , coplanar) with outer surfaces of the removable frame section 312 and the frame section 332. As shown in FIG. 3D, the outer surface of the seal 350 extends beyond outer surfaces of the removable frame section 312 and the frame section 332. In this embodiment, the outer surface of the seal 350 includes a flange portion 368 that extends outward onto surfaces of the removable frame section 312 and the frame section 332.
  • seal systems according to the present disclosure provide a single continuous or near-continuous sealing surface.
  • the seal 350 can be arranged in gaps between removable components that otherwise interrupt a continuous sealing surface.
  • the seal system is configured to maintain an effective seal regardless of component manufacturing tolerances and clearances.
  • the seal formed between the seal 350 and the ends of the seams can be maintained despite variance in widths of the gaps. Removable frame sections and cover panels can be removed and/or replaced without disturbing the seals.
  • FIG. 4 shows another example seal system or arrangement for an opening 400 in an EFEM or EFEM enclosure.
  • the opening 400 corresponds to an opening in a side surface 404 of the EFEM.
  • the side surface 404 includes an underlying fixed (i.e., non-removable) frame section 408.
  • the fixed frame section 408 of the side surface 404 defines (e.g., surrounds) the opening 400.
  • Multiple (e.g., two) removable panels 412 and 416 are arranged across the opening 400. Accordingly, in this embodiment, a seam 420 is defined between the panels 412 and 416 over the opening 400.
  • a cover panel 424 is arranged over the seam 420.
  • the cover panel 424 includes a gasket (not shown) or other sealing surface similar to the gasket 344 described above.
  • the sealing surface of the cover panel 424 seals against the panels 412 and 416 over the seam 420.
  • the cover panel 424 may not adequately seal against the seam 420.
  • the seam is in fluid communication with an EFEM interior, and gases may leak from the EFEM interior into the seam 420 and/or air may leak from atmosphere into the seam 420 (as shown by dashed arrows).
  • one or both of the panels 412 and 416 includes recesses or cutouts (e.g., angular, wedge-shaped cutouts) 428 similar to the cutouts 346 described above.
  • the cutouts 428 are defined in an outer perimeter (e.g., an edge) of the panel 416 adjacent to the seam 420.
  • the cutouts 428 are sized and shaped to accommodate wedge-shaped seals 432.
  • Each of the seals 432 has at least one corner 436 defined by an acute angle. In embodiments, the acute angle is between 30 and 60 degrees.
  • each of the comers 436 defines a point configured to be inserted into a respective end 440 of the seam 420 between the panels 412 and 416. For example, the corners 436 are compressed between and seal against the panels 412 and 416.
  • the seals 432 seal the seam 420 and the sealing surface of the cover panel 424 seals against the seals 432.
  • the seals 432 are arranged in the seam 420 to create a single, continuous sealing surface for the cover panel 424 to seal against.
  • the seal system described above may be implemented in other embodiments.
  • the cutouts and seals may be used to provide a single continuous sealing surface in other enclosures in a substrate processing tool or system.
  • the cutouts and seals may be used in other types of openings in surfaces of various enclosures.
  • the cutouts and seals described above may be incorporated into any surfaces adjacent to a seam to form a continuous sealing surface.
  • the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean “at least one of A, at least one of B, and at least one of C.”
  • a controller is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems.
  • the controller may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • RF radio frequency
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller in some implementations, may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g., a server
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

A seal arrangement for an enclosure in a substrate processing system includes an opening defined in a surface of the enclosure and a seam defined between adjacent first and second sections of the surface of the enclosure. The seam is in fluid communication with an interior of the enclosure via the opening. A cutout is defined in an edge of one of the first and second sections adjacent to the seam. A seal is arranged in the cutout and adjacent to the seam between the first and second sections.

Description

WEDGE SEAL FOR EFEM FRAME AND PANEL SEAMS
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application is a PCT International Application claiming the benefit of U.S. Provisional Application No. 63/390,261 , filed on July 18, 2022. The entire disclosure of the above application is incorporated herein by reference.
FIELD
[0002] The present disclosure relates to equipment front end modules in substrate processing systems.
BACKGROUND
[0003] The background description provided here is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
[0004] A substrate processing system may be used to perform deposition, etch and/or other treatments of substrates such as semiconductor wafers. During processing, a substrate is arranged on a substrate support in a processing chamber of the substrate processing system. Gas mixtures including one or more precursors may be introduced into the processing chamber and plasma may be struck to activate chemical reactions.
[0005] The substrate processing system may include substrate processing tools arranged within a fabrication room. Each of the substrate processing tools may include multiple process modules including respective processing chambers. Each of the substrate processing modules may perform a clean, deposition or etch process. Substrates are transferred into a substrate processing tool through one or more intermediate chambers, such as front opening unified pods (FOUPs), an equipment front end module (EFEM), and/or load locks. The EFEM may be used to transport substrates between a storage container, such as the FOUP, and another portion of the substrate processing tool. For example, the substrates may be transferred between an EFEM and process modules via a vacuum transfer module (VTM). SUMMARY
[0006] A seal arrangement for an enclosure in a substrate processing system includes an opening defined in a surface of the enclosure and a seam defined between adjacent first and second sections of the surface of the enclosure. The seam is in fluid communication with an interior of the enclosure via the opening. A cutout is defined in an edge of one of the first and second sections adjacent to the seam. A seal is arranged in the cutout and adjacent to the seam between the first and second sections.
[0007] In other features, the enclosure is an equipment front end module enclosure. The cutout and the seal are wedge-shaped. The seal has a first corner configured to be inserted into a first end of the seam adjacent to the opening. The first corner is defined by an acute angle. The acute angle is 30-60 degrees. The seal has a second corner configured to be inserted into a second end of the seam opposite the first end.
[0008] In other features, an outer surface of the seal is at least flush with surfaces of the first and second sections. The seal arrangement further includes a fixed frame section defining the opening. At least one of the first and second sections is a removable frame section attached to the fixed frame section. The removable frame section spans the opening to divide the opening into first and second separate openings. The seal arrangement further includes a cover panel arranged over at least one of the first and second separate openings. The cover panel covers the seam and the seal. A surface of the cover panel facing the interior of the enclosure includes a sealing surface. The sealing surface comprises a gasket.
[0009] An enclosure for a substrate processing system includes a fixed frame defining an opening in a side of the enclosure. The fixed frame has a first surface. First and second panels have respective second surfaces that are not coplanar with the first surface. At least one of the first and second panels is configured to be removed from the fixed frame. A seam is defined between the first and second panels. The seam is in fluid communication with an interior of the enclosure via the opening. An angular cutout is defined in an outer perimeter of one or both of the first and second panels. A seal is arranged in the angular cutout adjacent to the seam between the first and second panels.
[0010] In other features, the enclosure is an enclosure of an equipment front end module. The cutout and the seal are wedge-shaped. The seal has a first corner defined by an acute angle and the first corner is compressed between a first end of the seam adjacent to the opening. The seal has a second corner defined by an acute angle and the second corner is compressed between a second end of the seam opposite the first end. An outer surface of the seal is flush with the second surfaces and or extends beyond the second surfaces. The seal arrangement further includes a cover panel arranged over at least a portion of the opening. The cover panel covers the seam and the seal.
[0011] Further areas of applicability of the present disclosure will become apparent from the detailed description, the claims and the drawings. The detailed description and specific examples are intended for purposes of illustration only and are not intended to limit the scope of the disclosure.
BRIEF DESCRIPTION OF THE DRAWINGS
[0012] The present disclosure will become more fully understood from the detailed description and the accompanying drawings, wherein:
[0013] FIG. 1 A is a plan view of an embodiment of a substrate processing tool including an EFEM according to the present disclosure;
[0014] FIG. 1 B is a plan view of another embodiment of a substrate processing tool including an EFEM according to the present disclosure;
[0015] FIG. 2 is a functional block diagram of an embodiment of an EFEM composition mixture control system according to the present disclosure;
[0016] FIG. 3A shows an embodiment of an opening in an EFEM enclosure according to the present disclosure;
[0017] FIG. 3B shows an embodiment of a seal arranged in a seam between frame sections of an EFEM enclosure according to the present disclosure;
[0018] FIG. 3C shows a side view of the seal arranged in the seam of FIG. 3B;
[0019] FIG. 3D shows a side view of another embodiment of the seal arranged in the seam of FIG. 3B; and
[0020] FIG. 4 shows another embodiment of a seal arranged in a seam between frame sections of an EFEM enclosure according to the present disclosure.
[0021] In the drawings, reference numbers may be reused to identify similar and/or identical elements. DETAILED DESCRIPTION
[0022] An EFEM may include a sealed enclosure (referred to as the EFEM enclosure) and a robot disposed in the EFEM enclosure for transfer of substrates between, for example, FOUPs and one or more load locks. The EFEM enclosure typically has a topmounted fan filter unit (FFU) that is used to flow filtered gas through the EFEM enclosure to maintain a clean environment within the EFEM enclosure. The filtered gas may include, for example, nitrogen gas (N2). The nitrogen gas is used as a purge gas to displace ambient air (or fabrication room air) from within the EFEM enclosure until a composition within the EFEM enclosure approaches a composition of the purge gas.
[0023] To prevent and/or minimize leaks into the EFEM enclosure, the EFEM enclosure is mechanically sealed and pressure within the EFEM enclosure is regulated to maintain a positive pressure within the EFEM enclosure. Although an EFEM enclosure may be purged using a purge gas, due to permeation and/or leak-by of seals, seams, and/or cracks, ambient air (i.e., gas external to the EFEM enclosure) may enter the EFEM enclosure. This permeation and/or leak-by of ambient air results in a small (or negligible) amount of gas within the EFEM that may include, for example, oxygen and water vapor. Purging and maintaining a positive pressure within the EFEM enclosure results in a low percentage by volume of oxygen and water content within the EFEM enclosure. Providing an internal environment that has low percentages of oxygen and water content minimizes oxidation and corrosion of substrate surfaces, minimizes process byproduct off-gassing both pre-processing and post-processing, and minimizes condensing of water onto substrate surfaces, which reduces substrate defects.
[0024] Accordingly, sealing the EFEM enclosure maintains pressure within the EFEM enclosure and prevents leaking to meet performance requirements (e.g., a target minimum leak rate). For example, mechanical seals such as gaskets or O-rings are arranged in gaps between surfaces of components of the EFEM enclosure. In some embodiments, the EFEM enclosure may include removeable components and/or components that are split into multiple sections to facilitate installation and removal. For example, the EFEM enclosure may include removeable frame sections or panels, split panels (i.e., cover panels comprised of two or more sections), etc. to cover large openings that provide access to an interior of the EFEM enclosure.
[0025] Maintaining effective sealing in additional gaps and seams between removable frame sections and split panels may be difficult. For example, removable components such as cover panels may span a seam between frame sections or other panels. A mechanical seal such as a gasket on a sealing surface of a cover panel may not effectively penetrate and seal the seam. Accordingly, gases that penetrate the seam (e.g., either gases from the interior of the EFEM enclosure or gases from atmosphere) may bypass the sealing surface of the cover panel and leak through the seam.
[0026] Seal systems and methods according to the present disclosure are configured to provide a novel seal arrangement. In some embodiments, an improved seal between removeable frame sections and split panels of an EFEM enclosure is described. For example, recesses or cutouts (e.g., angular cutouts) are defined in an outer perimeter of components. The cutouts are located at interfaces (i.e., seams) between adjacent frame sections. Respective seals (e.g., wedge-shaped seals, or wedge seals) are arranged within the cutouts. For example, the wedge seals may be attached to an underlying fixed frame section in locations corresponding to the cutouts.
[0027] A panel with a sealing surface (e.g., an integrated or attached gasket) is installed over the seams between the frame sections with the sealing surface against the wedge seals. In other words, the sealing surface of the panel covers the seam and wedge seal between adjacent frame sections. In this manner, a continuous sealing surface is formed between adjacent frame sections. Further, panels and frame sections may be removed and reinstalled without removing or disturbing the wedge seals.
[0028] The following FIGS. 1A and 1 B show substrate processing tools including example EFEMs. The examples disclosed herein are applicable to other substrate processing tools and EFEMs.
[0029] FIG. 1 A shows a substrate processing tool 100 that includes processing modules (PMs) 104. For example only, each of the PMs 104 may be configured to perform one or more respective processes on a substrate. Substrates to be processed are loaded into the substrate processing tool 100 via ports of a loading station of an atmosphere-to- vacuum (ATV) transfer module, such as an EFEM 108, and then transferred into one or more of the PMs 104. For example, a transfer robot 112 is arranged to transfer substrates from loading stations 116 to airlocks or load locks 120. A robot 124 of a vacuum transfer module 128 is arranged to transfer substrates from the load locks 120 to the various PMs 104. In the example shown in FIG. 1 , the substrate processing tool 100 has a circular arrangement. Accordingly, the PMs 104 are arranged azimuthally around the vacuum transfer module (VTM) 128. A fabrication room may include several of the substrate processing tools 100.
[0030] The substrate processing tool 100 further includes an EFEM composition mixture control system 130 that controls a composition of contents within an enclosure 132 of the EFEM 108. The contents including two or more gases supplied to the EFEM enclosure 132. The EFEM composition mixture control system 130 may control and adjust flow of the gases to the EFEM enclosure 132.
[0031] As shown in FIG. 1 B, the substrate processing tool 100 includes the loading stations 116, EFEM 108, load locks 120, VTM 128, and PMs 104 arranged in a linear configuration. For example, the loading stations 116 may be implemented as FOUPs. In some embodiments, the load locks 120 may be fully or partially integrated within the EFEM 108. In other embodiments, the load locks 120 are arranged outside of and adjacent to the EFEM 108. The PMs 104 are provided in a linear arrangement in two parallel rows adjacent to and offset from the VTM 128.
[0032] The substrate processing tool 100 of FIG. 1 B may include one or more storage buffers 136. The storage buffers 136 are configured to store one or more substrates between processing stages, before or after processing, etc., and/or to store edge rings, covers, and other components of the PMs 104. In other embodiments, one or more of the storage buffers 136, additional process modules, post-processing modules, and/or other components may be arranged on the end of the VTM 128 opposite the loading stations 116. In some embodiments, one or more of the EFEM 108, the load locks 120, the VTM 128, and the PMs 104 may have a vertically stacked configuration.
[0033] FIG. 2 shows an example EFEM composition mixture control system 200 for an EFEM 204. The EFEM 204 includes an EFEM enclosure 208, a fan filter module 212 and a plenum 216. The fan filter module 212 filters gases received prior to being provided into the EFEM enclosure 208. The fan filter module 212 also filters air and/or gases recirculating through the EFEM 204. The fan filter module 212 may include one or more fans 220 for moving gases into the EFEM enclosure 208. The one or more fans 220 provide laminar airflow through the EFEM enclosure 208. Purge gases may be moved into the EFEM enclosure 208 as a result of pressures of the corresponding gas sources. The plenum 216 collects gases within the EFEM enclosure 208 and is used for controlling uniformity of air flow in the EFEM enclosure 208. [0034] The EFEM composition mixture control system 200 includes (i) multiple mass flow controllers (MFCs) 224 that receive gases from respective gas sources 226 and (ii) an exhaust valve 228. The MFCs 224 control flow of gases from the gas sources 226 to a manifold 230. A composition controller 232 is connected to and, based on outputs from sensors (e.g., example sensors 236, 238), controls operation of the gas MFCs 224, and may control operation of the fan filter module 212 and/or the exhaust valve 228. The composition controller 232 controls operation of the gas MFCs 224 to provide a target composition in the EFEM enclosure 208. The fan filter module 212 may include a controller that independently controls operation of the one or more fans 220 of the fan filter module 212 and/or the composition controller 232 may control operation of the one or more fans 220.
[0035] The exhaust valve 228 may be a variable control valve (also referred to as a throttle valve) that is used to control the EFEM pressure by offsetting a rate of input purge and may also be used to control a rate of recirculation of air back to the EFEM enclosure 208. The opening state of the exhaust valve 228 is directly related to a flow rate of air output from the plenum 216 and exhausted via an exhaust duct 240. The exhaust valve 228 is set to control pressure during a purge from gas sources to balance volume flow of gases into the EFEM enclosure 208. Exhausting some intermediate concentration of gas may occur during this purge.
[0036] A flow rate of air output from the plenum 216 and recirculated back to the fan filter module 212 via a recirculation duct 242 may be controlled by controlling operation of the one or more fans 220 of the fan filter module 212. The recirculation duct 242 recirculates air received from the plenum 216 back to the fan filter module 212.
[0037] An EFEM enclosure according to the present disclosure (e.g., the EFEM enclosure 132 of FIGS. 1A and 1 B, the EFEM enclosure 208 of FIG. 2, etc.) implements a seal system configured to provide an improved seal between removeable frame sections and split panels of the EFEM enclosure as described below in more detail.
[0038] FIGS. 3A, 3B, 3C, and 3D show a seal system or arrangement for an example opening 300 in an EFEM or EFEM enclosure (e.g., the EFEM enclosure 208 of FIG. 2). For example, the opening 300 corresponds to an opening in a side surface 304 of the EFEM. The side surface 304 includes an underlying fixed (i.e. , non-removable) frame or frame section 308. As shown, the fixed frame section 308 of the side surface 304 defines (e.g., surrounds) the opening 300. One or more removable frame sections 312 may be arranged across a portion of the opening 300. For example, the removable frame section 312 bisects the opening 300 to define two separate openings 316 and 320. The removable frame section 312 may be coupled to the fixed frame section 308 using screws or other suitable fasteners.
[0039] Instead of using a single large cover panel to cover the entire opening 300, two separate, smaller cover panels 324-1 and 324-2 (referred to collectively as cover panels 324) may be arranged to cover the respective openings 316 and 320. Accordingly, each of the cover panels 324 is arranged to cover and seal a respective one of the openings 316 and 320. The cover panels 324 are configured to seal against the removable frame section 312 and respective frame sections 328 and 332.
[0040] Surfaces of the removable frame sections 312 are not coplanar with the surface of the fixed frame section 308. In other words, surfaces of the removable frame sections 312 are stepped upward/outward relative to the fixed frame section 308. In one embodiment, the frame sections 328 and 332 may correspond to removable frame sections similar to the removable frame section 312. In another embodiment, the frame sections 328 and 332 may correspond to raised sections of the fixed frame section 308. In other words, surfaces of the frame sections 328 and 332 may correspond to steps upward/outward from other surfaces 336 of the fixed frame section 308 as shown in a side view in FIG. 3C.
[0041] Accordingly, the cover panels 324 span gaps or seams between adjacent frame sections. For example, seams 340-1 and 340-2 (referred to collectively as seams 340) are defined between the removable frame section 312 and the frame section 328. The cover panel 324-1 spans (i.e. , crosses and covers) the seams 340. Conversely, seams 342-1 and 342-2 (referred to collectively as seams 342) are defined between the removable frame section 312 and the frame section 332. The cover panel 324-2 spans the seams 342.
[0042] In embodiments, a seal or gasket (e.g., a rubber or foam gasket) 344 is arranged on an interior-facing surface of the cover panels 324. The gasket 344 spans the seams 340 and 342 and seals against surfaces of the removable frame section 312 and the frame sections 328 and 332. However, the gasket 344 may not adequately seal against the seams 340 and 342. In other words, the seams 340 and 342 are in fluid communication with an EFEM interior and gases may leak from the EFEM interior into the seams 340 and 342 and/or air may leak from atmosphere into the seams 340 and 342 (as shown by dashed arrows in FIG. 3B).
[0043] The removable frame section 312 according to the present disclosure includes recesses or cutouts (e.g., angular or triangular, wedge-shaped cutouts) 346. As shown, the cutouts 346 are defined in an outer perimeter (e.g., an edge) of the removable frame section 312 adjacent to the seams 340 and 342. Although shown in the removable frame section 312, in other embodiments the cutouts 346 may alternatively or additionally be defined in the frame sections 328 and 332 adjacent to the seams 340 and 342. In other words, the cutouts 346 may be located on one or both sides of the seams 340 and 342.
[0044] The cutouts 346 are sized and shaped to accommodate respective glands or seals (e.g., wedge-shaped seals) 350. Although shown as having a wedge shape, the seals 350 may have other suitable shapes. The wedge or other angled shape facilitates insertion of a corner of the seal 350 into ends of the seams 340 and 342. In other words, since the seal 350 has a wedge or angled shaped, the seal 350 can be positioned closer to (i.e., further inside of) a respective end of one of the seams 340 and 342 or further away from the seams 340 and 342 to accommodate varying widths of the seams 340 and 342. As such, as the widths of the seams 340 and 342 vary due to component manufacturing tolerances and clearances, the seals 350 can be positioned to fully seal the seams 340 and 342 and maintain a continuous sealing surface. For example, if one of the seams is wider, the seal 350 can be positioned more closely to the seam such that a corner of the seal 350 contacts either side of the seam. Conversely, if one of the seams is narrower, the seal can be positioned further away from the seam.
[0045] As shown in more detail in FIG. 3B, the seal 350 has at least one corner (e.g., a first corner) 354 defined by an acute angle. For example, sides of the seal 350 adjacent to the first corner 354 define an acute angle. In embodiments, the acute angle is between 30 and 60 degrees. In this manner, the first corner 354 defines a point configured to be inserted into an end 358 (a first end) of the seam 342-2. More specifically, the first corner 354 is received within the end 358 of the seam 342-2 adjacent to the opening 320. For example, the first corner 354 is compressed between and seals against the removable frame section 312 and the frame section 332 at the end 358.
[0046] In embodiments, the seal 350 has a second corner (e.g., a second corner 362 opposite the first corner 354) 362. The second corner 362 is defined by an acute angle. The acute angle of the second corner 362 may be the same as or different from the acute angle of the first corner 354. The second corner 362 defines a point configured to be inserted into an end 364 (a second end) of the seam 342-2 opposite the end 358. For example, the second corner 362 is received within the end 364 of the seam 342-2 adjacent to atmosphere. The second corner 362 is compressed between and seals against the removable frame section 312 and the frame section 332 at the end 364.
[0047] In this manner, the seal 350 seals the seam 342-2 and the gasket 344 on the interior-facing surface of the cover panel 324-2 seals against the seal 350. In other words, the seals 350 are arranged in the seams 342 to create a single, continuous sealing surface for the cover panel 324-2 to seal against.
[0048] In embodiments, the seals 350 are affixed or adhered to the surface of the fixed frame section 308. For example, the seals 350 are attached to the fixed frame section 308 using glue or epoxy. In some embodiments, the seals 350 are permanently attached to the fixed frame section 308. In other embodiments, the seals 350 are removably attached to the fixed frame section 308 and are configured to be periodically removed and/or replaced. For example, the seals 350 may be comprised of an adhesive-backed material, such as an adhesive-backed polymer.
[0049] The seals 350 may be comprised of a single material or layers of different materials. In one embodiment, the seals 350 are comprised of rubber, polymer foam, closed-cell foam, elastomer, a polymer film, etc. In another embodiment, the seals are comprised of a first layer (e.g., a rubber or elastomer layer) and a second layer (e.g., a polymer film). The second layer is softer and/or more pliant than the first layer and is arranged to contact the gasket 344.
[0050] A thickness of the seals 350 is configured such that an outer surface of the seals 350 is at least flush with outer surfaces of the removable frame section 312 and the frame sections 328 and 332. In this manner, contact between the seals 350 and the gasket 344 is ensured. In some embodiments, the outer surface of the seals 350 extends beyond outer surfaces of the removable frame section 312 and the frame sections 328 and 332 (e.g., by about 1 .0 mm). Accordingly, when the cover panels 324 are installed, the seals 350 are compressed into the cutouts 346 and/or the gasket 344 deforms around the seals 350 to form a tight seal.
[0051] As shown in FIG. 3C, the outer surface of the seal 350 is flush (i.e. , coplanar) with outer surfaces of the removable frame section 312 and the frame section 332. As shown in FIG. 3D, the outer surface of the seal 350 extends beyond outer surfaces of the removable frame section 312 and the frame section 332. In this embodiment, the outer surface of the seal 350 includes a flange portion 368 that extends outward onto surfaces of the removable frame section 312 and the frame section 332.
[0052] As described above, seal systems according to the present disclosure provide a single continuous or near-continuous sealing surface. In other words, the seal 350 can be arranged in gaps between removable components that otherwise interrupt a continuous sealing surface. Further, the seal system is configured to maintain an effective seal regardless of component manufacturing tolerances and clearances. In other words, the seal formed between the seal 350 and the ends of the seams can be maintained despite variance in widths of the gaps. Removable frame sections and cover panels can be removed and/or replaced without disturbing the seals.
[0053] FIG. 4 shows another example seal system or arrangement for an opening 400 in an EFEM or EFEM enclosure. For example, the opening 400 corresponds to an opening in a side surface 404 of the EFEM. The side surface 404 includes an underlying fixed (i.e., non-removable) frame section 408. As shown, the fixed frame section 408 of the side surface 404 defines (e.g., surrounds) the opening 400. Multiple (e.g., two) removable panels 412 and 416 are arranged across the opening 400. Accordingly, in this embodiment, a seam 420 is defined between the panels 412 and 416 over the opening 400.
[0054] A cover panel 424 is arranged over the seam 420. For example, the cover panel 424 includes a gasket (not shown) or other sealing surface similar to the gasket 344 described above. The sealing surface of the cover panel 424 seals against the panels 412 and 416 over the seam 420. However, the cover panel 424 may not adequately seal against the seam 420. In other words, the seam is in fluid communication with an EFEM interior, and gases may leak from the EFEM interior into the seam 420 and/or air may leak from atmosphere into the seam 420 (as shown by dashed arrows).
[0055] Accordingly, one or both of the panels 412 and 416 includes recesses or cutouts (e.g., angular, wedge-shaped cutouts) 428 similar to the cutouts 346 described above. As shown, the cutouts 428 are defined in an outer perimeter (e.g., an edge) of the panel 416 adjacent to the seam 420. The cutouts 428 are sized and shaped to accommodate wedge-shaped seals 432. Each of the seals 432 has at least one corner 436 defined by an acute angle. In embodiments, the acute angle is between 30 and 60 degrees. In this manner, each of the comers 436 defines a point configured to be inserted into a respective end 440 of the seam 420 between the panels 412 and 416. For example, the corners 436 are compressed between and seal against the panels 412 and 416.
[0056] In this manner, the seals 432 seal the seam 420 and the sealing surface of the cover panel 424 seals against the seals 432. In other words, the seals 432 are arranged in the seam 420 to create a single, continuous sealing surface for the cover panel 424 to seal against.
[0057] The seal system described above may be implemented in other embodiments. For example, although described above with respect to EFEMS and EFEM enclosures, the cutouts and seals may be used to provide a single continuous sealing surface in other enclosures in a substrate processing tool or system. Further, although described with respect to the openings 300 and 400, the cutouts and seals may be used in other types of openings in surfaces of various enclosures. For example, the cutouts and seals described above may be incorporated into any surfaces adjacent to a seam to form a continuous sealing surface.
[0058] The foregoing description is merely illustrative in nature and is in no way intended to limit the disclosure, its application, or uses. The broad teachings of the disclosure can be implemented in a variety of forms. Therefore, while this disclosure includes particular examples, the true scope of the disclosure should not be so limited since other modifications will become apparent upon a study of the drawings, the specification, and the following claims. It should be understood that one or more steps within a method may be executed in different order (or concurrently) without altering the principles of the present disclosure. Further, although each of the embodiments is described above as having certain features, any one or more of those features described with respect to any embodiment of the disclosure can be implemented in and/or combined with features of any of the other embodiments, even if that combination is not explicitly described. In other words, the described embodiments are not mutually exclusive, and permutations of one or more embodiments with one another remain within the scope of this disclosure.
[0059] Spatial and functional relationships between elements (for example, between modules, circuit elements, semiconductor layers, etc.) are described using various terms, including “connected,” “engaged,” “coupled,” “adjacent,” “next to,” “on top of,” “above,” “below,” and “disposed.” Unless explicitly described as being “direct,” when a relationship between first and second elements is described in the above disclosure, that relationship can be a direct relationship where no other intervening elements are present between the first and second elements, but can also be an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements. As used herein, the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean “at least one of A, at least one of B, and at least one of C.”
[0060] In some implementations, a controller is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
[0061] Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer. [0062] The controller, in some implementations, may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g., a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus, as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
[0063] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers. [0064] As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

Claims

CLAIMS What is claimed is:
1. A seal arrangement for an enclosure in a substrate processing system, the seal arrangement comprising: an opening defined in a surface of the enclosure; a seam defined between adjacent first and second sections of the surface of the enclosure, wherein the seam is in fluid communication with an interior of the enclosure via the opening; a cutout defined in an edge of one of the first and second sections adjacent to the seam; and a seal arranged in the cutout and adjacent to the seam between the first and second sections.
2. The seal arrangement of claim 1 , wherein the enclosure is an equipment front end module enclosure.
3. The seal arrangement of claim 1 , wherein the cutout and the seal are wedge- shaped.
4. The seal arrangement of claim 1 , wherein the seal has a first corner configured to be inserted into a first end of the seam adjacent to the opening.
5. The seal arrangement of claim 4, wherein the first corner is defined by an acute angle.
6. The seal arrangement of claim 5, wherein the acute angle is 30-60 degrees.
7. The seal arrangement of claim 4, wherein the seal has a second corner configured to be inserted into a second end of the seam opposite the first end.
8. The seal arrangement of claim 1 , wherein an outer surface of the seal is at least flush with surfaces of the first and second sections.
9. The seal arrangement of claim 1 , further comprising a fixed frame section defining the opening, wherein at least one of the first and second sections is a removable frame section attached to the fixed frame section.
10. The seal arrangement of claim 9, wherein the removable frame section spans the opening to divide the opening into first and second separate openings.
11 . The seal arrangement of claim 10, further comprising a cover panel arranged over at least one of the first and second separate openings, wherein the cover panel covers the seam and the seal.
12. The seal arrangement of claim 11 , wherein a surface of the cover panel facing the interior of the enclosure comprises a sealing surface.
13. The seal arrangement of claim 12, wherein the sealing surface comprises a gasket.
14. An enclosure for a substrate processing system, the enclosure comprising: a fixed frame defining an opening in a side of the enclosure, the fixed frame having a first surface; first and second panels having respective second surfaces that are not coplanar with the first surface, wherein at least one of the first and second panels is configured to be removed from the fixed frame; a seam defined between the first and second panels, wherein the seam is in fluid communication with an interior of the enclosure via the opening; an angular cutout defined in an outer perimeter of one or both of the first and second panels; and a seal arranged in the angular cutout adjacent to the seam between the first and second panels.
15. The enclosure of claim 14, wherein the enclosure is an enclosure of an equipment front end module.
16. The enclosure of claim 14, wherein the cutout and the seal are wedge-shaped.
17. The enclosure of claim 16, wherein the seal has a first corner defined by an acute angle, and wherein the first corner is compressed between a first end of the seam adjacent to the opening.
18. The enclosure of claim 17, wherein the seal has a second corner defined by an acute angle, and wherein the second corner is compressed between a second end of the seam opposite the first end.
19. The enclosure of claim 14, wherein an outer surface of the seal at least one of (i) is flush with the second surfaces and (ii) extends beyond the second surfaces.
20. The seal arrangement of claim 14, further comprising a cover panel arranged over at least a portion of the opening, wherein the cover panel covers the seam and the seal.
PCT/US2023/027356 2022-07-18 2023-07-11 Wedge seal for efem frame and panel seams WO2024019902A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263390261P 2022-07-18 2022-07-18
US63/390,261 2022-07-18

Publications (1)

Publication Number Publication Date
WO2024019902A1 true WO2024019902A1 (en) 2024-01-25

Family

ID=89618297

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2023/027356 WO2024019902A1 (en) 2022-07-18 2023-07-11 Wedge seal for efem frame and panel seams

Country Status (1)

Country Link
WO (1) WO2024019902A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
JP2007187289A (en) * 2006-01-16 2007-07-26 Tokyo Electron Ltd Decompression container and decompression processing apparatus
US20110232843A1 (en) * 2010-03-25 2011-09-29 Don Bowman Substrate processing apparatus with composite seal
US20180124960A1 (en) * 2016-10-27 2018-05-03 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
US20210111050A1 (en) * 2017-03-24 2021-04-15 Tokyo Electron Limited Substrate processing device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
JP2007187289A (en) * 2006-01-16 2007-07-26 Tokyo Electron Ltd Decompression container and decompression processing apparatus
US20110232843A1 (en) * 2010-03-25 2011-09-29 Don Bowman Substrate processing apparatus with composite seal
US20180124960A1 (en) * 2016-10-27 2018-05-03 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
US20210111050A1 (en) * 2017-03-24 2021-04-15 Tokyo Electron Limited Substrate processing device

Similar Documents

Publication Publication Date Title
US6899507B2 (en) Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US7066703B2 (en) Chuck transport method and system
US20130239889A1 (en) Valve purge assembly for semiconductor manufacturing tools
US7431813B2 (en) Multi-chambered substrate processing equipment having sealing structure between chambers thereof, and method of assembling such equipment
US9875920B1 (en) Substrate processing apparatus
US11610794B2 (en) Side storage pods, equipment front end modules, and methods for operating the same
EP1506570A1 (en) Reduced cross-contamination between chambers in a semiconductor processing tool
TW202240752A (en) Wafer transport assembly with integrated buffers
US11282737B2 (en) Moving substrate transfer chamber
US11488810B2 (en) Showerhead shroud
KR102107896B1 (en) Processing system
JP2009267012A (en) Vacuum processing apparatus, and vacuum processing method
WO2024019902A1 (en) Wedge seal for efem frame and panel seams
US11746417B2 (en) Clean isolation valve for reduced dead volume
US11719255B2 (en) Pumping liner for improved flow uniformity
TW202420469A (en) Wedge seal for efem frame and panel seams
US20220223367A1 (en) Reduced substrate process chamber cavity volume
US11637004B2 (en) Alignment module with a cleaning chamber
KR20120112251A (en) Conditioning method, computer readable storage medium and substrate processing apparatus
JP5465979B2 (en) Semiconductor manufacturing equipment
US20230245862A1 (en) Delivery of high concentrations of molecular hydrogen and other gases to substrate processing systems
WO2020092047A1 (en) Complementary pattern station designs
US20240006200A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
US20230160101A1 (en) Apparatus and methods for reducing substrate cool down time
WO2023132916A1 (en) Composition mixture control of efem environment

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23843556

Country of ref document: EP

Kind code of ref document: A1