WO2023181882A1 - Analysis method, analysis device, management method for chemical solution, and management method for resist composition - Google Patents

Analysis method, analysis device, management method for chemical solution, and management method for resist composition Download PDF

Info

Publication number
WO2023181882A1
WO2023181882A1 PCT/JP2023/008317 JP2023008317W WO2023181882A1 WO 2023181882 A1 WO2023181882 A1 WO 2023181882A1 JP 2023008317 W JP2023008317 W JP 2023008317W WO 2023181882 A1 WO2023181882 A1 WO 2023181882A1
Authority
WO
WIPO (PCT)
Prior art keywords
semiconductor substrate
metal species
analysis
region
mass spectrometry
Prior art date
Application number
PCT/JP2023/008317
Other languages
French (fr)
Japanese (ja)
Inventor
正洋 吉留
暁彦 大津
Original Assignee
富士フイルム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 富士フイルム株式会社 filed Critical 富士フイルム株式会社
Publication of WO2023181882A1 publication Critical patent/WO2023181882A1/en

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/62Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating the ionisation of gases, e.g. aerosols; by investigating electric discharges, e.g. emission of cathode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor

Definitions

  • the present invention relates to an analytical method for measuring metal elements using laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS), an analytical device, a chemical management method, and a resist composition management method, and particularly relates to The present invention relates to an analysis method, an analysis device, a chemical solution management method, and a resist composition management method for measuring metal elements by dividing a measurement region.
  • LA-ICP-MS laser ablation inductively coupled plasma mass spectrometry
  • various semiconductor devices are manufactured using semiconductor substrates such as silicon substrates. If there are defects such as foreign objects on the surface of a semiconductor substrate, the semiconductor device manufactured may be defective due to insufficient formation of transistor gates or disconnection of wiring, etc. It may happen. As described above, defects such as foreign particles on the surface of a semiconductor substrate affect the yield of semiconductor devices.
  • the method for evaluating metal contamination on a wafer in Patent Document 1 includes a light scattering particle counter that detects foreign matter by scanning the wafer surface with a laser beam and measuring the intensity of light scattering from the foreign matter as a foreign matter inspection device.
  • a light scattering particle counter that detects foreign matter by scanning the wafer surface with a laser beam and measuring the intensity of light scattering from the foreign matter as a foreign matter inspection device.
  • SurfScan SP5 manufactured by KLA Corporation
  • a laser microscope with a confocal optical system that detects foreign objects by detecting the difference in reflected light from the wafer surface.
  • Patent Document 1 a bright spot is observed using a SEM (Scanning Electron Microscope) based on the coordinates obtained in the first step, and EDX (Energy dispersive X-ray spectroscopy) is performed based on characteristic X-rays generated by electron beam irradiation. ) It is stated that the analysis will be performed.
  • SEM Sccanning Electron Microscope
  • EDX Electronic X-ray spectroscopy
  • Patent Document 1 SEM observation of bright spots is performed for each wafer based on the coordinates obtained in the first step, and EDX analysis is performed based on the characteristic X-rays generated by electron beam irradiation, so the operation is easy. It is complicated and tends to take a long time to measure. Furthermore, as the number of metal species detected in EDX analysis increases, the measurement time becomes even longer.
  • the defects on the surface of the semiconductor substrate will become more serious, especially as semiconductor devices become smaller and more highly integrated. , the production of defective semiconductor devices will occur, which will have a significant impact on yield deterioration.
  • An object of the present invention is to provide an analysis method, an analysis device, a chemical solution management method, and a resist composition management method that enable analysis of minute defects and shorten measurement time.
  • the invention [1] provides a method for analyzing defects located on or inside a semiconductor substrate, which includes a step 1 of setting the number of metal species to be analyzed, and a measurement target area of the semiconductor substrate. step 2 of dividing the area into regions, step 3 of assigning a different metal type to each divided region, irradiating each region with laser light, collecting the analytical sample obtained from the irradiation with a carrier gas, and guiding it.
  • This is an analysis method comprising a step 4 of performing coupled plasma mass spectrometry.
  • Invention [2] is a method for analyzing defects located on or inside a semiconductor substrate, which includes determining the number of metal species to be analyzed, dividing a region to be measured, and each divided region on the semiconductor substrate. irradiating each region with laser light based on setting information including the metal species assigned to the area, collecting the analysis sample obtained from the irradiation with a carrier gas, and subjecting it to inductively coupled plasma mass spectrometry. It's a method.
  • Invention [3] is the analysis method according to invention [1], which includes a step of obtaining contour information on the surface of the semiconductor substrate and obtaining information on the measurement target region before step 2.
  • Invention [4] is the analysis method according to any one of inventions [1] to [3], wherein 4 to 10 metal species are measured per region of the semiconductor substrate.
  • Invention [5] is the analysis method according to any one of inventions [1] to [3], wherein two or three metal species are measured per region of the semiconductor substrate.
  • Invention [6] is the analysis method according to any one of inventions [1] to [3], wherein one metal species is measured per region of the semiconductor substrate.
  • Invention [7] is the method according to any one of inventions [1] and [3] to [6], wherein step 1 of setting the number of metal types to be analyzed includes a step of setting the number of metal types to be analyzed.
  • Invention [8] is the analysis method according to any one of inventions [2] and [4] to [6], wherein the setting information includes information on the metal species to be analyzed.
  • Invention [9] is an apparatus for analyzing defects located on or inside a semiconductor substrate, in which the number of metal species to be analyzed is set, the measurement target area of the semiconductor substrate is divided into regions, and each divided region is , an analysis device that has a setting section that assigns different metal types, and an analysis section that irradiates each region with laser light, collects the analysis sample obtained from the irradiation with a carrier gas, and performs inductively coupled plasma mass spectrometry.
  • Invention [10] is an apparatus for analyzing defects located on or inside a semiconductor substrate, which analyzes the semiconductor substrate by determining the number of metal species to be analyzed, dividing a region to be measured, and each divided region.
  • an analysis section that irradiates each region with a laser beam based on setting information including the metal species assigned to the area, collects an analysis sample obtained from the irradiation with a carrier gas, and performs inductively coupled plasma mass analysis; It is an analytical device.
  • Invention [11] is the analysis device according to invention [9], which includes an alignment measurement unit that obtains contour information on the surface of the semiconductor substrate and obtains information on the measurement target region.
  • Invention [12] is the analysis device according to Invention [9] or [11], wherein the setting unit sets the number of metal types per region of the semiconductor substrate to 4 to 10.
  • Invention [13] is the analysis device according to Invention [9] or [11], wherein the setting unit sets the number of metal types per region of the semiconductor substrate to 2 or 3.
  • Invention [14] is the analysis device according to Invention [9] or [11], wherein the setting unit sets the metal type per region of the semiconductor substrate to 1.
  • Invention [15] is the analyzer according to any one of inventions [9] and [11] to [14], wherein the setting section sets the metal species to be analyzed.
  • Invention [16] is the analysis device according to invention [10], wherein the setting information includes information on the metal species to be analyzed.
  • Invention [17] is a method for managing a chemical solution, which includes a step of bringing a semiconductor substrate into contact with a chemical solution, a step of setting the number of metal species to be analyzed, and a step of dividing a measurement target region of the semiconductor substrate into regions.
  • Invention [18] is a method for managing a chemical solution, which includes a step of bringing a semiconductor substrate into contact with a chemical solution, determining the number of metal species to be analyzed on the semiconductor substrate, dividing a region to be measured, and dividing the semiconductor substrate into regions. irradiating each region with a laser beam based on setting information including the metal type assigned to each region, collecting an analysis sample obtained from the irradiation with a carrier gas, and performing inductively coupled plasma mass spectrometry; A chemical solution comprising a step of comparing mass spectrometry data of defects obtained in the step of inductively coupled plasma mass spectrometry with preset reference data to determine whether the mass spectrometry data is within an acceptable range. This is a management method.
  • Invention [19] is the method according to invention [17], which includes a step of obtaining contour information on the surface of the semiconductor substrate and obtaining information on the measurement target region before the step of dividing the measurement target region of the semiconductor substrate into regions. How to manage chemical solutions.
  • Invention [20] is the method for managing a chemical solution according to Invention [17] or [19], wherein the step of setting the number of metal species to be analyzed includes a step of setting the metal species to be analyzed.
  • Invention [21] is the method for managing a chemical solution according to invention [18], wherein the setting information includes information on the metal species to be analyzed.
  • Invention [22] is a method for managing a resist composition, which includes a step of applying a resist composition onto a semiconductor substrate, a step of setting the number of metal species to be analyzed, and a step of dividing a measurement target region of the semiconductor substrate into regions. a step of assigning a different metal type to each divided region, a step of irradiating each region with laser light, collecting the analysis sample obtained from the irradiation with a carrier gas, and performing inductively coupled plasma mass spectrometry. and a step of comparing mass spectrometry data of defects obtained in the process of inductively coupled plasma mass spectrometry with preset reference data to determine whether the mass spectrometry data is within an acceptable range.
  • This is a method for managing a resist composition.
  • Invention [23] is a method for managing a resist composition, which includes the steps of applying the resist composition onto a semiconductor substrate, the number of metal species to be analyzed, and region division of a measurement target region on the semiconductor substrate. Based on the setting information including the metal species assigned to each divided region, each region is irradiated with laser light, the analysis sample obtained from the irradiation is collected with a carrier gas, and inductively coupled plasma mass spectrometry is performed. and a step of comparing the mass spectrometry data of the defect obtained in the process of inductively coupled plasma mass spectrometry with preset reference data to determine whether the mass spectrometry data is within an acceptable range.
  • a method for managing a resist composition includes the steps of applying the resist composition onto a semiconductor substrate, the number of metal species to be analyzed, and region division of a measurement target region on the semiconductor substrate. Based on the setting information including the metal species assigned to each divided region, each region is irradiated with laser light, the analysis sample obtained from the irradiation
  • Invention [24] is the method according to invention [22], which includes a step of obtaining contour information on the surface of the semiconductor substrate and obtaining information on the measurement target region before the step of dividing the measurement target region of the semiconductor substrate into regions. How to manage resist composition.
  • Invention [25] is the method for managing a resist composition according to invention [22] or [24], wherein the step of setting the number of metal species to be analyzed includes a step of setting the metal species to be analyzed.
  • Invention [26] is the resist composition management method according to Invention [23], wherein the setting information includes information on the metal species to be analyzed.The setting information includes information on the metal species to be analyzed.
  • FIG. 1 is a schematic diagram showing a first example of an analysis device according to an embodiment of the present invention.
  • FIG. 2 is a schematic diagram showing a first example of region division of a semiconductor substrate in a first example of an analysis device according to an embodiment of the present invention.
  • FIG. 7 is a schematic diagram showing a second example of region division of a semiconductor substrate in the first example of the analysis device according to the embodiment of the present invention.
  • FIG. 7 is a schematic diagram showing a third example of region division of a semiconductor substrate in the first example of the analysis device according to the embodiment of the present invention. It is a schematic diagram showing an example of the analysis unit of the 1st example of the analysis device of the embodiment of the present invention.
  • FIG. 1 is a schematic diagram showing a first example of an analysis device according to an embodiment of the present invention.
  • FIG. 2 is a schematic diagram showing a first example of region division of a semiconductor substrate in a first example of an analysis device according to an embodiment of the present invention.
  • FIG. 7 is
  • FIG. 1 is a schematic cross-sectional view illustrating a first example of an analysis method according to an embodiment of the present invention.
  • 1 is a flowchart showing a first example of an analysis method according to an embodiment of the present invention.
  • FIG. 2 is a schematic diagram showing a second example of an analysis device according to an embodiment of the present invention.
  • 1 is a flowchart illustrating an example of a method for managing a chemical solution according to an embodiment of the present invention.
  • 1 is a flowchart illustrating an example of a resist composition management method according to an embodiment of the present invention.
  • angles such as “angle expressed in specific numerical values”, “parallel”, “perpendicular”, and “perpendicular” include error ranges generally accepted in the relevant technical field. Furthermore, “same” includes a generally acceptable error range in the relevant technical field. In addition, “entire surface” and the like include the error range generally allowed in the relevant technical field.
  • FIG. 1 is a schematic diagram showing a first example of an analyzer according to an embodiment of the present invention
  • FIG. 2 is a schematic diagram showing an example of an analysis unit of the first example of an analyzer according to an embodiment of the present invention.
  • the analyzer 10 shown in FIG. 1 includes an alignment measurement section 20, a storage section 26, a calculation section 27, a setting section 28, an input section 29, and an analysis section 30, which will be described in detail later.
  • the analysis device 10 analyzes defects located on or inside the surface 50a of the semiconductor substrate 50, using the semiconductor substrate 50 as a measurement target. A method for analyzing defects located on or inside a semiconductor substrate using the analyzer 10 will be described later, but the analyzer used in the analysis method is not particularly limited to the analyzer 10 shown in FIG. , other configurations of analyzers can be used.
  • the analyzer 10 has a first transfer chamber 12a, a measurement chamber 12b, a second transfer chamber 12c, and an analysis chamber 12d. are arranged consecutively in order.
  • the first transfer chamber 12a, the measurement chamber 12b, the second transfer chamber 12c, and the analysis chamber 12d are each partitioned by a wall 12h. ) etc., and the door may be opened when the semiconductor substrate 50 is passed through.
  • the measurement chamber 12b and the second transfer chamber 12c are arranged in succession, the present invention is not limited to this, and a load lock chamber may be provided between the measurement chamber 12b and the second transfer chamber 12c.
  • the semiconductor substrate 50 is transported from outside the analyzer 10 to the first transport chamber 12a, and is transported from the first transport chamber 12a to the measurement chamber 12b, and is then processed by the alignment measuring section 20 within the measurement chamber 12b. , the contour of the surface 50a of the semiconductor substrate 50 is measured, and contour information of the surface 50a of the semiconductor substrate 50 is obtained. From this contour information, the shape of the surface 50a of the semiconductor substrate 50 is specified, and the measurement target area of the semiconductor substrate 50 is specified. Thereby, information on the measurement target area of the semiconductor substrate 50 can be obtained.
  • the measurement target area of the semiconductor substrate 50 is an area surrounded by the outline of the surface 50a of the semiconductor substrate 50 to be analyzed.
  • the contour information is expressed, for example, by two-dimensional position coordinates set with respect to the surface 50a of the semiconductor substrate 50.
  • the positional coordinates are set, for example, with the center position of the surface 50a of the semiconductor substrate 50 as the origin.
  • a reference is set for each semiconductor substrate 50.
  • a reference point can be provided on the stage 22, and the contour information of the surface 50a of the semiconductor substrate 50 can be expressed as position coordinates using this reference point as the origin.
  • a reference position common to a plurality of semiconductor substrates 50 can be set in advance, and two-dimensional position coordinates of contour information can be expressed using the reference position as the origin.
  • information on the measurement target region of the semiconductor substrate 50 is also expressed, for example, in two-dimensional position coordinates, similar to the contour information.
  • information on the center position of the semiconductor substrate 50 can also be obtained.
  • the information on the center position of the semiconductor substrate 50 is the position information on the geometric center of the two-dimensional shape obtained from the contour information on the surface 50a of the semiconductor substrate 50.
  • Information on the center position of the semiconductor substrate 50 can be obtained, for example, by determining position information on the geometric center of a two-dimensional shape obtained from contour information on the surface 50a of the semiconductor substrate 50.
  • Information on the center position of the semiconductor substrate 50 is also expressed in two-dimensional position coordinates. Contour information on the surface 50a of the semiconductor substrate 50, information on the measurement target area, and information on the center position of the semiconductor substrate 50 are stored in the storage unit 26.
  • the number of metal species to be analyzed is set, the measurement target region of the semiconductor substrate 50 is divided into regions, and a different metal species is assigned to each divided region. Note that each divided area is also represented by two-dimensional position coordinates. Further, the number of metal species to be analyzed that is set is also referred to as the total number of metal species that are set to be analyzed. This is to distinguish from the number of metal species set in each region.
  • the semiconductor substrate 50 whose contour information has been acquired is transported from the measurement chamber 12b to the second transport chamber 12c, and further transported to the analysis chamber 12d.
  • the analysis unit 30 analyzes the surface defects of the semiconductor substrate 50 based on the information on the metal species.
  • the interiors of the first transfer chamber 12a, measurement chamber 12b, second transfer chamber 12c, and analysis chamber 12d can be set to a specific atmosphere. .
  • a vacuum pump may be provided to exhaust the gas inside the first transfer chamber 12a, measurement chamber 12b, second transfer chamber 12c, and analysis chamber 12d to create a reduced pressure atmosphere.
  • an inert gas such as nitrogen gas may be supplied inside the first transfer chamber 12a, measurement chamber 12b, second transfer chamber 12c, and analysis chamber 12d to create an inert gas atmosphere inside.
  • the first transfer chamber 12a transfers the semiconductor substrate 50 transferred from the outside of the analyzer 10 to the measurement chamber 12b.
  • the first transfer chamber 12a is provided with an introduction section 12g on the side surface.
  • a storage container 13 is installed in the introduction section 12g.
  • a sealing member (not shown) is provided in the introduction part 12g to maintain airtightness with the storage container 13.
  • the storage container 13 stores therein a plurality of semiconductor substrates 50 arranged in a shelf-like manner.
  • the semiconductor substrate 50 is, for example, a disk-shaped substrate.
  • the storage container 13 is, for example, a FOUP (Front Opening Unified Pod).
  • a transport device 14 is provided inside the first transport chamber 12a.
  • the transport device 14 transports the semiconductor substrate 50 in the storage container 13 from the first transport chamber 12a to the adjacent measurement chamber 12b.
  • the transport device 14 is not particularly limited as long as it can take out the semiconductor substrate 50 from the storage container 13 and transport it to the stage 22 of the measurement chamber 12b.
  • the transport device 14 shown in FIG. 1 includes a transport arm 15 that holds the outside of the semiconductor substrate 50, and a drive section (not shown) that drives the transport arm 15.
  • the transport arm 15 is attached to the attachment part 14a and is rotatable around the rotation axis C1 .
  • the configuration of the transfer arm 15 is not particularly limited to one that holds the outside of the semiconductor substrate 50 as long as it can hold and transfer the semiconductor substrate 50, and the structure is not particularly limited to one that holds the outside of the semiconductor substrate 50.
  • Those used for transportation can be used as appropriate.
  • the mounting portion 14a can move in the height direction V, and the conveyance arm 15 can move in the height direction V, which is a direction parallel to the rotation axis C1 . By moving the mounting portion 14a in the height direction V, the position of the transport arm 15 in the height direction V can be changed.
  • An alignment measurement section 20 is provided in the measurement chamber 12b.
  • the alignment measuring section 20 detects the contour of the surface 50a of the semiconductor substrate 50 and obtains contour information of the surface 50a of the semiconductor substrate 50.
  • the alignment measurement section 20 is connected to a calculation section 27 and a storage section 26.
  • the alignment measuring section 20 includes a stage 22 on which the semiconductor substrate 50 is placed, a light source 23 that irradiates the surface 50a of the semiconductor substrate 50 with light Ls, and an imaging section 24 that observes the semiconductor substrate 50.
  • the stage 22 on which the semiconductor substrate 50 is placed is rotatable around the rotation axis C2 , and can change the position of the semiconductor substrate 50 in the height direction V, and can change the position of the semiconductor substrate 50 in the direction H perpendicular to the height direction V. Can change position.
  • the stage 22 allows the position of the semiconductor substrate 50 with respect to the imaging section 24 to be adjusted.
  • the wavelength of the light Ls emitted by the light source 23 is not particularly limited.
  • the light Ls is, for example, ultraviolet light, but may also be visible light or other light.
  • ultraviolet light refers to light in a wavelength range of less than 400 nm
  • visible light refers to light in a wavelength range of 400 to 800 nm.
  • the incident angle of the light Ls is particularly limited as long as the surface 50a of the semiconductor substrate 50 can be irradiated. It's not a thing.
  • the imaging unit 24 is configured to image the outline of the front surface 50a of the semiconductor substrate 50, and can image a region including the outline of the front surface 50a of the semiconductor substrate 50. Moreover, if the semiconductor substrate 50 has an alignment mark, it is preferable that the imaging unit 24 can image the alignment mark. Further, when the semiconductor substrate 50 has a notch, an orientation flat, or an alignment mark, it is preferable that the notch, orientation flat, and alignment mark can be imaged.
  • the imaging unit 24 preferably includes, for example, a CCD (Charge Coupled Devices) element or a CMOS (Complementary Metal Oxide Semiconductor) element, and has a number of pixels capable of performing the various types of imaging described above.
  • the number of imaging units 24 is not limited to one, and may be plural. Incidentally, as long as the image capturing section 24 can image the outline of the surface 50a of the semiconductor substrate 50, the irradiation of the light Ls by the light source 23 is not necessarily necessary.
  • the imaging unit 24 is connected to a storage unit 26 , and image data including contour information obtained by the imaging unit 24 is output to and stored in the storage unit 26 .
  • the calculation unit 27 reads the image data of the semiconductor substrate 50 stored in the storage unit 26, and the calculation unit 27 specifies the shape of the surface 50a of the semiconductor substrate 50 from the contour information as described above. A measurement target area is specified. As a result, information on the measurement target region of the semiconductor substrate 50 can be obtained. Note that when the contour information of the surface 50a of the semiconductor substrate 50 is insufficient, the calculation unit 27 calculates contour information by complementing the contour information of the surface 50a of the semiconductor substrate 50 from the obtained contour information. It's okay.
  • the calculation unit 27 specifies the two-dimensional position coordinates of the contour information of the surface 50a of the semiconductor substrate 50, and determines the information on the center position of the semiconductor substrate 50, that is, the position of the geometric center of the two-dimensional shape obtained from the contour information. get information. Thereby, the placement position of the semiconductor substrate 50 on the stage 22 can be specified. Furthermore, the placement position of the semiconductor substrate 50 on the stage 22 can be specified. If the semiconductor substrate 50 has a notch, orientation flat, or alignment mark, the calculation unit 27 can also specify the positional relationship between the notch, orientation flat, or alignment mark and the center position of the semiconductor substrate 50.
  • the storage unit 26 stores contour information on the surface 50a of the semiconductor substrate 50, information on the measurement target area, information on the position of a notch, orientation flat, or alignment mark, and information on the center position of the semiconductor substrate 50.
  • the setting unit 28 sets the number of metal species to be analyzed. Further, the measurement target region of the semiconductor substrate 50 is divided into regions, and a different metal type is assigned to each divided region.
  • the measurement target area obtained by the alignment measuring section 20 as described above may be used as the measurement target area. If there is information on the measurement target area of the semiconductor substrate 50 to be analyzed in advance, instead of the measured value, the information on the measurement target area can also be used.
  • the relationship between the number of metal species to be analyzed and the number of regions may be set in advance, and the number of regions may be determined from the number of metal species to be analyzed based on this.
  • the relationship between the number of metal species to be analyzed, the number of regions, and the number of metal species assigned to the regions is set in advance, and based on this, the number of regions and the number of regions can be changed from the number of metal species to be analyzed.
  • the number of metal species to be assigned may be determined.
  • the number of metal species to be analyzed is preferably 2 or more, more preferably 10 or more, even more preferably 20 or more, and even more preferably 30 or more.
  • the upper limit of the number of metal species to be analyzed is not particularly limited, but is appropriately determined depending on the performance of the analysis unit 36, analysis time, etc., which will be described later.
  • the setting unit 28 assigns different metal types to each region divided as described above.
  • the meaning of "assigning different metal species” means that when the two divided regions are compared, the types of metal species to be assigned do not completely match. In other words, for example, when comparing two divided areas, if one metal type is assigned to each area, if the metal types assigned to each area are different, it will be This corresponds to "assigning a metal type". Also, for example, when comparing two divided regions, if two or more metal types are assigned to each region, even if some metal types overlap in the two regions, each It is assumed that different metal types are assigned unless the metal types included in the region are exactly the same.
  • the setting unit 28 sets a different metal type in each divided area, but it may also be set so that the metal types do not overlap in each area, and the metal type in each area can be set so that the metal types do not overlap. Some of the metal types may be set to be duplicated, except that they completely match.
  • the calculation unit 27 in each area of the semiconductor substrate 50, based on the information of each divided area of the semiconductor substrate 50 described above set by the setting unit 28 and the information of the measurement target area of the semiconductor substrate, The range to be irradiated with laser light and the position coordinates of that range are calculated.
  • the calculation unit 27 further determines the range to be irradiated with the laser beam La and the metal type to be measured in the range to be irradiated with the laser beam La, and obtains combination data of the range to be irradiated with the laser beam La and the metal type to be measured. obtain.
  • the calculation unit 27 causes the storage unit 26 to store the combination data.
  • the control unit 42 controls the analysis unit 36 based on the information on the metal species assigned to each divided region, that is, the above-mentioned combination data, and makes settings for each divided region. conduct an analysis of the metal species.
  • the input section 29 is used to input setting information set in the setting section 28, which will be described later.
  • the input unit 29 may be an interface such as a keyboard and a mouse, or may be a device that reads a recording medium such as a memory card reader.
  • the input section 29 has a receiving section that receives wireless signals, or can be connected to the Internet.
  • the setting information is information including the number of metal species to be analyzed, the region division of the measurement target region, and the metal species assigned to each of the divided regions. Further, the setting information may include information on the type of metal to be analyzed. Information on metal species to be analyzed includes elemental species that can be measured by LA-ICP-MS.
  • Information on the metal species to be analyzed includes, for example, Li, Na, Mg, Al, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Rb, Sr, Y, Zr, Nb, Mo, Tc, Ru, Rh, Pd, Ag, Cd, In, Sn, Cs, Ba, Hf, Ta, W, Re, TI, Pb, Bi, Po, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Ac, Th, Pa, U, Np, Pu, Am, Cm, Bk, Cf, Es, Fm, Md, No, and Lr are the metal elements to be detected.
  • the input format of the setting information is not particularly limited, and the setting information may be input into the setting unit 28 using an interface such as a keyboard, or the setting information may be read or received by the setting unit 28.
  • the storage unit 26 stores information on the outline of the surface 50a of the semiconductor substrate 50, information on the measurement target area, information on the position of the notch, orientation flat, or alignment mark, information on the center position of the semiconductor substrate 50, etc.
  • various storage media such as volatile memory, non-volatile memory, hard disk, or SSD (Solid State Drive) can be used without particular limitation.
  • the storage unit 26 may be a storage medium placed on a cloud.
  • the calculation unit 27 and the setting unit 28 may be configured by, for example, a computer in which each part functions by executing a program or computer software, or may be a dedicated device in which each part is configured with a dedicated circuit. , may be configured on a server to run on the cloud.
  • a program or computer software may be used, a dedicated device configured with a dedicated circuit may be used, and a server may be used for the analysis method so as to be executed on the cloud. .
  • the stage 22, the light source 23, and the imaging section 24 are controlled by the control section 42. Furthermore, the storage section 26 and the calculation section 27 are also controlled by the control section 42 .
  • the control unit 42 causes the light source 23 to irradiate the surface 50a of the semiconductor substrate 50 with light Ls
  • the imaging unit 24 images the semiconductor substrate 50
  • the image data including contour information obtained by the imaging unit 24 is stored in the storage unit. 26 and stored.
  • the calculation unit 27 reads the image data from the storage unit 26, and specifies the two-dimensional position coordinates of the contour information on the surface 50a of the semiconductor substrate 50.
  • the atmosphere in the measurement chamber 12b is not particularly limited, and may be a reduced pressure atmosphere or a nitrogen gas atmosphere as described above.
  • a transport device 16 is provided inside the second transport chamber 12c.
  • the transport device 16 transports the semiconductor substrate 50 whose contour information has been measured by the alignment measuring section 20 in the measurement chamber 12b from the measurement chamber 12b to the analysis chamber 12d.
  • the transport device 16 may have the same configuration as the transport device 14 described above.
  • the transport device 16 includes a transport arm 15 that holds the outside of the semiconductor substrate 50, and a drive section (not shown) that drives the transport arm 15.
  • the transport arm 15 is attached to the attachment part 16a and is rotatable around the rotation axis C1 .
  • the mounting portion 16a is movable in the height direction V, which is a direction parallel to the rotation axis C1 .
  • the position of the transport arm 15 in the height direction V can be changed by moving the mounting portion 16a to which the transport arm 15 is attached in the height direction V.
  • the measurement target region of the semiconductor substrate 50 is divided into regions.
  • the method of dividing the measurement target region into regions is not particularly limited.
  • FIG. 2 is a schematic diagram showing a first example of region division of a semiconductor substrate in a first example of an analysis apparatus according to an embodiment of the present invention
  • FIG. FIG. 4 is a schematic diagram showing a second example of region division of the semiconductor substrate in Example 1
  • FIG. 4 shows a third example of region division of the semiconductor substrate in the first example of the analysis device according to the embodiment of the present invention.
  • It is a schematic diagram.
  • the surface 50a of the semiconductor substrate 50 is divided into fan-shaped regions having a central position O at the apex.
  • FIG. 2 is a schematic diagram showing a first example of region division of a semiconductor substrate in a first example of an analysis apparatus according to an embodiment of the present invention
  • FIG. 4 is a schematic diagram showing a second example of region division of the semiconductor substrate in Example 1
  • FIG. 4 shows a third example of region division of the semiconductor
  • the fan-shaped regions 52a to 52h are also expressed by two-dimensional position coordinates.
  • the number of divisions of the front surface 50a of the semiconductor substrate 50 is not limited to eight, but is at least two.
  • the upper limit of the number of divisions is not particularly limited, but considering the measurement time, the upper limit of the number of divisions is 30. Note that evaluation stability is improved by ensuring a sufficient measurement area. Therefore, in the case of a semiconductor wafer with a diameter of 12 inches, the lower limit of the measurement area per metal element to be measured is preferably 24 cm 2 , a more preferable area is 71 cm 2 or more and 236 cm 2 or less, and the most preferable area is more than 236 cm 2 Less than 706.5 cm2 . Further, the sizes of the fan-shaped regions 52a to 52h are not limited to being all the same, and may be different in size. That is, the central angles of the fan-shaped regions 52a to 52h may be different.
  • the present invention is not limited to this.
  • the area may be divided by a line 53 parallel to the straight line passing through the center position O.
  • the surface 50a of the semiconductor substrate 50 is divided into four regions 53a to 53d at equal intervals along the diameter direction.
  • the position coordinates are specified for each of the four regions 53a to 53d, and each region corresponding to the four regions 53a to 53d on the surface 50a of the semiconductor substrate 50 is irradiated with a laser beam to determine the metal type set for each region.
  • An analysis is performed and defects 51 are analyzed. Note that, as shown in FIG.
  • dividing the circular semiconductor substrate 50 into a plurality of regions by a line 53 parallel to a straight line passing through the center position O is also referred to as vertical division.
  • the four regions 53a to 53d described above are also represented by two-dimensional position coordinates.
  • the number of divisions of the front surface 50a of the semiconductor substrate 50 is not limited to four, but is at least two. In the two cases, FIGS. 2 and 3 match in area.
  • the upper limit of the number of divisions is not particularly limited, but considering the measurement time, the upper limit of the number of divisions is 30. Further, the division is not limited to equal intervals, and the intervals may not be even.
  • the region division of the surface 50a of the semiconductor substrate 50 may be random.
  • six circular regions 54a to 54f of the same size are set on the surface 50a of the semiconductor substrate 50, for example.
  • the position coordinates of each of the six regions 54a to 54f are specified, and a laser beam is irradiated to each region corresponding to the six regions 54a to 54f on the surface 50a of the semiconductor substrate 50, so that the metal set in each region is A seed analysis is performed and defects 51 (see FIG. 1) are analyzed.
  • the sizes of the regions may be the same or different as long as the regions do not overlap.
  • the number of divisions, the size of the regions to be divided, and the arrangement position of the regions are set using pseudo-random numbers.
  • the condition that the regions do not overlap is added to the setting of the region placement position.
  • Voronoi division can also be used in addition to the above.
  • the analysis chamber 12d shown in FIG. 1 is provided with an analysis section 30 therein.
  • the analysis unit 30 performs analysis using LA-ICP-MS (Laser Ablation-Inductively Coupled Plasma Mass Spectrometer).
  • ICP-MS Inductively Coupled Plasma Mass Spectrometer
  • LA-ICP-MS a laser ablation section (LA section) irradiates a laser beam onto each set area on the surface 50a of a semiconductor substrate 50, and when a defect 51 is irradiated with the laser beam La, the laser beam is emitted.
  • An analysis sample obtained by irradiation with La is introduced into an ICP-MS section (inductively coupled plasma mass spectrometry section) using a carrier gas, and the elements contained in the analysis sample are quantitatively analyzed.
  • the analysis section 30 includes a stage 32 on which the semiconductor substrate 50 is placed, a container section 33 that stores the semiconductor substrate 50 placed on the stage 32, and a drive section 37 that drives the stage 32.
  • the drive unit 37 is connected to the control unit 42, and the drive unit 37 is controlled by the control unit 42 to move the stage 32 and change the irradiation position of the laser beam La onto the surface 50a of the semiconductor substrate 50.
  • An analysis unit 36 is connected to the container section 33 via piping 39. The semiconductor substrate 50 is analyzed while being entirely housed in the container section 33.
  • the stage 32 on which the semiconductor substrate 50 is placed is rotatable around the rotation axis C3 , and can change the position of the semiconductor substrate 50 in the height direction V, and can also be moved in the direction H perpendicular to the height direction V. Can change position.
  • the stage 32 is rotated around the rotation axis C3 by the drive unit 37, and the position of the semiconductor substrate 50 in the height direction V and in the direction H is changed.
  • the drive section 37 is controlled by the control section 42.
  • the control section 42 drives the stage 32 using the drive section 37 to change the irradiation position on the surface 50a of the semiconductor substrate 50.
  • the analysis section 30 includes a light source section 34 that irradiates laser light La onto each of the divided regions on the surface 50a of the semiconductor substrate 50 measured by the alignment measurement section 20.
  • a condensing lens 35 is provided between the light source section 34 and the surface 50a of the semiconductor substrate 50 to focus the laser beam La onto the defect 51 on the surface 50a of the semiconductor substrate 50.
  • the light source section 34 and the condensing lens 35 are provided outside the container section 33.
  • the container section 33 is provided with a window section (not shown) through which the laser beam La can pass, so that the laser beam La can pass therethrough.
  • the light source section 34 uses a femtosecond laser, a nanosecond laser, a picosecond laser, an attosecond laser, or the like.
  • the femtosecond laser for example, a Ti:Sapphire laser can be used.
  • the method is not limited to driving the stage 32 to change the irradiation position on the surface 50a of the semiconductor substrate 50, but scanning the laser beam La and changing the irradiation position on the surface 50a of the semiconductor substrate 50 with the laser beam La.
  • a configuration may also be adopted in which the irradiation position is changed.
  • the analysis section 30 includes a carrier gas supply section 38 that supplies carrier gas into the container section 33 .
  • the carrier gas supply unit 38 includes a gas supply source (not shown) such as a cylinder in which the carrier gas is stored, a regulator (pressure regulator) connected to the gas supply source, and an adjustment device that controls the supply amount of the carrier gas. and a valve (not shown).
  • the regulator and the regulating valve are connected by a tube, and the regulating valve and the container part 33 are connected by a pipe.
  • helium gas or argon gas is used as the carrier gas.
  • the analysis section 30 also includes a cleaning gas supply section 40 that supplies cleaning gas into the container section 33 .
  • the cleaning gas supply unit 40 includes a gas supply source (not shown) such as a cylinder in which cleaning gas is stored, a regulator (pressure regulator) connected to the gas supply source, and an adjustment device that controls the supply amount of the cleaning gas. and a valve (not shown).
  • a gas supply source such as a cylinder in which cleaning gas is stored
  • a regulator pressure regulator
  • an adjustment device that controls the supply amount of the cleaning gas.
  • a valve (not shown).
  • the regulator and the regulating valve are connected by a tube, and the regulating valve and the container part 33 are connected by a pipe.
  • helium gas or argon gas is used as the cleaning gas.
  • the container portion 33 is provided with an outflow portion 41 that allows the cleaning gas to flow out from inside the container portion 33 to the outside.
  • the outflow portion 41 includes, for example, a pipe and a valve. By opening the valve, the cleaning gas can flow out from inside the container section 33.
  • a heater (not shown) may be provided in the container portion 33 to perform a flushing process. By heating the inside of the container 33 with a heater while the cleaning gas is supplied into the container 33, foreign matter such as ablated deposits, adsorbed gas, etc. in the container 33 are removed. Thereby, the cleanliness inside the container section 33 can be increased and contamination of the semiconductor substrate 50 can be suppressed.
  • an infrared lamp or a xenon flash lamp is used as the heater.
  • a carrier gas can also be used in the flushing process.
  • the analysis unit 36 utilizes the above-mentioned ICP-MS, and when the defect 51 on the surface 50a of the semiconductor substrate 50 is irradiated with the laser beam La, the analysis sample obtained by the irradiation is collected with a carrier gas.
  • ICP is an abbreviation for inductively coupled plasma
  • the analysis unit 36 ionizes the object to be measured using high-temperature plasma maintained by high-frequency electromagnetic induction, and detects the ions with a mass spectrometer to identify atomic species. , and measuring the concentration of the detected atomic species.
  • a quadrupole mass spectrometer is used as the analysis unit 36.
  • the quadrupole mass spectrometer is arranged in a mass spectrometer 46 (see FIG. 5), which will be described later.
  • a quadrupole mass spectrometer the metal species to be measured can be changed by changing the frequency or voltage. For this reason, the frequency or voltage is changed depending on the set metal type to analyze the metal type.
  • the analysis unit 36 in addition to the above-mentioned quadrupole mass spectrometer, for example, one having the configuration shown in FIG. 5 can be used.
  • the analysis unit 36 shown in FIG. 5 includes a plasma torch 44 that generates plasma that ionizes an analysis sample introduced together with a carrier gas from a pipe 39, and an ion introduction section located near the tip of the plasma torch 44. It has an analysis section 46.
  • the plasma torch 44 has, for example, a triple pipe structure, and carrier gas is introduced from a pipe 39. Furthermore, a plasma gas for plasma formation is introduced into the plasma torch 44 .
  • a plasma gas for plasma formation is introduced into the plasma torch 44 .
  • argon gas is used as the plasma gas.
  • the plasma torch 44 is provided with a high frequency coil (not shown) connected to a high frequency power source (not shown), and this high frequency coil has a power of, for example, 27.12 MHz or 40.68 MHz, about 1 to 2 KW. Plasma is formed inside the plasma torch 44 by applying the high frequency current.
  • ions generated by the plasma torch 44 are introduced into the ion lens section 46a and the mass spectrometer section 46b via the ion introduction section.
  • the inside of the ion lens section 46a and the mass spectrometer section 46b are controlled by a vacuum pump (not shown) so that the ion lens section 46a on the plasma torch 44 side is at a low vacuum and the mass spectrometer section 46b is at a high vacuum. The pressure is reduced to
  • the ion lens section 46a is provided with a plurality of ion lenses 47, for example, three.
  • the ion lens 47 separates ions into the mass spectrometer section 46b.
  • the above-mentioned plasma light and ions are separated by an ion lens 47, and only the ions are allowed to pass through.
  • the mass spectrometer section 46b separates ions according to their mass-to-charge ratios and detects them with the detector 49.
  • the mass spectrometer section 46b includes a reflectron 48 that reflects the ions that have passed through the ion lens section 46a, and a detector 49 that detects the ions.
  • the reflectron 48 is also called an ion mirror, and is a device that uses an electrostatic field to reverse the flying direction of charged particles. By using the reflectron 48, charged particles having the same mass-to-charge ratio and different kinetic energies can be focused on the time axis and made to reach the detector 49 at approximately the same time. Reflectron 48 can compensate for errors and improve mass resolution.
  • As the reflectron 48 a known one used in a time-of-flight mass spectrometer (TOF-MS) can be used.
  • TOF-MS time-of-flight mass spectrometer
  • the detector 49 is not particularly limited as long as it can detect ions and identify elements, and any known detector used in a time-of-flight mass spectrometer (TOF-MS) can be used.
  • the analysis unit 36 can display the detected element ion signal (not shown) as a chart for each time (not shown). The concentration of the detected element corresponds to the signal intensity.
  • the analyzer 10 has a control section 42.
  • the control unit 42 drives the stage 32 of the analysis unit 30 by the drive unit 37 based on the information on the measurement target area by the alignment measurement unit 20, the information on the divided areas, and the information on the metal type for each area.
  • the irradiation position is changed and a region of the surface 50a of the semiconductor substrate 50 is irradiated with the laser beam La.
  • a predetermined metal species is measured for each region, and defects 51 on the surface 50a of the semiconductor substrate 50 are analyzed.
  • the position of the defect 51 is also specified from the irradiation position within the region of the laser beam La, and information about the position of the detected defect 51 and the metal type of the defect 51 within the region is obtained.
  • position information of the defect 51 in the measurement target region of the semiconductor substrate 50 and information on the metal type of the defect 51 can be obtained, and the distribution of the defect 51 in the measurement target region of the semiconductor substrate 50 can be obtained.
  • the analysis will take time. Furthermore, as the size of the defect to be analyzed becomes smaller, the level of the detection signal obtained also becomes smaller, making it difficult to analyze many types of metals in one analysis.
  • the semiconductor substrate is divided into regions and metal species are set for each region, so even if the number of metal species to be analyzed is large, the number of metal species to be measured in each region can be reduced. Therefore, the time required to analyze the defect 51 can be shortened, and as a result, the time required to measure the defect 51 can be shortened. Further, by using laser ablation inductively coupled plasma mass spectrometry using laser light La, it is also possible to analyze small-sized defects 51.
  • the analyzer 10 when analyzing a plurality of metal types, one semiconductor substrate 50 can be used, so there is no need to use a plurality of semiconductor substrates. Also from this point of view, the time required for analysis can be reduced.
  • the analyzer 10 is configured so that the analyzer 30 can perform inductively coupled plasma mass spectrometry while the entire semiconductor substrate 50 is housed in the container 33, thereby suppressing contamination of the surface 50a of the semiconductor substrate 50. .
  • the carrier gas and the cleaning gas are supplied through separate systems, but the invention is not limited to this. Since the carrier gas and the cleaning gas have different supply timings, they share one arrangement and are connected to the container section. 33 may be supplied. For example, a configuration may be adopted in which only the carrier gas supply section 38 is provided without providing the cleaning gas supply section 40. Moreover, it is preferable that the carrier gas has a water content of 0.00001 volume ppm or more and 0.1 volume ppm or less.
  • the moisture content of the carrier gas is 0.00001 volume ppm or more and 0.1 volume ppm or less, contamination of the surface 50a of the semiconductor substrate 50 being analyzed in the container section 33 can be reduced.
  • impurities are eluted into a small amount of moisture attached to the surface of the carrier gas piping or the inner surface of the container section 33, and these impurities re-deposit on the semiconductor substrate 50, resulting in the number of defects.
  • the moisture content of the carrier gas is within the above range, these can be suppressed.
  • the amount of water is small, when the carrier gas passes near the semiconductor substrate 50, the surface 50a of the semiconductor substrate 50 is likely to be charged.
  • the amount of water contained in the carrier gas can be measured using an atmospheric pressure ionization mass spectrometer (API-MS). More specifically, the amount of water contained in the carrier gas can be measured using, for example, a product manufactured by Japan API Co., Ltd.
  • the method for preparing the water content is not particularly limited, but it can be achieved by performing a gas purification step in which water (steam) contained in the raw material gas is removed.
  • the amount of water contained in the carrier gas can be adjusted.
  • the flow rate of the carrier gas is preferably 1.69 ⁇ 10 ⁇ 3 to 1.69 Pa ⁇ m 3 /sec (1 to 1000 sccm (standard cubic centimeter per minute)).
  • the analysis method is a method for analyzing defects located on or inside a semiconductor substrate, and includes a step 1 of setting the number of metal species to be analyzed, a step 2 of dividing the measurement target area of the semiconductor substrate into regions, and a step 2 of dividing the measurement target region of the semiconductor substrate into regions.
  • the method includes a step 3 of assigning a different metal type to each region, and a step 4 of irradiating each region with a laser beam, collecting an analysis sample obtained from the irradiation with a carrier gas, and subjecting it to inductively coupled plasma mass spectrometry. Note that the meaning of "assigning different metal types" in step 3 of allocating different metal types to each of the divided regions is as described above.
  • FIG. 6 is a schematic cross-sectional view illustrating a first example of the analysis method according to the embodiment of the present invention.
  • the same components as those of the analyzer 10 shown in FIG. 1 are designated by the same reference numerals, and detailed explanation thereof will be omitted.
  • a storage container 13 (see FIG. 1) containing a plurality of semiconductor substrates 50 is connected to an introduction section 12g on the side surface of the first transfer chamber 12a of the analysis apparatus 10 shown in FIG.
  • the lid of the storage container 13 is opened and the semiconductor substrate 50 is taken out from the storage container 13.
  • the semiconductor substrate 50 is taken out from inside the storage container 13 using the transfer device 14 of the first transfer chamber 12a, and the semiconductor substrate 50 is transferred to the stage 22 of the measurement chamber 12b.
  • the alignment measuring section 20 irradiates the light Ls from the light source 23 in the measurement chamber 12b, the semiconductor substrate 50 is imaged by the imaging section 24, and the semiconductor substrate 50 is The contour information of the surface 50a of 50 is obtained. Information on the measurement target area of the semiconductor substrate 50 can be obtained from this contour information as described above. Furthermore, as described above, information on the center position of the semiconductor substrate 50 can also be obtained.
  • the alignment measurement section 20 when the semiconductor substrate 50 has a notch, orientation flat, or alignment mark as described above, the notch, orientation flat, or alignment mark is imaged, and the calculation section 27 determines the notch, orientation flat, or alignment mark. Information on the position of the mark can also be obtained.
  • the calculation unit 27 also obtains information on the center position of the semiconductor substrate 50. As described above, information on the outline of the surface 50a of the semiconductor substrate 50 and information on the measurement target area, information on the position of the notch, orientation flat or alignment mark, and information on the center position of the semiconductor substrate 50 are stored in the storage unit 26. Ru.
  • the semiconductor substrate 50 is transported from the measurement chamber 12b to the analysis chamber 12d by the transport device 16 of the second transport chamber 12c shown in FIG.
  • the setting unit 28 sets the number of metal species to be analyzed, divides the measurement target region of the semiconductor substrate into regions, and assigns a different metal species to each divided region.
  • the two-dimensional positional coordinates of each region set on the surface 50a of the semiconductor substrate 50 have been specified.
  • the calculation unit 27 calculates the range to be irradiated with the laser beam La and the positional coordinates of the range for each region of the semiconductor substrate 50 based on the contour information.
  • the calculation unit 27 further determines the range to be irradiated with the laser beam La and the metal type to be measured in the range to be irradiated with the laser beam La, and obtains combination data of the range to be irradiated with the laser beam La and the metal type to be measured. create.
  • the combination data is stored in the storage unit 26.
  • the information set in the setting section 28 is input via the input section 29.
  • the step of setting the number of metal types to be analyzed in the setting unit 28 may include the step of setting the metal types to be analyzed.
  • the metal species to be analyzed are, for example, metal species such as Fe, Al, Cu, and Ni, similar to the information on the metal species to be analyzed described above. Note that once the metal species to be analyzed is set, the number of metal species to be analyzed is determined. On the other hand, it is also possible to set the metal types to be analyzed after determining the number of metal types to be analyzed.
  • the analysis section 30 performs analysis based on the above-mentioned combination data. As shown in FIG. 6, the analysis is performed with the entire semiconductor substrate 50 housed in the container section 33 and with a carrier gas (not shown) being supplied into the container section 33 from the carrier gas supply section 38. . During the analysis, the stage 32 of the analysis section 30 is driven to change the irradiation position of the laser beam La based on the above combination data, and the region of the surface 50a of the semiconductor substrate 50 is irradiated with the laser beam La. At this time, as shown in FIG.
  • the analysis sample 51a obtained by irradiating the defect 51 with the laser beam La is transferred to the carrier gas ( (not shown) via a pipe 39 to an analysis unit 36.
  • the analysis sample 51a originating from the defect 51, which has been moved by the carrier gas, is subjected to inductively coupled plasma mass spectrometry in the analysis unit 36 to identify the element of the defect 51.
  • the analysis unit 36 measures metal species set for each region. Therefore, metal types other than those set for each region are not measured.
  • the semiconductor substrate is divided into regions and the metal type is set for each region, the time required to analyze the defect 51 can be shortened, and as a result, the time required to measure the defect can be shortened. Further, by using laser ablation inductively coupled plasma mass spectrometry using laser light La, it is possible to analyze small-sized defects 51.
  • the analysis method preferably includes a step of cleaning the inside of the container part 33 using a cleaning gas before the analysis step. Specifically, in the cleaning step, before transporting the semiconductor substrate 50 into the container section 33, a cleaning gas is supplied into the container section 33, the inside of the container section 33 is heated using a heater, and a flushing process is performed. This is the process of implementing. The cleaning step removes foreign matter such as ablated deposits, adsorbed gas, etc. in the container portion 33 .
  • the setting unit 28 sets the number of hit metal types for one region of the semiconductor substrate to 4 to 10. If the number of metal species per region of the semiconductor substrate is 4 to 10, the measurement time can be shortened. Further, the setting unit 28 may set the winning metal type for one region of the semiconductor substrate to 2 or 3, or may set the winning metal type for one region of the semiconductor substrate to 1. If the number of metal species allocated to one region is small, it is necessary to increase the number of region divisions when there are many metal species to be measured. In this case, the number of areas to be measured increases. For this reason, it is preferable to appropriately determine the number of metal species to be assigned to one region of the semiconductor substrate 50 and the number of region divisions, depending on the number of metal species to be measured.
  • the number of metal types per region of the semiconductor substrate it is preferable to set the number of metal types per region of the semiconductor substrate to 4 to 10, similarly to the analysis apparatus 10. Furthermore, the number of contact metal types for one region of the semiconductor substrate may be set to two or three, or the number of contact metal types for one region of the semiconductor substrate may be set to one.
  • the analysis device 10 and analysis method when the semiconductor substrate 50 itself is measured without applying a chemical or the like, defects on the surface 50a or inside the semiconductor substrate 50 are analyzed.
  • the inside of the semiconductor substrate 50 is a range up to 100 ⁇ m from the surface 50a of the semiconductor substrate 50.
  • the analyzer 10 and the analysis method when the surface 50a of the semiconductor substrate 50 is analyzed with a chemical solution etc. applied to the surface 50a of the semiconductor substrate 50, or in a state where the chemical solution is dried after being applied, the applied chemical solution is Defects are also analyzed.
  • FIG. 7 is a flowchart showing a first example of the analysis method according to the embodiment of the present invention.
  • FIG. 7 describes an example in which a chemical solution is applied to the surface of a semiconductor substrate.
  • the analysis method described below is carried out using the analysis apparatus 10 shown in FIG. 1 as described above.
  • a chemical solution to be analyzed is prepared (step S10).
  • a chemical solution is applied onto a semiconductor substrate (not shown) (step S12).
  • step S12 is an example of a step of bringing the semiconductor substrate into contact with the chemical liquid, and the contact with the chemical liquid is not particularly limited.
  • a coater-developer may be used.
  • the alignment measuring section 20 obtains contour information of the semiconductor substrate (step S14). As described above, information on the measurement target area is obtained from the contour information. Next, inductively coupled plasma mass spectrometry is performed (step 4, step S16), but in order to implement step S16, the following setting step (step S15) needs to be performed.
  • step S15 a metal type is assigned to each divided region.
  • the number of metal species to be analyzed is set (process 1, step S15a).
  • the number of metal species to be set is, for example, 10.
  • the method may also include a step of setting metal types to be analyzed among the set 10 metal types.
  • the metal type to be analyzed is set for the set 10 metal types.
  • the metal species to be analyzed is appropriately determined depending on the application to be analyzed, and Fe, Al, Cu, Ni, etc. are selected.
  • step S15a after determining the number of metal types to be analyzed as described above, the metal types to be analyzed are set, but the present invention is not limited to this.
  • the number of metal species to be analyzed may be set.
  • the measurement target region of the semiconductor substrate is divided into regions (Step 2, Step S15b).
  • step S15b a measurement area on the semiconductor substrate is set by area division.
  • the number of regions obtained by region division is set in advance.
  • the number of metal species to be analyzed and the number of regions may be set in advance, and the number of regions may be determined based on these. If the number of metal species is 10 as described above, the number of regions is, for example, 2.
  • region division for example, any of the forms shown in FIGS. 2 to 4 described above is used.
  • the circular semiconductor substrate 50 is divided into semicircular regions by a line passing through the center and corresponding to the diameter. Based on the information on each region of the semicircular region and the information on the measurement target region of the semiconductor substrate, the range to be irradiated with laser light and the position coordinates of the range are calculated on the semiconductor substrate 50.
  • Step S15c a different metal type is assigned to each divided region.
  • step S15c for example, five metal types out of ten metal types are set in each of the two areas.
  • different metal types are set in each of the two regions, and there is no overlapping metal type in each region. That is, each region is assigned a completely different metal type.
  • the range to be irradiated with the laser beam La and the metal type to be measured are determined, and the combination data of the range to be irradiated with the laser beam La and the metal type to be measured is obtained.
  • the combination data is stored in the storage unit 26.
  • step S15c step 3 of allocating different metal types to each of the divided regions described above, different metal types are assigned to each of the divided regions, but the metal types are not overlapped in each region.
  • a metal type may be assigned to the region, or a portion of the metal species may be assigned redundantly, except that the metal species completely match in each region.
  • metal types overlap instead of setting 5 metal types out of 10 metal types in each area, for example, overlap 2 out of 10 metal types in each area, and set 6 metal types.
  • the metal type may be set for each type. Note that the number of overlapping metal species is not particularly limited, but if there are many overlapping metal species, the number of metal species per area increases, so it should be 35% or less of the number of metal species to be analyzed. is preferred.
  • step S15 information on the metal species assigned to each divided region is obtained in the order of steps S15a (step 1) to S15c (step 3), that is, the above-mentioned combination data.
  • the order of steps S15a to S15c is not limited as long as the combination data can be obtained.
  • the order may be step S15b (process 2), step S15a (process 1), and step S15c (process 3), or the order of step S15b (process 2), step S15c (process 3), and step S15a (process 1). good.
  • Step 4 Step S16
  • the setting information may include information on the metal species to be analyzed as described above.
  • the metal type assigned to each divided area in the setting information is similar to step S15c (step 3) in which a different metal type is assigned to each divided area described above. In this example, different metal types are set for each area, but you can also assign metal types so that the metal types do not overlap in each area. Duplicate allocations may be made.
  • the contour information is not limited to measurement, and a previously measured value of the contour shape of the semiconductor substrate may be used.
  • Step 4 the stage 32 is driven and the position of the stage 32 is adjusted based on the information on the metal type assigned to each divided region, that is, the above-mentioned combination data.
  • a laser beam is irradiated, and an analysis sample obtained by the irradiation is collected with a carrier gas and subjected to inductively coupled plasma mass spectrometry (Step 4, Step S16).
  • the metal species set for each region is analyzed by inductively coupled plasma mass spectrometry in step S16 (process 4), and the metal element of the defect is identified. The size of minute defects is also determined.
  • Inductively coupled plasma mass spectrometry provides mass spectrometry data for defects in chemical solutions.
  • the mass spectrometry data of the chemical solution includes information on the element of the defect identified by inductively coupled plasma mass spectrometry and information on the size of the defect. In this way, the chemical liquid can be tested, and the method for testing the chemical liquid allows analysis of minute foreign substances in the chemical liquid.
  • FIG. 7 describes an example in which a chemical solution is applied to the surface of the semiconductor substrate
  • the chemical solution is not applied, defects on the surface or inside of the semiconductor substrate will be analyzed as described above.
  • step S10 and step S12 which will be described later, are skipped.
  • mass spectrometry data of the defects on the surface or inside of the semiconductor substrate can be obtained by inductively coupled plasma mass spectrometry.
  • This mass spectrometry data includes information on the element of the defect identified by inductively coupled plasma mass spectrometry and information on the size of the defect.
  • a chemical solution is applied to a semiconductor substrate, and minute foreign particles in the chemical solution can be analyzed.
  • the chemical solution may be on the semiconductor substrate, and after the chemical solution is applied to the semiconductor substrate, the solvent contained in the chemical solution is volatilized or evaporated so that the solvent contained in the chemical solution is not on the semiconductor substrate. Inductively coupled plasma mass spectrometry may be performed in this state.
  • the contour of the surface 50a of the semiconductor substrate 50 is measured using another device different from the analyzer 10, for example, the contour measuring device 70 (see FIG. 1). Contour information on the surface 50a and information on the measurement target area can be used. If the semiconductor substrate 50 has a notch, orientation flat, or alignment mark, this contour information includes information on the position of the notch, orientation flat, or alignment mark. As described above, information on the center position of the semiconductor substrate 50 can also be obtained from the contour information.
  • the contour information and measurement target area information acquired by the contour measuring device 70 are supplied to the storage unit 26 . Furthermore, the semiconductor substrate 50 whose contour information has been acquired by the contour measuring device 70 is stored in, for example, a storage container 13 and transported to the analysis device 10 .
  • the semiconductor substrate 50 is transported to the analysis chamber 12d via the first transport chamber 12a, the measurement chamber 12b, and the second transport chamber 12c.
  • the calculation unit 27 determines the range to be irradiated with the laser beam La and the type of metal to be measured in the range to be irradiated with the laser beam La for each area of the semiconductor substrate 50 based on the information of the measurement target area, and determines the type of metal to be measured in the range to be irradiated with the laser beam La.
  • the combination data is stored in the storage unit 26.
  • the semiconductor substrate 50 is moved using the stage 32, and each region of the surface 50a of the semiconductor substrate 50 is irradiated with laser light La.
  • An analysis sample 51a (see FIG. 6) obtained by irradiating the defect 51 with the laser beam La is moved to the analysis unit 36 by a carrier gas.
  • the element of the defect 51 is the set metal type, it is specified, but if it is not the set metal type, the element is not specified.
  • the analyzer 10 may have a configuration in which the contour measuring device 70 shown in FIG. 1 is not provided.
  • the contour information supplied to the storage unit 26 is not particularly limited to that measured by the contour measuring device 70 (see FIG. 1).
  • the contour measuring device 70 may include, for example, a storage section (not shown) that stores contour information of the semiconductor substrate. Further, the contour measuring device 70 may have the same configuration as the alignment measuring section 20 (see FIG. 1).
  • the contour measuring device 70 may have a configuration including, for example, a light source 23 that makes light Ls incident on the surface 50a of the semiconductor substrate 50, and an imaging section 24 that images the surface 50a of the semiconductor substrate 50. Moreover, when using the above-mentioned setting information, the setting unit 28 of the analyzer 10 is not necessarily required.
  • FIG. 8 is a schematic diagram showing a second example of the analyzer according to the embodiment of the present invention.
  • the same components as those of the analyzer 10 shown in FIG. 1 are given the same reference numerals, and detailed explanation thereof will be omitted.
  • the analyzer 10a shown in FIG. 8 differs from the analyzer 10 shown in FIG.
  • the other configuration is the same as that of the analyzer 10 shown in FIG.
  • the analysis device 10a acquisition and analysis of contour information are performed with the entire semiconductor substrate 50 housed in the container section 33.
  • the light source section 34 is arranged such that the optical axis of the laser beam La is inclined with respect to the surface 50a of the semiconductor substrate 50.
  • the analyzer 10a by providing the alignment measurement section 20 and the analysis section 30 in one processing chamber 12e, the analyzer 10a can be made smaller than the analyzer 10 shown in FIG. Further, by adopting a configuration in which the alignment measuring section 20 can measure surface defects and the analyzing section 30 can perform inductively coupled plasma mass spectrometry while the entire semiconductor substrate 50 is housed in the container section 33, the semiconductor substrate 50 can be transported.
  • the alignment measuring section is not necessarily required in the analyzers 10, 10a, and the analyzer A configuration without an alignment measuring section may also be used.
  • the analyzers 10 and 10a have only the analyzer 30 (see FIG. 1).
  • the analysis devices 10, 10a and the contour measuring device 70 are separate devices and are not integrated.
  • the semiconductor substrate is not particularly limited, and various semiconductor substrates such as a silicon (Si) substrate, a sapphire substrate, a SiC substrate, a GaP substrate, a GaAs substrate, an InP substrate, or a GaN substrate can be used. Silicon semiconductor substrates are often used as semiconductor substrates. Further, the size of the semiconductor substrate is not particularly limited, but is appropriately determined depending on the specifications of the apparatus for performing inductively coupled plasma mass spectrometry. Furthermore, when analyzing a chemical solution, the size of the semiconductor substrate is appropriately determined in consideration of the specifications of a coating device that applies the chemical solution to the semiconductor substrate.
  • the above-mentioned analysis method can be used as a method for managing chemical solutions.
  • the results of inductively coupled plasma mass spectrometry are used in the management of chemical solutions.
  • an allowable range of mass spectrometry data of defects with respect to preset reference data is set in advance. Defects in the chemical liquid are measured using the above-described chemical liquid management method, and mass spectrometry data of the defects is obtained. The measured mass spectrometry data of defects in the chemical solution is compared with preset reference data to determine whether the mass spectrometry data is within an acceptable range. Those whose mass spectrometry data is within the allowable range are considered to be passed and manufactured as products.
  • FIG. 9 is a flowchart illustrating an example of the chemical solution management method according to the embodiment of the present invention.
  • the chemical solution management method shown in FIG. 9 is different from the above-mentioned analysis method in that the chemical solution to be managed is prepared (step S20), and the mass spectrometry data obtained by inductively coupled plasma mass spectrometry (step S26) is
  • This is a method for testing chemical solutions, except that it includes a step of determining whether or not it is within the allowable range (step S28), and that it is divided into pass (step S29) or fail (step S30) based on the above-mentioned determination step. It has similar steps.
  • a chemical solution to be managed is prepared (step S20).
  • step S22 A chemical solution is applied onto the surface of the semiconductor substrate (step S22).
  • contour information of the semiconductor substrate is acquired (step S24).
  • steps S20, S22, and S24 described above are the same steps as steps S10, S12, and S14 shown in FIG. 7 described above, so detailed explanation thereof will be omitted.
  • step S25 a metal type is assigned to each divided region and set in the setting section 28.
  • the setting step (step S25) in the chemical solution management method is the same step as the above-mentioned setting step (step S15), so a detailed explanation thereof will be omitted.
  • Steps S25a, S25b, and S25c are the same steps as steps S15a, S15b, and S15c described above.
  • step S25 combination data is obtained in the same way as in the above-mentioned setting step (step S15).
  • step S26 inductively coupled plasma mass spectrometry
  • step S26 In inductively coupled plasma mass spectrometry (step S26), combined data is used in the same way as in the above analysis method. Next, the mass spectrometry data obtained by inductively coupled plasma mass spectrometry (step S26) is compared with reference data to determine whether it is within an allowable range (step S28). Step S28 is also referred to as a determination step.
  • standard data is set in advance for the mass spectrometry data of the drug solution, and an allowable range is set.
  • the tolerance range for the mass spectrometry data of the chemical solution with respect to the standard data is set, for example, based on the drug solution of the previous manufacturing lot of the target drug solution, but is not limited to this, and the tolerance range can be set based on the target value or the target value. It may be a set value or an average value of multiple production lots.
  • the allowable range of the mass spectrometry data of the chemical solution with respect to the standard data is as described above.
  • Step S28 compares the mass spectrometry data obtained in step S26 described above with reference data.
  • step S28 for example, if the measured mass spectrometry data of the chemical liquid is within an acceptable range, the chemical liquid is determined to be an acceptable product (step S29). On the other hand, if the mass spectrometry data of the chemical liquid is outside the allowable range in step S28, the chemical liquid is determined to be a rejected product (step S30). In this way, the quality of the chemical solution can be controlled based on defects in the drug solution. With the chemical solution management method, it is possible to control the quality of the chemical solution even when the amount of minute foreign matter contained in the drug solution is minute.
  • the number of metal species to be analyzed, the region division of the measurement target region, and the metals assigned to each divided region can be determined without obtaining combination data using the above-mentioned setting step 25.
  • the setting information including the species may be used for inductively coupled plasma mass spectrometry (step S26).
  • the method for managing a chemical solution can be applied to a method for managing a resist composition by using a resist composition instead of the above-mentioned chemical solution. In the case of a resist composition, defects in a coating film of the resist composition formed on the surface of a semiconductor substrate are analyzed.
  • the above analysis method can be used as a method for managing resist compositions.
  • the results of inductively coupled plasma mass spectrometry are used in a resist composition management method.
  • defects in a coating film of a resist composition formed on the surface of a semiconductor substrate are analyzed.
  • an allowable range is set in advance for mass spectrometry data of defects with respect to preset reference data.
  • Defects in the resist composition are measured using the above-described resist composition management method to obtain mass spectrometry data of the defects.
  • the measured mass spectrometry data of defects in the chemical solution is compared with preset reference data to determine whether the mass spectrometry data is within an acceptable range.
  • the allowable range of the standard data of the resist composition is 100 peaks of Fe (mass number 56) of 1 ⁇ 10 7 cps (count per second) or more/(12 inches). wafer area (706.5 cm 2 )).
  • the allowable range of the standard data of this chemical solution is used as the determination standard.
  • FIG. 10 is a flowchart showing an example of a resist composition management method according to an embodiment of the present invention.
  • the resist composition management method shown in FIG. 10 differs from the chemical solution management method in that a resist composition to be managed is prepared (step S40). Another difference is that the resist composition is applied to the semiconductor substrate (step S42), and a film is formed after the application, thereby forming a coating film of the resist composition on the semiconductor substrate. Other than these, the resist composition management method has the same steps as the chemical solution management method.
  • Application of the resist composition to the semiconductor substrate is not particularly limited, and for example, a coater-developer may be used.
  • step S44 The step of acquiring the contour information of the semiconductor substrate (step S44) is the same step as step S24 shown in FIG. 9 described above, so a detailed explanation thereof will be omitted.
  • step S45 a metal type is assigned to each divided region and set in the setting section 28.
  • the setting step (step S45) in the resist composition management method is the same step as the above-mentioned setting step (step S25), so detailed explanation thereof will be omitted.
  • Steps S45a, S45b, and S45c are the same steps as steps S25a, S25b, and S25c described above.
  • step S25c similarly to step S15c, metal types may be assigned so that the metal types do not overlap in each region. Unless the metal types completely match in each region, some of the metal types may be assigned redundantly.
  • step S45 combination data is obtained in the same manner as in the above-mentioned setting step (step S25).
  • step S46 inductively coupled plasma mass spectrometry
  • step S46 is also the same process as the above-described inductively coupled plasma mass spectrometry (step S26), so detailed explanation thereof will be omitted.
  • step S26 In inductively coupled plasma mass spectrometry (step S26), combined data is used in the same way as the above-mentioned chemical solution management method and analysis method.
  • step S46 the mass spectrometry data obtained by inductively coupled plasma mass spectrometry (step S46) is compared with reference data to determine whether it is within an allowable range (step S48).
  • step S48 is also referred to as a determination step.
  • Step S48 is also a step similar to step S28 of the above-described chemical solution management method.
  • reference data is set in advance and an allowable range is set for mass spectrometry data of a coating film of the resist composition.
  • the tolerance range for the mass spectrometry data of the coating film of the resist composition with respect to the standard data is set, for example, based on the resist composition of the previous production lot of the target resist composition, but is not limited to this. Instead, the tolerance range may be a target value, a set value, or an average value of multiple manufacturing lots.
  • the allowable range of the mass spectrometry data of the coating film of the resist composition with respect to the standard data is as described above.
  • Step S48 compares the mass spectrometry data obtained in step S46 described above with reference data.
  • step S48 for example, if the measured mass spectrometry data of the coating film of the resist composition is within an acceptable range, the resist composition is determined to be an acceptable product (step S49). On the other hand, if the mass spectrometry data of the resist composition is outside the allowable range in step S48, the resist composition is determined to be a rejected product (step S50). In this way, the quality of the resist composition can be controlled based on defects in the coating film of the resist composition.
  • the resist composition control method allows quality control of the resist composition even when a minute amount of foreign matter is contained in the coating film of the resist composition.
  • the number of metal species to be analyzed, the region division of the measurement target region, and each region divided into Setting information including the assigned metal species may be used for inductively coupled plasma mass spectrometry (step S46).
  • the chemical solution management method can also be applied to the management of resist composition raw materials, slurry (polishing liquid), developer, cleaning liquid, and their raw materials.
  • the chemical solution contains an organic solvent as a main component.
  • organic solvent refers to a liquid organic compound contained in an amount exceeding 10,000 ppm by mass per component based on the total mass of the above-mentioned chemical solution. That is, in this specification, a liquid organic compound contained in an amount exceeding 10,000 mass ppm with respect to the total mass of the above-mentioned chemical solution corresponds to an organic solvent.
  • liquid means being liquid at 25° C. and under atmospheric pressure.
  • organic solvent is the main component in the drug solution
  • the content of the organic solvent in the drug solution is 98.0% by mass or more based on the total mass of the drug solution, and more than 99.0% by mass. is preferable, more preferably 99.90% by mass or more, and even more preferably more than 99.95% by mass.
  • the upper limit is less than 100% by mass.
  • One type of organic solvent may be used alone or two or more types may be used. When using two or more types of organic solvents, the total content is preferably within the above range.
  • organic solvent is not particularly limited, and any known organic solvent can be used.
  • organic solvents include alkylene glycol monoalkyl ether carboxylates, alkylene glycol monoalkyl ethers, alkyl lactates, alkyl alkoxypropionates, cyclic lactones (preferably having 4 to 10 carbon atoms), and monoketone compounds that may have a ring. (preferably having 4 to 10 carbon atoms), alkylene carbonate, alkoxy alkyl acetate, alkyl pyruvate, dialkyl sulfoxide, cyclic sulfone, dialkyl ether, monohydric alcohol, glycol, acetic alkyl ester, and N-alkylpyrrolidone. .
  • organic solvents examples include propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monomethyl ether (PGME), cyclohexanone (CHN), ethyl lactate (EL), propylene carbonate (PC), isopropanol (IPA), 4-methyl-2 -Pentanol (MIBC), butyl acetate (nBA), propylene glycol monoethyl ether, propylene glycol monopropyl ether, methyl methoxypropionate, cyclopentanone, ⁇ -butyrolactone, diisoamyl ether, isoamyl acetate, dimethyl sulfoxide, N- One or more selected from the group consisting of methylpyrrolidone, diethylene glycol, ethylene glycol, dipropylene glycol, propylene glycol, ethylene carbonate, sulfolane, cycloheptanone, and 2-heptanone is preferred.
  • PMEA propylene glyco
  • Examples of using two or more types of organic solvents include a combination of PGMEA and PGME, and a combination of PGMEA and PC. Note that the type and content of the organic solvent in the chemical solution can be measured using a gas chromatograph mass spectrometer.
  • the chemical solution may contain impurities in addition to the organic solvent.
  • impurities include metal impurities.
  • metal impurities refers to metal ions and metal impurities contained in a chemical solution as a solid (elementary metal, particulate metal-containing compound, etc.).
  • the types of metal elements contained in the metal impurities are not particularly limited, and examples include Na (sodium), K (potassium), Ca (calcium), Fe (iron), Cu (copper), Mg (magnesium), and Mn (manganese). ), Li (lithium), Al (aluminum), Cr (chromium), Ni (nickel), Ti (titanium), and Zn (zirconium).
  • Metal impurities may be components that are unavoidably included in each component (raw material) contained in the drug solution, components that are unavoidably included during the manufacturing, storage, and/or transportation of the drug solution, or components that are intentionally included in the drug solution. May be added to.
  • the chemical solution may contain water.
  • the type of water is not particularly limited, and for example, distilled water, ion exchange water, and pure water can be used.
  • Water may be added to the chemical solution, or may be unavoidably mixed into the drug solution during the process of manufacturing the drug solution. Examples of cases where water is unavoidably mixed in the manufacturing process of a chemical solution include cases where water is included in raw materials (e.g., organic solvents) used in the manufacturing process of a chemical solution, and cases where water is mixed in the manufacturing process of a chemical solution (e.g., due to contamination). ) etc.
  • the content of water in the chemical solution is not particularly limited, but in general, it is preferably 2.0% by mass or less, more preferably 1.0% by mass or less, and even less than 0.5% by mass, based on the total mass of the chemical solution. preferable.
  • the water content in the chemical solution is 1.0% by mass or less, the manufacturing yield of semiconductor chips is better.
  • the lower limit is not particularly limited, but is often about 0.01% by mass. In production, it is difficult to reduce the water content to below the above-mentioned value.
  • the method for preparing the above-mentioned chemical solution is not particularly limited, and examples thereof include methods such as procuring an organic solvent by purchasing or the like, and obtaining an organic solvent by reacting raw materials. Note that it is preferable to prepare a chemical solution containing a small amount of the impurities described above (for example, one containing an organic solvent of 99% by mass or more). Examples of commercially available organic solvents include those called "high purity grade products.” Note that, if necessary, the chemical solution may be subjected to purification treatment. Examples of purification methods include distillation and filtration.
  • the chemical solution contains at least one metal element selected from the group consisting of Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti, and Zn, and the total content of the metal elements is preferably 10 mass ppb or less based on the total mass of the chemical solution. If it exceeds 10 mass ppb, the index of mass ppb measured by a surface inspection device (SurfScan SP5; manufactured by KLA Corporation), ICP-MS, etc. will not correlate and the coefficient of determination will become small.
  • the contents of Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti, and Zn in the chemical solution were determined by ICP-MS (trade name, manufactured by PerkinElmer) using NexION350 (trade name, PerkinElmer). It can be measured using the inductively coupled plasma mass spectrometry method. Specific measurement conditions by the ICP-MS method are as follows. Note that the detected amount is measured based on the peak intensity with respect to a standard solution with a known concentration, and is converted into the mass of the metal component to calculate the content of the metal component (total metal content) in the processing solution used for measurement. The content of metal components was measured by the usual ICP-MS method. Specifically, software for ICP-MS is used as software for analyzing metal components.
  • FIB Fluorescence Beam
  • SEM Sccanning Electron Microscope
  • TEM Transmission Electron Microscope
  • a chemical solution containing an organic solvent as a main component is used, for example, in a method for manufacturing semiconductor devices and a method for cleaning semiconductor manufacturing equipment.
  • the chemical solution is used, for example, as a developer, a rinse solution, and a pre-wet solution.
  • the chemical liquid is used as an edge rinse liquid, a back rinse liquid, a resist stripping liquid, and a thinner for dilution.
  • Pre-wet liquid is supplied onto the semiconductor substrate before forming the resist film, and is used to make it easier to spread the resist liquid over the semiconductor substrate and to form a uniform resist film with a smaller amount of resist liquid supplied. It is used for.
  • the above-mentioned edge rinsing liquid refers to a rinsing liquid that is supplied to the peripheral edge of a semiconductor substrate and used to remove a resist film on the peripheral edge of the semiconductor substrate.
  • nBA butyl acetate
  • MIBC 4-methyl-2-pentanol
  • PGMEA Propylene glycol monomethyl ether acetate
  • IPA isopropanol
  • CHN Cyclohexanone
  • resist composition The type of resist composition is not particularly limited, and any known resist composition can be used.
  • resins hereinafter also simply referred to as "acid-decomposable resins” having groups that generate polar groups under the action of acids (hereinafter also simply referred to as “acid-decomposable groups”)
  • photoacid A resist composition hereinafter also referred to as "first resist composition” containing a generator and a solvent
  • the acid-decomposable group preferably has a structure in which a polar group is protected with a leaving group that is eliminated by the action of an acid. That is, the acid-decomposable resin has a repeating unit having an acid-decomposable group.
  • a resin having this repeating unit has increased polarity due to the action of an acid, increasing its solubility in an alkaline developer and decreasing its solubility in an organic solvent.
  • the polar group is preferably an alkali-soluble group, such as carboxyl group, phenolic hydroxyl group, fluorinated alcohol group, sulfonic acid group, phosphoric acid group, sulfonamide group, sulfonylimide group, (alkylsulfonyl)(alkylcarbonyl)methylene group, (alkylsulfonyl)(alkylcarbonyl)imide group, bis(alkylcarbonyl)methylene group, bis(alkylcarbonyl)imide group, bis(alkylsulfonyl)methylene group, bis(alkylsulfonyl)imide group, tris(alkylcarbonyl) Examples include acidic groups such as methylene group and tris(alkylsulfonyl)methylene
  • the acid-decomposable resin contains repeating units other than repeating units having an acid-decomposable group (for example, repeating units having an acid group, lactone groups, sultone groups, or repeating units having a carbonate group, fluorine atoms or iodine atoms). (e.g., a repeating unit having As the acid-decomposable resin, known acid-decomposable resins can be used.
  • the photoacid generator is not particularly limited as long as it is a known one, but it can generate organic acids such as sulfonic acid, bis(alkylsulfonyl)imide, and Compounds that generate at least one of tris(alkylsulfonyl)methides are preferred.
  • solvents examples include water and organic solvents.
  • the type of organic solvent is not particularly limited, and examples include alcohol solvents, ether solvents, ester solvents, ketone solvents, and hydrocarbon solvents.
  • the first resist composition may contain materials other than the acid-decomposable resin, the photoacid generator, and the solvent.
  • the first resist composition may include an acid diffusion control agent.
  • the acid diffusion control agent is a basic compound and a compound that has a proton acceptor functional group and is decomposed by irradiation with actinic rays or radiation, and the proton acceptor property decreases or disappears, or the proton acceptor property is reduced. Examples include compounds that generate a compound that changes from acidic to acidic.
  • the first resist composition also includes a hydrophobic resin, a surfactant, a dissolution inhibiting compound, a dye, a plasticizer, a photosensitizer, a light absorber, and a compound that promotes solubility in a developer. It may also contain selected compounds.
  • the resist composition includes a crosslinking agent having a crosslinking group, a compound having a reactive group that reacts with the crosslinking group, and a solvent (hereinafter also referred to as "second resist composition"). It may be.
  • the combination of a crosslinkable group and a reactive group is not particularly limited, and known combinations may be employed. Note that the crosslinkable group or the reactive group may be protected with a protecting group.
  • the second resist composition further contains a photoacid generator, and the protecting group is protected by the acid generated from the photoacid generator. It may also be in a form where it is desorbed. Alternatively, a crosslinked structure may be formed by causing a condensation reaction between the crosslinking agent and the resin due to the acid generated by the photoacid generator.
  • the second resist composition an embodiment was described in which two types, a crosslinking agent having a crosslinking group and a compound having a reactive group that reacts with the crosslinking group, are included; It may also be an embodiment containing a reactive group and a reactive group.
  • the resist composition may include a main chain cleaved polymer and a solvent.
  • a polymer is "main chain cleavable" it means that the main chain of the polymer has the property of being cleaved when the polymer is irradiated with light such as ionizing radiation or ultraviolet light.
  • main chain cleavage type polymers include acrylic main chain cleavage type resists, such as polymethyl methacrylate (PMMA), ZEP (manufactured by Nippon Zeon Co., Ltd.), which is a copolymer of ⁇ -chloromethacrylate and ⁇ -methylstyrene. ), and poly 2,2,2-trifluoroethyl ⁇ -chloroacrylate (EBR-9, manufactured by Toray Industries, Inc.).
  • the resist composition may be a so-called metal resist composition.
  • the metal resist composition includes a photosensitive composition capable of forming a coating containing a metal oxo-hydroxo network having organic ligands through metal carbon bonds and/or metal carboxylate bonds. Examples of the metal resist composition include the composition described in JP-A-2019-113855, the contents of which are incorporated into the present specification.
  • the resist composition contains at least one metal element selected from the group consisting of Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti, and Zn, and the total metal element
  • the content is preferably 10 mass ppb or less based on the total mass of the resist composition.
  • the present invention is basically configured as described above. Although the analysis method, analysis device, chemical solution management method, and resist composition management method of the present invention have been described in detail above, the present invention is not limited to the above-described embodiments, and may be provided within the scope of the invention. Of course, various improvements or changes may be made.
  • Example 1 In Example 1, PGMEA (propylene glycol monomethyl ether acetate) was used as a chemical solution, and a dispersion liquid containing commercially available iron oxide particles having a standard particle diameter of 200 nm as standard particles was prepared.
  • PGMEA propylene glycol monomethyl ether acetate
  • Dispersion containing commercially available iron oxide particles with a standard particle size of 20 nm as standard particles Dispersion containing commercially available iron oxide particles with a standard particle size of 15 nm as standard particles, Commercially available dispersion containing iron oxide particles with a standard particle size of 10 nm
  • a dispersion liquid containing iron oxide particles as standard particles and a dispersion liquid containing commercially available iron oxide particles having a standard particle diameter of 5 nm as standard particles were prepared.
  • the standard particle diameter of the commercially available iron oxide particles mentioned above is a value measured using a transmission electron microscope (TEM).
  • Each dispersion liquid was diluted and adjusted to have approximately 1 particle/cm 2 on a silicon substrate with a diameter of 300 mm.
  • the prepared dispersion was applied onto a 300 mm (12 inch) diameter silicon substrate using an electrostatic sprayer.
  • the silicon substrate is a semiconductor substrate.
  • the total number of metal species to be measured was 30, the number of measurement areas was 2, and the number of metal species to be measured per measurement area on the silicon substrate was 15. Note that the measurement area was divided randomly using pseudorandom numbers.
  • the metal species to be measured are Li, Na, Mg, Al, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Rb, Sr, Y, Zr, Nb, Mo, Pd, Ag, Cd, Sn, Ba, Ta, and W were used.
  • the alignment measuring section acquires the contour information of the surface of the silicon substrate, and then determines the number of metal species, the number of measurement areas, the dividing method, and the metal to be measured per measurement area. The combination data described above was created based on the number of species.
  • the silicon substrate was transported to the analysis department.
  • LA-ICP-MS laser ablation ICP mass spectrometry
  • repeatability was evaluated as follows using a dispersion containing the detectable limit standard particle size.
  • eight silicon substrates were prepared that were coated with a dispersion containing a standard particle size that was at the detectable limit.
  • the number of Fe signals obtained by elemental analysis of defects by laser ablation was measured for each silicon substrate.
  • the standard deviation (3 ⁇ ) of the number of Fe signals was determined based on the number of Fe signals of the eight silicon substrates.
  • laser ablation was performed with the silicon substrate housed in the container and with the carrier gas being supplied.
  • An analytical sample obtained by laser ablation was collected with a carrier gas and subjected to inductively coupled plasma mass spectrometry.
  • a femtosecond laser was used for laser ablation.
  • Argon gas was used as the carrier gas.
  • the flow rate of the carrier gas was 1.69 ⁇ 10 ⁇ 2 Pa ⁇ m 3 /sec (10 sccm). Note that before performing elemental analysis of defects by laser ablation, the inside of the container was cleaned by flushing with a carrier gas.
  • Example 2 was the same as Example 1 except that the total number of metal species to be measured was 20 and the number of metal species to be measured per measurement area on the silicon substrate was 15. did.
  • the metal species to be measured were Li, Na, Mg, Al, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Rb, Sr, and Y.
  • Example 3 was the same as Example 1 except that the total number of metal species to be measured was 10 and the number of metal species to be measured per measurement area on the silicon substrate was 5. did.
  • the metal species to be measured were Fe, Li, Na, Mg, Al, K, Ca, Sc, Ti, and V.
  • Example 4 was the same as Example 1 except that the total number of metal species to be measured was 8 and the number of metal species to be measured per measurement area on the silicon substrate was 4. did.
  • the metal species to be measured were Fe, Li, Na, Mg, Al, K, Ca, and Ti.
  • Example 5 was the same as Example 1 except that the total number of metal species to be measured was 6 and the number of metal species to be measured per measurement area on the silicon substrate was 3. did.
  • the metal species to be measured were Fe, Li, Na, Mg, Al, and Ti.
  • Example 6 was the same as Example 1 except that the total number of metal species to be measured was 4 and the number of metal species to be measured per measurement area on the silicon substrate was 2. did.
  • Example 7 was the same as Example 1 except that the total number of metal species to be measured was 2 and the number of metal species to be measured per measurement area on the silicon substrate was 1. did.
  • the metal species to be measured were Fe and Ti.
  • Example 8 was different from Example 1 except that the total number of metal species to be measured was 5, the number of measurement areas was 5, and the number of metal species to be measured per measurement area on the silicon substrate was 1. The same as in Example 1 was used. The metal species to be measured were Fe, Li, Na, Mg, and Ti.
  • Example 9 was the same as Example 8 except that the method of dividing the measurement area was different. In Example 9, similarly to FIG. 2, the surface of the silicon substrate was divided into five fan-shaped regions having the apex at the center position. The central angle of each region is 72°.
  • Example 10 Example 10 was the same as Example 8 except that the method of dividing the measurement area was different. In Example 10, similarly to FIG. 3, the silicon substrate was divided into five regions at equal intervals along the diameter direction. In Table 1 below, the mode of region division in Example 10 is described as "vertical division” in the column of "Measurement region division method.”
  • Example 11 differs from Example 1 in that CHN (propylene glycol monomethyl ether acetate) was used as the chemical solution, the total number of metal species to be measured was 10, the number of measurement areas was 10, and the measurement area was 1 on the silicon substrate. The procedure was the same as in Example 1 except that the number of metal species measured per bump was 1. The metal species to be measured were the same as in Example 3.
  • Example 12 differs from Example 1 in that nBA (butyl acetate) was used as the chemical solution, the total number of metal species to be measured was 10, the number of measurement areas was 10, and measurement was performed per measurement area on the silicon substrate. The procedure was the same as in Example 1 except that the number of metal species used was 1.
  • Example 13 differs from Example 1 in that PGME (propylene glycol monomethyl ether) was used as the chemical solution, the total number of metal species to be measured was 10, the number of measurement areas was 10, and there was one measurement area on the silicon substrate.
  • the procedure was the same as in Example 1 except that the number of metal species to be measured per hit was 1.
  • the metal species to be measured were the same as in Example 3.
  • Example 14 was the same as Example 13 except that a mixed solution of PGMEA and PGME was used as the chemical solution.
  • Example 15 was the same as Example 13 except that a mixed solution of PGMEA and PGME was used as the chemical solution.
  • Example 16 differs from Example 1 in that EL (ethyl lactate) was used as the chemical solution, the total number of metal species to be measured was 10, the number of measurement areas was 10, and measurement was performed per measurement area on the silicon substrate. The procedure was the same as in Example 1 except that the number of metal species used was 1. The metal species to be measured were the same as in Example 3.
  • Example 17 differs from Example 1 in that MIBC (4-methyl-2-pentanol) was used as the chemical solution, the total number of metal species to be measured was 10, the number of measurement areas was 10, and The procedure was the same as in Example 1 except that the number of metal species measured per measurement area was 1. The metal species to be measured were the same as in Example 3.
  • Example 18 differs from Example 1 in that IPA (isopropanol) was used as the chemical solution, the total number of metal species to be measured was 10, the number of measurement areas was 10, and measurement was performed per measurement area on the silicon substrate. It was the same as Example 1 except that the number of metal species was 1. The metal species to be measured were the same as in Example 3.
  • IPA isopropanol
  • Example 19 was different from Example 1 except that the total number of metal species to be measured was 3, the number of measurement areas was 3, and the number of metal species to be measured per measurement area on the silicon substrate was 1. The same as in Example 1 was used. The metal species to be measured were Fe, Li, and Ti.
  • Example 20 was the same as Example 1 except that the number of measurement areas was 30 and the number of metal species measured per measurement area on the silicon substrate was 1.
  • Example 21 was the same as Example 9 except that the method of dividing the measurement area was different. In Example 21, similarly to FIG. 2, the surface of the silicon substrate was divided into five fan-shaped regions having the apex at the center position.
  • Example 22 When dividing into five fan-shaped regions, the total central angle was set to 360°, and the central angles were randomly set using pseudorandom numbers. In Example 21, the size of the fan-shaped area was not uniform but randomly set. In addition, in Table 1 below, the mode of region division in Example 21 is described as "cake cutting" in the column of "Measurement region division method" as in Example 9. (Example 22)
  • Example 22 was the same as Example 3 except that the metal species assigned to the measurement area was different.
  • the metal species to be measured among the metal species to be measured, Fe, Li, Na, Mg, Al, K, Ca, Sc, Ti, and V, one of the metal species to be measured is Fe, Li, Na, Mg, Al, and K. and Fe, K, Ca, Sc, Ti, and V were assigned to the other measurement region. In this way, two metal types were overlapped, and six metal types were set in each measurement area.
  • Comparative Example 1 Comparative Example 1 was the same as Example 1 except that 30 types of metal species were analyzed on the entire surface of the silicon substrate without performing region division.
  • Comparative example 2 Comparative Example 1 was different from Example 1, except that 30 silicon substrates were used and one type of metal species was analyzed on one silicon substrate without performing region division. The same as in Example 1 was used.
  • Examples 1 to 22 which were divided into multiple regions and assigned a metal type to each region, had a higher number of detectable particles than Comparative Examples 1 and 2, which did not divide the regions. The size was small. Further, in Examples 1 to 22, the measurement time was short, and it was possible to analyze minute defects, and it was also possible to shorten the measurement time. On the other hand, in Comparative Example 1, many metal species were analyzed over the entire surface of the silicon substrate, so the detection signal level of each metal species was low and the size of detectable particles was large. In Comparative Example 2, one metal was detected using one silicon substrate, so although the size of the detectable particles was small, the measurement took a long time.
  • the alignment measuring unit acquires the contour information of the surface of the silicon substrate coated with the dispersion liquid, and then the number of metal species, the number of measurement areas, the division method, The above combination data was created based on the number of metal species measured per measurement area. After that, a step of inductively coupled plasma mass spectrometry was carried out, and the above-mentioned series of steps of Examples 1 to 22 were combined with step 1 of setting the number of metal species to be analyzed and dividing the measurement target region of the semiconductor substrate into regions. The same effects as in Examples 1 to 22 can be obtained even if the order of Step 2, Step 3 of assigning a different metal type to each divided region, and Step 4 of performing inductively coupled plasma mass spectrometry is changed. .
  • the order of the step 1 of setting the number of metal species to be analyzed, the step 2 of dividing the measurement target region of the semiconductor substrate into regions, and the step 3 of assigning a different metal species to each divided region is as follows: Even if Step 2, Step 1, and Step 3 are replaced in this order, the same effects as in Examples 1 to 22 can be obtained.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Electrochemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Other Investigation Or Analysis Of Materials By Electrical Means (AREA)
  • Sampling And Sample Adjustment (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

Provided is an analysis method capable of analysis of minute defects and reduction of measuring time, an analysis device, a management method for a chemical solution, and a management method for a resist composition. This analysis method for defects positioned on or in the interior of a semiconductor substrate comprises: a first step of setting a number of metal species to be analyzed; a second step of dividing an area to be measured of the semiconductor substrate into areas; a third step of assigning a different metal species to each of the divided areas; and a fourth step of irradiating each area with a laser, recovering an analysis sample resulting from the irradiation using a carrier gas, and performing inductively coupled plasma mass spectrometry.

Description

分析方法、分析装置、薬液の管理方法及びレジスト組成物の管理方法Analytical method, analytical device, chemical management method, and resist composition management method
 本発明は、レーザーアブレーション誘導結合プラズマ質量分析法(LA-ICP-MS)を利用して金属元素の測定を実施する分析方法、分析装置、薬液の管理方法及びレジスト組成物の管理方法に関し、特に、測定領域を分割して、金属元素の測定を実施する分析方法、分析装置、薬液の管理方法及びレジスト組成物の管理方法に関する。 The present invention relates to an analytical method for measuring metal elements using laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS), an analytical device, a chemical management method, and a resist composition management method, and particularly relates to The present invention relates to an analysis method, an analysis device, a chemical solution management method, and a resist composition management method for measuring metal elements by dividing a measurement region.
 現在、シリコン基板等の半導体基板を用いて、各種の半導体デバイスが製造されている。半導体基板の表面に異物等の欠陥があると、半導体デバイスの製造に際して、トランジスタのゲートの形成が不十分になったり、配線が断線したりする等して、製造される半導体デバイスが不良品になることがある。このように半導体基板の表面に異物等の欠陥があると、半導体デバイスの歩留りに影響を及ぼす。 Currently, various semiconductor devices are manufactured using semiconductor substrates such as silicon substrates. If there are defects such as foreign objects on the surface of a semiconductor substrate, the semiconductor device manufactured may be defective due to insufficient formation of transistor gates or disconnection of wiring, etc. It may happen. As described above, defects such as foreign particles on the surface of a semiconductor substrate affect the yield of semiconductor devices.
 半導体基板の欠陥について、例えば、半導体基板の欠陥を非破壊で評価するものとして、特許文献1のウェーハの金属汚染の評価方法がある。
 特許文献1のウェーハの金属汚染の評価方法には、異物検査装置として、ウェーハ表面をレーザー光により走査し、異物からの光散乱強度を測定することで、異物を検出する光散乱方式のパーティクルカウンタ(例えば、KLA株式会社製SurfScanSP5等)、及びウェーハ表面からの反射光の差を検出することで異物を検出するコンフォーカル光学系のレーザー顕微鏡(例えば、レーザーテック株式会社製MAGICS等)を用いることが記載されている。特許文献1には第1工程で取得した座標をもとに輝点のSEM(Scanning Electron Microscope)観察を行い、電子線照射により発生した特性X線に基づいて、EDX(Energy dispersive X-ray spectroscopy)分析を行うことが記載されている。
Regarding defects in semiconductor substrates, for example, there is a method for evaluating metal contamination of wafers disclosed in Patent Document 1, which non-destructively evaluates defects in semiconductor substrates.
The method for evaluating metal contamination on a wafer in Patent Document 1 includes a light scattering particle counter that detects foreign matter by scanning the wafer surface with a laser beam and measuring the intensity of light scattering from the foreign matter as a foreign matter inspection device. (for example, SurfScan SP5 manufactured by KLA Corporation), and a laser microscope with a confocal optical system that detects foreign objects by detecting the difference in reflected light from the wafer surface (for example, MAGICS manufactured by Lasertec Corporation). Are listed. In Patent Document 1, a bright spot is observed using a SEM (Scanning Electron Microscope) based on the coordinates obtained in the first step, and EDX (Energy dispersive X-ray spectroscopy) is performed based on characteristic X-rays generated by electron beam irradiation. ) It is stated that the analysis will be performed.
特開2020-027920号公報JP2020-027920A
 特許文献1では、ウェーハ毎に、第1工程で取得した座標をもとに輝点のSEM観察を行い、電子線照射により発生した特性X線に基づいてEDX分析を行っているため、操作が煩雑であり、測定時間が長期化する傾向にある。また、EDX分析で検出する金属種が多くなると、測定時間が更に長期化する。
 ここで、上述のように、半導体基板の表面に異物等の欠陥がある場合、特に、半導体デバイスの微細化、及び半導体デバイスの高集積化が進むに連れて、半導体基板の表面上の欠陥が、半導体デバイスの不良品を発生させ、歩留りを悪くする影響が大きくなる。このため、半導体基板の表面上の欠陥を測定することが重要であり、半導体基板の欠陥のうち、微小異物の測定がより重要になる。
 しかしながら、特許文1に記載されたウェーハの金属汚染の評価方法を、半導体基板の表面上の20nm程度の微小異物の分析に用いた場合、EDXでは元素分析ができない可能性が高い。現在、より微小な欠陥の分析が求められており、半導体基板の表面上の20nm程度の微小異物の分析ができるものが望まれている。
In Patent Document 1, SEM observation of bright spots is performed for each wafer based on the coordinates obtained in the first step, and EDX analysis is performed based on the characteristic X-rays generated by electron beam irradiation, so the operation is easy. It is complicated and tends to take a long time to measure. Furthermore, as the number of metal species detected in EDX analysis increases, the measurement time becomes even longer.
Here, as mentioned above, if there are defects such as foreign particles on the surface of the semiconductor substrate, the defects on the surface of the semiconductor substrate will become more serious, especially as semiconductor devices become smaller and more highly integrated. , the production of defective semiconductor devices will occur, which will have a significant impact on yield deterioration. For this reason, it is important to measure defects on the surface of a semiconductor substrate, and among defects on a semiconductor substrate, measurement of microscopic foreign particles is even more important.
However, when the method for evaluating metal contamination of a wafer described in Patent Document 1 is used to analyze minute foreign particles of about 20 nm on the surface of a semiconductor substrate, there is a high possibility that elemental analysis cannot be performed with EDX. Currently, there is a demand for analysis of even smaller defects, and a device that can analyze minute foreign particles of about 20 nm on the surface of a semiconductor substrate is desired.
 本発明の目的は、微小な欠陥の分析が可能であり、測定時間の短縮が可能な分析方法、分析装置、薬液の管理方法及びレジスト組成物の管理方法を提供することにある。 An object of the present invention is to provide an analysis method, an analysis device, a chemical solution management method, and a resist composition management method that enable analysis of minute defects and shorten measurement time.
 上述の目的を達成するために、発明[1]は、半導体基板上又は内部に位置する欠陥の分析方法であって、分析する金属種の数を設定する工程1と、半導体基板の測定対象領域を領域分割する工程2と、領域分割された各領域に、異なる金属種を割り当てる工程3と、各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程4とを有する、分析方法である。
 発明[2]は、半導体基板上又は内部に位置する欠陥の分析方法であって、半導体基板に対して、分析する金属種の数と、測定対象領域の領域分割と、領域分割された各領域に割り当てられる金属種とを含む設定情報に基づいて、各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程とを有する、分析方法である。
In order to achieve the above object, the invention [1] provides a method for analyzing defects located on or inside a semiconductor substrate, which includes a step 1 of setting the number of metal species to be analyzed, and a measurement target area of the semiconductor substrate. step 2 of dividing the area into regions, step 3 of assigning a different metal type to each divided region, irradiating each region with laser light, collecting the analytical sample obtained from the irradiation with a carrier gas, and guiding it. This is an analysis method comprising a step 4 of performing coupled plasma mass spectrometry.
Invention [2] is a method for analyzing defects located on or inside a semiconductor substrate, which includes determining the number of metal species to be analyzed, dividing a region to be measured, and each divided region on the semiconductor substrate. irradiating each region with laser light based on setting information including the metal species assigned to the area, collecting the analysis sample obtained from the irradiation with a carrier gas, and subjecting it to inductively coupled plasma mass spectrometry. It's a method.
 発明[3]は、工程2の前に、半導体基板の表面の輪郭情報を取得し、測定対象領域の情報を得る工程を有する、発明[1]に記載の分析方法。
 発明[4]は、半導体基板の1つの領域の当たりの測定する金属種が、4~10である、発明[1]~[3]のいずれか1つに記載の分析方法。
 発明[5]は、半導体基板の1つの領域の当たりの測定する金属種が、2又は3である、発明[1]~[3]のいずれか1つに記載の分析方法。
 発明[6]は、半導体基板の1つの領域の当たりの測定する金属種が、1である、発明[1]~[3]のいずれか1つに記載の分析方法。
 発明[7]は、分析する金属種の数を設定する工程1は、分析する金属種を設定する工程を含む、発明[1]及び[3]~[6]のいずれか1つに記載の分析方法。
 発明[8]は、設定情報は、分析する金属種の情報を含む、発明[2]及び[4]~[6]のいずれか1つに記載の分析方法。
Invention [3] is the analysis method according to invention [1], which includes a step of obtaining contour information on the surface of the semiconductor substrate and obtaining information on the measurement target region before step 2.
Invention [4] is the analysis method according to any one of inventions [1] to [3], wherein 4 to 10 metal species are measured per region of the semiconductor substrate.
Invention [5] is the analysis method according to any one of inventions [1] to [3], wherein two or three metal species are measured per region of the semiconductor substrate.
Invention [6] is the analysis method according to any one of inventions [1] to [3], wherein one metal species is measured per region of the semiconductor substrate.
Invention [7] is the method according to any one of inventions [1] and [3] to [6], wherein step 1 of setting the number of metal types to be analyzed includes a step of setting the number of metal types to be analyzed. Analysis method.
Invention [8] is the analysis method according to any one of inventions [2] and [4] to [6], wherein the setting information includes information on the metal species to be analyzed.
 発明[9]は、半導体基板上又は内部に位置する欠陥の分析装置であって、分析する金属種の数を設定し、半導体基板の測定対象領域を領域分割し、領域分割された各領域に、異なる金属種を割り当てる設定部と、各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する分析部とを有する、分析装置である。
 発明[10]は、半導体基板上又は内部に位置する欠陥の分析装置であって、半導体基板に対して、分析する金属種の数と、測定対象領域の領域分割と、領域分割された各領域に割り当てられる金属種とを含む設定情報に基づいて、各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する分析部とを有する、分析装置である。
Invention [9] is an apparatus for analyzing defects located on or inside a semiconductor substrate, in which the number of metal species to be analyzed is set, the measurement target area of the semiconductor substrate is divided into regions, and each divided region is , an analysis device that has a setting section that assigns different metal types, and an analysis section that irradiates each region with laser light, collects the analysis sample obtained from the irradiation with a carrier gas, and performs inductively coupled plasma mass spectrometry. .
Invention [10] is an apparatus for analyzing defects located on or inside a semiconductor substrate, which analyzes the semiconductor substrate by determining the number of metal species to be analyzed, dividing a region to be measured, and each divided region. an analysis section that irradiates each region with a laser beam based on setting information including the metal species assigned to the area, collects an analysis sample obtained from the irradiation with a carrier gas, and performs inductively coupled plasma mass analysis; It is an analytical device.
 発明[11]は、半導体基板の表面の輪郭情報を取得し、測定対象領域の情報を得るアライメント測定部を有する、発明[9]に記載の分析装置。
 発明[12]は、設定部は、半導体基板の1つの領域の当たり金属種を4~10に設定する、発明[9]又は[11]に記載の分析装置。
 発明[13]は、設定部は、半導体基板の1つの領域の当たり金属種を2又は3に設定する、発明[9]又は[11]に記載の分析装置。
 発明[14]は、設定部は、半導体基板の1つの領域の当たり金属種を1に設定する、発明[9]又は[11]に記載の分析装置。
 発明[15]は、設定部は、分析する金属種を設定する、発明[9]及び[11]~[14]のいずれか1つに記載の分析装置。
 発明[16]は、設定情報は、分析する金属種の情報を含む、発明[10]に記載の分析装置。
Invention [11] is the analysis device according to invention [9], which includes an alignment measurement unit that obtains contour information on the surface of the semiconductor substrate and obtains information on the measurement target region.
Invention [12] is the analysis device according to Invention [9] or [11], wherein the setting unit sets the number of metal types per region of the semiconductor substrate to 4 to 10.
Invention [13] is the analysis device according to Invention [9] or [11], wherein the setting unit sets the number of metal types per region of the semiconductor substrate to 2 or 3.
Invention [14] is the analysis device according to Invention [9] or [11], wherein the setting unit sets the metal type per region of the semiconductor substrate to 1.
Invention [15] is the analyzer according to any one of inventions [9] and [11] to [14], wherein the setting section sets the metal species to be analyzed.
Invention [16] is the analysis device according to invention [10], wherein the setting information includes information on the metal species to be analyzed.
 発明[17]は、薬液の管理方法であって、半導体基板と薬液とを接触させる工程と、分析する金属種の数を設定する工程と、半導体基板の測定対象領域を領域分割する工程と、領域分割された各領域に、異なる金属種を割り当てる工程と、各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程と、誘導結合プラズマ質量分析する工程で得られた欠陥の質量分析データと、予め設定された基準データとを比較して、質量分析データが許容範囲内であるかどうかを判定する工程とを有する、薬液の管理方法である。
 発明[18]は、薬液の管理方法であって、半導体基板と薬液とを接触させる工程と、半導体基板に対して、分析する金属種の数と、測定対象領域の領域分割と、領域分割された各領域に割り当てられる金属種とを含む設定情報に基づいて、各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程と、誘導結合プラズマ質量分析する工程で得られた欠陥の質量分析データと、予め設定された基準データとを比較して、質量分析データが許容範囲内であるかどうかを判定する工程とを有する、薬液の管理方法である。
 発明[19]は、半導体基板の測定対象領域を領域分割する工程の前に、半導体基板の表面の輪郭情報を取得し、測定対象領域の情報を得る工程を有する、発明[17]に記載の薬液の管理方法。
 発明[20]は、分析する金属種の数を設定する工程は、分析する金属種を設定する工程を含む、発明[17]又は[19]に記載の薬液の管理方法。
 発明[21]は、設定情報は、分析する金属種の情報を含む、発明[18]に記載の薬液の管理方法。
Invention [17] is a method for managing a chemical solution, which includes a step of bringing a semiconductor substrate into contact with a chemical solution, a step of setting the number of metal species to be analyzed, and a step of dividing a measurement target region of the semiconductor substrate into regions. A process of assigning a different metal type to each divided area, a process of irradiating each area with laser light, collecting the analysis sample obtained from the irradiation with a carrier gas, and performing inductively coupled plasma mass spectrometry; A process of comparing mass spectrometry data of defects obtained in the process of coupled plasma mass spectrometry with preset reference data to determine whether the mass spectrometry data is within an acceptable range. It is a management method.
Invention [18] is a method for managing a chemical solution, which includes a step of bringing a semiconductor substrate into contact with a chemical solution, determining the number of metal species to be analyzed on the semiconductor substrate, dividing a region to be measured, and dividing the semiconductor substrate into regions. irradiating each region with a laser beam based on setting information including the metal type assigned to each region, collecting an analysis sample obtained from the irradiation with a carrier gas, and performing inductively coupled plasma mass spectrometry; A chemical solution comprising a step of comparing mass spectrometry data of defects obtained in the step of inductively coupled plasma mass spectrometry with preset reference data to determine whether the mass spectrometry data is within an acceptable range. This is a management method.
Invention [19] is the method according to invention [17], which includes a step of obtaining contour information on the surface of the semiconductor substrate and obtaining information on the measurement target region before the step of dividing the measurement target region of the semiconductor substrate into regions. How to manage chemical solutions.
Invention [20] is the method for managing a chemical solution according to Invention [17] or [19], wherein the step of setting the number of metal species to be analyzed includes a step of setting the metal species to be analyzed.
Invention [21] is the method for managing a chemical solution according to invention [18], wherein the setting information includes information on the metal species to be analyzed.
 発明[22]は、レジスト組成物の管理方法であって、レジスト組成物を半導体基板上に塗布する工程と、分析する金属種の数を設定する工程と、半導体基板の測定対象領域を領域分割する工程と、領域分割された各領域に、異なる金属種を割り当てる工程と、各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程と、誘導結合プラズマ質量分析する工程で得られた欠陥の質量分析データと、予め設定された基準データとを比較して、質量分析データが許容範囲内であるかどうかを判定する工程とを有する、レジスト組成物の管理方法である。
 発明[23]は、レジスト組成物の管理方法であって、レジスト組成物を半導体基板上に塗布する工程と、半導体基板に対して、分析する金属種の数と、測定対象領域の領域分割と、領域分割された各領域に割り当てられる金属種とを含む設定情報に基づいて、各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程と、誘導結合プラズマ質量分析する工程で得られた欠陥の質量分析データと、予め設定された基準データとを比較して、質量分析データが許容範囲内であるかどうかを判定する工程とを有する、レジスト組成物の管理方法である。
 発明[24]は、半導体基板の測定対象領域を領域分割する工程の前に、半導体基板の表面の輪郭情報を取得し、測定対象領域の情報を得る工程を有する、発明[22]に記載のレジスト組成物の管理方法。
 発明[25]は、分析する金属種の数を設定する工程は、分析する金属種を設定する工程を含む、発明[22]又は[24]に記載のレジスト組成物の管理方法。
 発明[26]は、設定情報は、分析する金属種の情報を含む設定情報は、分析する金属種の情報を含む、発明[23]に記載のレジスト組成物の管理方法。
Invention [22] is a method for managing a resist composition, which includes a step of applying a resist composition onto a semiconductor substrate, a step of setting the number of metal species to be analyzed, and a step of dividing a measurement target region of the semiconductor substrate into regions. a step of assigning a different metal type to each divided region, a step of irradiating each region with laser light, collecting the analysis sample obtained from the irradiation with a carrier gas, and performing inductively coupled plasma mass spectrometry. and a step of comparing mass spectrometry data of defects obtained in the process of inductively coupled plasma mass spectrometry with preset reference data to determine whether the mass spectrometry data is within an acceptable range. This is a method for managing a resist composition.
Invention [23] is a method for managing a resist composition, which includes the steps of applying the resist composition onto a semiconductor substrate, the number of metal species to be analyzed, and region division of a measurement target region on the semiconductor substrate. Based on the setting information including the metal species assigned to each divided region, each region is irradiated with laser light, the analysis sample obtained from the irradiation is collected with a carrier gas, and inductively coupled plasma mass spectrometry is performed. and a step of comparing the mass spectrometry data of the defect obtained in the process of inductively coupled plasma mass spectrometry with preset reference data to determine whether the mass spectrometry data is within an acceptable range. A method for managing a resist composition.
Invention [24] is the method according to invention [22], which includes a step of obtaining contour information on the surface of the semiconductor substrate and obtaining information on the measurement target region before the step of dividing the measurement target region of the semiconductor substrate into regions. How to manage resist composition.
Invention [25] is the method for managing a resist composition according to invention [22] or [24], wherein the step of setting the number of metal species to be analyzed includes a step of setting the metal species to be analyzed.
Invention [26] is the resist composition management method according to Invention [23], wherein the setting information includes information on the metal species to be analyzed.The setting information includes information on the metal species to be analyzed.
 本発明によれば、測定時間の短縮が可能な分析方法、分析装置、薬液の管理方法及びレジスト組成物の管理方法を提供できる。 According to the present invention, it is possible to provide an analysis method, an analysis device, a chemical solution management method, and a resist composition management method that can shorten measurement time.
本発明の実施形態の分析装置の第1の例を示す模式図である。FIG. 1 is a schematic diagram showing a first example of an analysis device according to an embodiment of the present invention. 本発明の実施形態の分析装置の第1の例における半導体基板の領域分割の第1の例を示す模式図である。FIG. 2 is a schematic diagram showing a first example of region division of a semiconductor substrate in a first example of an analysis device according to an embodiment of the present invention. 本発明の実施形態の分析装置の第1の例における半導体基板の領域分割の第2の例を示す模式図である。FIG. 7 is a schematic diagram showing a second example of region division of a semiconductor substrate in the first example of the analysis device according to the embodiment of the present invention. 本発明の実施形態の分析装置の第1の例における半導体基板の領域分割の第3の例を示す模式図である。FIG. 7 is a schematic diagram showing a third example of region division of a semiconductor substrate in the first example of the analysis device according to the embodiment of the present invention. 本発明の実施形態の分析装置の第1の例の分析ユニットの一例を示す模式図である。It is a schematic diagram showing an example of the analysis unit of the 1st example of the analysis device of the embodiment of the present invention. 本発明の実施形態の分析方法の第1の例を説明する模式的断面図である。FIG. 1 is a schematic cross-sectional view illustrating a first example of an analysis method according to an embodiment of the present invention. 本発明の実施形態の分析方法の第1の例を示すフローチャートである。1 is a flowchart showing a first example of an analysis method according to an embodiment of the present invention. 本発明の実施形態の分析装置の第2の例を示す模式図である。FIG. 2 is a schematic diagram showing a second example of an analysis device according to an embodiment of the present invention. 本発明の実施形態の薬液の管理方法の一例を示すフローチャートである。1 is a flowchart illustrating an example of a method for managing a chemical solution according to an embodiment of the present invention. 本発明の実施形態のレジスト組成物の管理方法の一例を示すフローチャートである。1 is a flowchart illustrating an example of a resist composition management method according to an embodiment of the present invention.
 以下に、添付の図面に示す好適実施形態に基づいて、本発明の分析方法、分析装置、薬液の管理方法及びレジスト組成物の管理方法を詳細に説明する。
 なお、以下に説明する図は、本発明を説明するための例示的なものであり、以下に示す図に本発明が限定されるものではない。
 なお、以下において数値範囲を示す「~」とは両側に記載された数値を含む。例えば、εが数値εα~数値εβとは、εの範囲は数値εαと数値εβを含む範囲であり、数学記号で示せばεα≦ε≦εβである。
 「具体的な数値で表された角度」、「平行」、「垂直」及び「直交」等の角度は、特に記載がなければ、該当する技術分野で一般的に許容される誤差範囲を含む。
 また、「同一」とは、該当する技術分野で一般的に許容される誤差範囲を含む。また、「全面」等は、該当する技術分野で一般的に許容される誤差範囲を含む。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The analysis method, analysis device, chemical solution management method, and resist composition management method of the present invention will be described in detail below based on preferred embodiments shown in the accompanying drawings.
Note that the figures described below are illustrative for explaining the present invention, and the present invention is not limited to the figures shown below.
In addition, in the following, "~" indicating a numerical range includes the numerical values written on both sides. For example, when ε is a numerical value ε α to a numerical value ε β , the range of ε is a range that includes the numerical value ε α and the numerical value ε β , and expressed in mathematical symbols as ε α ≦ε≦ε β .
Unless otherwise specified, angles such as "angle expressed in specific numerical values", "parallel", "perpendicular", and "perpendicular" include error ranges generally accepted in the relevant technical field.
Furthermore, "same" includes a generally acceptable error range in the relevant technical field. In addition, "entire surface" and the like include the error range generally allowed in the relevant technical field.
[分析装置の第1の例]
 図1は本発明の実施形態の分析装置の第1の例を示す模式図であり、図2は本発明の実施形態の分析装置の第1の例の分析ユニットの一例を示す模式図である。
 図1に示す分析装置10は、後に詳細に説明するアライメント測定部20と、記憶部26と、演算部27と、設定部28と、入力部29と、分析部30とを有する。
 分析装置10は、半導体基板50を測定対象として、半導体基板50の表面50a上又は内部に位置する欠陥の分析を実施する。後に、分析装置10を用いた半導体基板上又は内部に位置する欠陥の分析方法を説明するが、分析方法に用いられる分析装置は、図1に示す分析装置10に、特に限定されるものではなく、他の構成の分析装置を用いることができる。
[First example of analysis device]
FIG. 1 is a schematic diagram showing a first example of an analyzer according to an embodiment of the present invention, and FIG. 2 is a schematic diagram showing an example of an analysis unit of the first example of an analyzer according to an embodiment of the present invention. .
The analyzer 10 shown in FIG. 1 includes an alignment measurement section 20, a storage section 26, a calculation section 27, a setting section 28, an input section 29, and an analysis section 30, which will be described in detail later.
The analysis device 10 analyzes defects located on or inside the surface 50a of the semiconductor substrate 50, using the semiconductor substrate 50 as a measurement target. A method for analyzing defects located on or inside a semiconductor substrate using the analyzer 10 will be described later, but the analyzer used in the analysis method is not particularly limited to the analyzer 10 shown in FIG. , other configurations of analyzers can be used.
 分析装置10は、第1搬送室12a、測定室12b、第2搬送室12c、及び分析室12dを有し、第1搬送室12a、測定室12b、第2搬送室12c、及び分析室12dの順で連続して配置されている。第1搬送室12a、測定室12b、第2搬送室12c、及び分析室12dは、それぞれ壁12hで区画されているが、測定対象である半導体基板50を移動可能なように扉(図示せず)等が設けられており、半導体基板50を通過させるときに扉を開くようにしてもよい。測定室12bと第2搬送室12cとを連続して配置したが、これに限定されるものではなく、測定室12bと第2搬送室12cとの間に、ロードロック室を設けてもよい。 The analyzer 10 has a first transfer chamber 12a, a measurement chamber 12b, a second transfer chamber 12c, and an analysis chamber 12d. are arranged consecutively in order. The first transfer chamber 12a, the measurement chamber 12b, the second transfer chamber 12c, and the analysis chamber 12d are each partitioned by a wall 12h. ) etc., and the door may be opened when the semiconductor substrate 50 is passed through. Although the measurement chamber 12b and the second transfer chamber 12c are arranged in succession, the present invention is not limited to this, and a load lock chamber may be provided between the measurement chamber 12b and the second transfer chamber 12c.
 分析装置10では、第1搬送室12aに、分析装置10の外部から半導体基板50が搬送されて、第1搬送室12aから測定室12bに搬送されて、測定室12b内でアライメント測定部20により、半導体基板50の表面50aの輪郭が測定され、半導体基板50の表面50aの輪郭情報が得られる。この輪郭情報から、半導体基板50の表面50aの形状が特定されて、半導体基板50の測定対象領域が特定される。これにより、半導体基板50の測定対象領域の情報が得られる。半導体基板50の測定対象領域とは、分析される半導体基板50の表面50aの輪郭により囲まれた領域である。
 ここで、輪郭情報は、例えば、半導体基板50の表面50aに対して設定された2次元の位置座標で表される。位置座標は、例えば、半導体基板50の表面50aの中心位置を原点として設定される。この場合、半導体基板50毎に基準が設定される。これ以外に、例えば、ステージ22に基準点を設けておき、この基準点を原点として、半導体基板50の表面50aの輪郭情報を、位置座標として表すことができる。このように複数の半導体基板50に共通した基準位置を予め設定しておき、基準位置を原点として輪郭情報の2次元の位置座標を表すこともできる。
 また、半導体基板50の測定対象領域の情報も、輪郭情報と同様に、例えば、2次元の位置座標で表される。
In the analyzer 10, the semiconductor substrate 50 is transported from outside the analyzer 10 to the first transport chamber 12a, and is transported from the first transport chamber 12a to the measurement chamber 12b, and is then processed by the alignment measuring section 20 within the measurement chamber 12b. , the contour of the surface 50a of the semiconductor substrate 50 is measured, and contour information of the surface 50a of the semiconductor substrate 50 is obtained. From this contour information, the shape of the surface 50a of the semiconductor substrate 50 is specified, and the measurement target area of the semiconductor substrate 50 is specified. Thereby, information on the measurement target area of the semiconductor substrate 50 can be obtained. The measurement target area of the semiconductor substrate 50 is an area surrounded by the outline of the surface 50a of the semiconductor substrate 50 to be analyzed.
Here, the contour information is expressed, for example, by two-dimensional position coordinates set with respect to the surface 50a of the semiconductor substrate 50. The positional coordinates are set, for example, with the center position of the surface 50a of the semiconductor substrate 50 as the origin. In this case, a reference is set for each semiconductor substrate 50. In addition to this, for example, a reference point can be provided on the stage 22, and the contour information of the surface 50a of the semiconductor substrate 50 can be expressed as position coordinates using this reference point as the origin. In this way, a reference position common to a plurality of semiconductor substrates 50 can be set in advance, and two-dimensional position coordinates of contour information can be expressed using the reference position as the origin.
Further, information on the measurement target region of the semiconductor substrate 50 is also expressed, for example, in two-dimensional position coordinates, similar to the contour information.
 また、上述の輪郭情報に加えて、半導体基板50の中心位置の情報を得ることもできる。半導体基板50の中心位置の情報は、半導体基板50の表面50aの輪郭情報により得られる2次元形状の幾何中心の位置情報である。半導体基板50の中心位置の情報は、例えば、半導体基板50の表面50aの輪郭情報により得られる2次元形状の幾何中心の位置情報を求めることにより得られる。半導体基板50の中心位置の情報も2次元の位置座標で表される。
 半導体基板50の表面50aの輪郭情報及び測定対象領域の情報、並びに半導体基板50の中心位置の情報は、記憶部26に記憶される。また、分析する金属種の数の設定、半導体基板50の測定対象領域を領域分割する処理、及び領域分割された各領域に、異なる金属種を割り当てる処理がなされる。なお、領域分割された各領域も2次元の位置座標で表される。また、設定される分析する金属種の数のことを、設定される分析する金属種の総数ともいう。これは、各領域に設定される金属種の数と区別するためである。
Furthermore, in addition to the above-mentioned contour information, information on the center position of the semiconductor substrate 50 can also be obtained. The information on the center position of the semiconductor substrate 50 is the position information on the geometric center of the two-dimensional shape obtained from the contour information on the surface 50a of the semiconductor substrate 50. Information on the center position of the semiconductor substrate 50 can be obtained, for example, by determining position information on the geometric center of a two-dimensional shape obtained from contour information on the surface 50a of the semiconductor substrate 50. Information on the center position of the semiconductor substrate 50 is also expressed in two-dimensional position coordinates.
Contour information on the surface 50a of the semiconductor substrate 50, information on the measurement target area, and information on the center position of the semiconductor substrate 50 are stored in the storage unit 26. Further, the number of metal species to be analyzed is set, the measurement target region of the semiconductor substrate 50 is divided into regions, and a different metal species is assigned to each divided region. Note that each divided area is also represented by two-dimensional position coordinates. Further, the number of metal species to be analyzed that is set is also referred to as the total number of metal species that are set to be analyzed. This is to distinguish from the number of metal species set in each region.
 次に、輪郭情報を取得した半導体基板50が測定室12bから第2搬送室12cに搬送され、更に分析室12dに搬送される。アライメント測定部20で得られた半導体基板50の表面50aの輪郭情報に基づく測定対象領域の情報と、半導体基板50の測定領域を領域分割して得られた各領域の情報と、各領域で測定する金属種の情報とに基づき、分析部30により、半導体基板50の表面欠陥が分析される。
 分析装置10では、半導体基板50を外気に晒されないようにするために、第1搬送室12a、測定室12b、第2搬送室12c、及び分析室12dの内部を特定の雰囲気にすることができる。例えば、真空ポンプを設けて、第1搬送室12a、測定室12b、第2搬送室12c、及び分析室12dの内部の気体を排気して減圧雰囲気としてもよい。また、第1搬送室12a、測定室12b、第2搬送室12c、及び分析室12dの内部に窒素ガス等の不活性ガスを供給して、内部を不活性ガス雰囲気としてもよい。
Next, the semiconductor substrate 50 whose contour information has been acquired is transported from the measurement chamber 12b to the second transport chamber 12c, and further transported to the analysis chamber 12d. Information on the measurement target area based on contour information of the surface 50a of the semiconductor substrate 50 obtained by the alignment measurement unit 20, information on each area obtained by dividing the measurement area of the semiconductor substrate 50, and measurement in each area. The analysis unit 30 analyzes the surface defects of the semiconductor substrate 50 based on the information on the metal species.
In the analyzer 10, in order to prevent the semiconductor substrate 50 from being exposed to the outside air, the interiors of the first transfer chamber 12a, measurement chamber 12b, second transfer chamber 12c, and analysis chamber 12d can be set to a specific atmosphere. . For example, a vacuum pump may be provided to exhaust the gas inside the first transfer chamber 12a, measurement chamber 12b, second transfer chamber 12c, and analysis chamber 12d to create a reduced pressure atmosphere. Alternatively, an inert gas such as nitrogen gas may be supplied inside the first transfer chamber 12a, measurement chamber 12b, second transfer chamber 12c, and analysis chamber 12d to create an inert gas atmosphere inside.
 第1搬送室12aは、上述のように、分析装置10の外部から搬送された半導体基板50を測定室12bに搬送する。第1搬送室12aは、側面に導入部12gが設けられている。収納容器13が導入部12gに設置される。導入部12gには、収納容器13との気密を保つために、シール部材(図示せず)が設けられている。
 収納容器13は、例えば、内部に、複数の半導体基板50が、棚状に配置されて収納されている。半導体基板50は、例えば、円板状の基板である。
 収納容器13は、例えば、FOUP(Front Opening Unified Pod)である。収納容器13を用いることにより、半導体基板50を外気に晒すことなく密閉した状態で、分析装置10に搬送することができる。これにより、半導体基板50の汚染を抑制できる。
As described above, the first transfer chamber 12a transfers the semiconductor substrate 50 transferred from the outside of the analyzer 10 to the measurement chamber 12b. The first transfer chamber 12a is provided with an introduction section 12g on the side surface. A storage container 13 is installed in the introduction section 12g. A sealing member (not shown) is provided in the introduction part 12g to maintain airtightness with the storage container 13.
For example, the storage container 13 stores therein a plurality of semiconductor substrates 50 arranged in a shelf-like manner. The semiconductor substrate 50 is, for example, a disk-shaped substrate.
The storage container 13 is, for example, a FOUP (Front Opening Unified Pod). By using the storage container 13, the semiconductor substrate 50 can be transported to the analyzer 10 in a sealed state without being exposed to the outside air. Thereby, contamination of the semiconductor substrate 50 can be suppressed.
 第1搬送室12aには、内部に搬送装置14が設けられている。搬送装置14は、収納容器13内の半導体基板50を、第1搬送室12aから隣接する測定室12bに搬送する。
 搬送装置14は、収納容器13内から半導体基板50を取り出し、かつ測定室12bのステージ22に搬送することができれば、特に限定されるものではない。
 図1に示す搬送装置14は、半導体基板50の外側を挟持する搬送アーム15と、搬送アーム15を駆動する駆動部(図示せず)とを有する。搬送アーム15は、取付部14aに取付けられており、回転軸C周りに回転自在である。なお、搬送アーム15は、半導体基板50を保持して、搬送することができれば、その構成は、半導体基板50の外側を挟持するものに、特に限定されるものではなく、半導体ウエハのプロセス間の搬送に用いられるものを適宜利用可能である。
 搬送装置14は、取付部14aが高さ方向Vに移動することができ、搬送アーム15は、回転軸Cに平行な方向である高さ方向Vに移動可能である。取付部14aが高さ方向Vに移動することにより、搬送アーム15の高さ方向Vの位置を変えることができる。
A transport device 14 is provided inside the first transport chamber 12a. The transport device 14 transports the semiconductor substrate 50 in the storage container 13 from the first transport chamber 12a to the adjacent measurement chamber 12b.
The transport device 14 is not particularly limited as long as it can take out the semiconductor substrate 50 from the storage container 13 and transport it to the stage 22 of the measurement chamber 12b.
The transport device 14 shown in FIG. 1 includes a transport arm 15 that holds the outside of the semiconductor substrate 50, and a drive section (not shown) that drives the transport arm 15. The transport arm 15 is attached to the attachment part 14a and is rotatable around the rotation axis C1 . Note that the configuration of the transfer arm 15 is not particularly limited to one that holds the outside of the semiconductor substrate 50 as long as it can hold and transfer the semiconductor substrate 50, and the structure is not particularly limited to one that holds the outside of the semiconductor substrate 50. Those used for transportation can be used as appropriate.
In the conveyance device 14, the mounting portion 14a can move in the height direction V, and the conveyance arm 15 can move in the height direction V, which is a direction parallel to the rotation axis C1 . By moving the mounting portion 14a in the height direction V, the position of the transport arm 15 in the height direction V can be changed.
(アライメント測定部)
 測定室12bにアライメント測定部20が設けられている。アライメント測定部20は、半導体基板50の表面50aの輪郭を検出し、半導体基板50の表面50aの輪郭情報を得る。アライメント測定部20は、演算部27及び記憶部26に接続されている。
 アライメント測定部20は、半導体基板50を載置するステージ22と、半導体基板50の表面50a上に光Lsを照射する光源23と、半導体基板50を観察する撮像部24とを有する。
 半導体基板50が載置されるステージ22は、回転軸C周りに回転自在であり、半導体基板50の高さ方向Vにおける位置を変えることができ、かつ高さ方向Vと直交する方向Hの位置を変えることができる。ステージ22により、撮像部24に対する半導体基板50の位置を調整できる。
(Alignment measurement section)
An alignment measurement section 20 is provided in the measurement chamber 12b. The alignment measuring section 20 detects the contour of the surface 50a of the semiconductor substrate 50 and obtains contour information of the surface 50a of the semiconductor substrate 50. The alignment measurement section 20 is connected to a calculation section 27 and a storage section 26.
The alignment measuring section 20 includes a stage 22 on which the semiconductor substrate 50 is placed, a light source 23 that irradiates the surface 50a of the semiconductor substrate 50 with light Ls, and an imaging section 24 that observes the semiconductor substrate 50.
The stage 22 on which the semiconductor substrate 50 is placed is rotatable around the rotation axis C2 , and can change the position of the semiconductor substrate 50 in the height direction V, and can change the position of the semiconductor substrate 50 in the direction H perpendicular to the height direction V. Can change position. The stage 22 allows the position of the semiconductor substrate 50 with respect to the imaging section 24 to be adjusted.
 光源23が照射する光Lsの波長は、特に限定されるものではない。光Lsは、例えば、紫外光であるが、可視光又はその他の光であってもよい。ここで、紫外光とは400nm未満の波長域の光のことであり、可視光とは、400~800nmの波長域の光のことである。
 ここで、水平な全方向を0°とし、半導体基板50の表面50aと垂直な方向を90°とするとき、光Lsの入射角度は、半導体基板50の表面50aを照射できれば、特に限定されるものではない。
The wavelength of the light Ls emitted by the light source 23 is not particularly limited. The light Ls is, for example, ultraviolet light, but may also be visible light or other light. Here, ultraviolet light refers to light in a wavelength range of less than 400 nm, and visible light refers to light in a wavelength range of 400 to 800 nm.
Here, when all horizontal directions are 0° and the direction perpendicular to the surface 50a of the semiconductor substrate 50 is 90°, the incident angle of the light Ls is particularly limited as long as the surface 50a of the semiconductor substrate 50 can be irradiated. It's not a thing.
 撮像部24は、半導体基板50の表面50aの輪郭を撮像するものであり、半導体基板50の表面50aの輪郭を含む領域を撮像できるものである。
 また、撮像部24は、半導体基板50にアライメントマークがある場合、アライメントマークを撮像できることが好ましい。また、半導体基板50がノッチ、オリエンテーションフラット又はアライメントマークを有する場合、ノッチ、オリエンテーションフラット及びアライメントマークを撮像できることが好ましい。撮像部24は、例えば、CCD(Charge Coupled Devices)素子又はCMOS(Complementary Metal Oxide Semiconductor)素子を有し、上述の各種の撮影が可能な画素数を有することが好ましい。
 また、撮像部24は、1つに限定されるものではなく、複数でもよい。
 なお、撮像部24で、半導体基板50の表面50aの輪郭を撮像できれば、光源23による光Lsの照射は必ずしも必要ではない。
The imaging unit 24 is configured to image the outline of the front surface 50a of the semiconductor substrate 50, and can image a region including the outline of the front surface 50a of the semiconductor substrate 50.
Moreover, if the semiconductor substrate 50 has an alignment mark, it is preferable that the imaging unit 24 can image the alignment mark. Further, when the semiconductor substrate 50 has a notch, an orientation flat, or an alignment mark, it is preferable that the notch, orientation flat, and alignment mark can be imaged. The imaging unit 24 preferably includes, for example, a CCD (Charge Coupled Devices) element or a CMOS (Complementary Metal Oxide Semiconductor) element, and has a number of pixels capable of performing the various types of imaging described above.
Further, the number of imaging units 24 is not limited to one, and may be plural.
Incidentally, as long as the image capturing section 24 can image the outline of the surface 50a of the semiconductor substrate 50, the irradiation of the light Ls by the light source 23 is not necessarily necessary.
 撮像部24は記憶部26に接続されており、撮像部24で得られた輪郭情報を含む画像データが記憶部26に出力され、記憶部26に記憶される。
 記憶部26に記憶された半導体基板50の画像データを演算部27が読み出し、演算部27で、上述のように輪郭情報から、半導体基板50の表面50aの形状が特定されて、半導体基板50の測定対象領域が特定される。これにより、半導体基板50の測定対象領域の情報が得られる。
 なお、演算部27では、半導体基板50の表面50aの輪郭情報が不十分な場合、得られた輪郭情報から、半導体基板50の表面50aの輪郭情報を補完して、輪郭情報を算出するようにしてもよい。
 また、演算部27では、半導体基板50の表面50aの輪郭情報の2次元の位置座標を特定し、半導体基板50の中心位置の情報、すなわち、輪郭情報により得られる2次元形状の幾何中心の位置情報を得る。これにより、ステージ22上における半導体基板50の配置位置を特定できる。また、ステージ22上での半導体基板50の配置位置を特定できる。
 演算部27では、半導体基板50がノッチ、オリエンテーションフラット又はアライメントマークがある場合、ノッチ、オリエンテーションフラット又はアライメントマークと、半導体基板50の中心位置との位置関係を特定することもできる。
 記憶部26に、半導体基板50の表面50aの輪郭情報及び測定対象領域の情報、並びにノッチ、オリエンテーションフラット又はアライメントマークの位置の情報、半導体基板50の中心位置の情報が記憶される。
The imaging unit 24 is connected to a storage unit 26 , and image data including contour information obtained by the imaging unit 24 is output to and stored in the storage unit 26 .
The calculation unit 27 reads the image data of the semiconductor substrate 50 stored in the storage unit 26, and the calculation unit 27 specifies the shape of the surface 50a of the semiconductor substrate 50 from the contour information as described above. A measurement target area is specified. As a result, information on the measurement target region of the semiconductor substrate 50 can be obtained.
Note that when the contour information of the surface 50a of the semiconductor substrate 50 is insufficient, the calculation unit 27 calculates contour information by complementing the contour information of the surface 50a of the semiconductor substrate 50 from the obtained contour information. It's okay.
In addition, the calculation unit 27 specifies the two-dimensional position coordinates of the contour information of the surface 50a of the semiconductor substrate 50, and determines the information on the center position of the semiconductor substrate 50, that is, the position of the geometric center of the two-dimensional shape obtained from the contour information. get information. Thereby, the placement position of the semiconductor substrate 50 on the stage 22 can be specified. Furthermore, the placement position of the semiconductor substrate 50 on the stage 22 can be specified.
If the semiconductor substrate 50 has a notch, orientation flat, or alignment mark, the calculation unit 27 can also specify the positional relationship between the notch, orientation flat, or alignment mark and the center position of the semiconductor substrate 50.
The storage unit 26 stores contour information on the surface 50a of the semiconductor substrate 50, information on the measurement target area, information on the position of a notch, orientation flat, or alignment mark, and information on the center position of the semiconductor substrate 50.
 ここで、設定部28は、分析する金属種の数を設定する。また、半導体基板50の測定対象領域を領域分割し、領域分割された各領域に、異なる金属種を割り当てている。測定対象領域は、上述のようにアライメント測定部20で得られた測定対象領域を用いてもよい。測定値ではなく、予め分析する半導体基板50の測定対象領域の情報があれば、その測定対象領域の情報を用いることもできる。設定部28では、分析する金属種の数と、領域の数との関係を予め設定しておき、これに基づいて分析する金属種の数から領域の数を決定してもよい。また、分析する金属種の数と、領域の数と、領域に割り当てる金属種の数との関係を予め設定しておき、これに基づいて、分析する金属種の数から領域の数及び領域に割り当てる金属種の数を決定してもよい。
 分析する金属種の数は、2以上が好ましく、10以上がより好ましく、20以上が更に好ましく、30以上が更に一層好ましい。なお、分析する金属種の数の上限は、特に限定されるものではないが、後述の分析ユニット36の性能、及び分析時間等により適宜決定される。
Here, the setting unit 28 sets the number of metal species to be analyzed. Further, the measurement target region of the semiconductor substrate 50 is divided into regions, and a different metal type is assigned to each divided region. The measurement target area obtained by the alignment measuring section 20 as described above may be used as the measurement target area. If there is information on the measurement target area of the semiconductor substrate 50 to be analyzed in advance, instead of the measured value, the information on the measurement target area can also be used. In the setting unit 28, the relationship between the number of metal species to be analyzed and the number of regions may be set in advance, and the number of regions may be determined from the number of metal species to be analyzed based on this. In addition, the relationship between the number of metal species to be analyzed, the number of regions, and the number of metal species assigned to the regions is set in advance, and based on this, the number of regions and the number of regions can be changed from the number of metal species to be analyzed. The number of metal species to be assigned may be determined.
The number of metal species to be analyzed is preferably 2 or more, more preferably 10 or more, even more preferably 20 or more, and even more preferably 30 or more. Note that the upper limit of the number of metal species to be analyzed is not particularly limited, but is appropriately determined depending on the performance of the analysis unit 36, analysis time, etc., which will be described later.
 なお、設定部28は、上述のように領域分割された各領域に、異なる金属種を割り当てている。この「異なる金属種を割り当てる」の意味としては、分割された2つの領域を比較した際に、割り当てられる金属種の種類が完全に一致しないことを意味する。すなわち、例えば、分割された2つの領域を比較した際に、それぞれの領域に1種ずつの金属種が割り当てられている場合、それぞれの領域に割り当てられた金属種の種類が異なれば、「異なる金属種を割り当てた」ことに該当する。
 また、例えば、分割された2つの領域を比較した際に、それぞれの領域に2種以上の金属種が割り当てられている場合、2つの領域において一部金属種が重複していたとしても、それぞれの領域に含まれる金属種が全く同一ではない限り、異なる金属種が割り当てられたとする。より具体的には、領域AにFe、Li、Naが割り当てられ、領域BにFe、Cu、Pdが割り当てられた場合、Feは重複しているが、領域A及び領域Bにおいて、3種の金属種の種類は完全には一致しないため、異なる金属種が割り当てられたとする。
 また、異なる金属種を割り当てる際、各領域で、同数の金属種を割り当てることに限定されるものではなく、各領域で金属種の数が異なっていてもよい。
 なお、上述のことから、設定部28では、領域分割された各領域に、それぞれ異なる金属種を設定するが、各領域で金属種が重複しないように設定してもよく、各領域で金属種が完全一致することを除いて金属種の一部を重複して設定してもよい。
Note that the setting unit 28 assigns different metal types to each region divided as described above. The meaning of "assigning different metal species" means that when the two divided regions are compared, the types of metal species to be assigned do not completely match. In other words, for example, when comparing two divided areas, if one metal type is assigned to each area, if the metal types assigned to each area are different, it will be This corresponds to "assigning a metal type".
Also, for example, when comparing two divided regions, if two or more metal types are assigned to each region, even if some metal types overlap in the two regions, each It is assumed that different metal types are assigned unless the metal types included in the region are exactly the same. More specifically, if Fe, Li, and Na are assigned to region A and Fe, Cu, and Pd are assigned to region B, Fe overlaps, but in region A and region B, three types of Since the types of metal species do not completely match, it is assumed that different metal types are assigned.
Further, when assigning different metal types, it is not limited to assigning the same number of metal types to each area, and the number of metal types may be different in each area.
Note that, from the above, the setting unit 28 sets a different metal type in each divided area, but it may also be set so that the metal types do not overlap in each area, and the metal type in each area can be set so that the metal types do not overlap. Some of the metal types may be set to be duplicated, except that they completely match.
 また、演算部27では、設定部28で設定された上述の半導体基板50の分割された各領域の情報と、半導体基板の測定対象領域の情報とに基づいて、半導体基板50の各領域において、レーザー光を照射する範囲と、その範囲の位置座標とを計算する。演算部27は、更にレーザー光Laを照射する範囲と、レーザー光Laを照射する範囲において、測定する金属種とを決定し、レーザー光Laを照射する範囲と測定する金属種との組合せデータを得る。演算部27は組合せデータを記憶部26に記憶させる。
 また、制御部42では、領域分割された各領域に割り当てられた金属種の情報、すなわち、上述の組合せデータに基づいて、分析ユニット36を制御して、領域分割された各領域毎に、設定された金属種の分析を実施させる。
Further, in the calculation unit 27, in each area of the semiconductor substrate 50, based on the information of each divided area of the semiconductor substrate 50 described above set by the setting unit 28 and the information of the measurement target area of the semiconductor substrate, The range to be irradiated with laser light and the position coordinates of that range are calculated. The calculation unit 27 further determines the range to be irradiated with the laser beam La and the metal type to be measured in the range to be irradiated with the laser beam La, and obtains combination data of the range to be irradiated with the laser beam La and the metal type to be measured. obtain. The calculation unit 27 causes the storage unit 26 to store the combination data.
In addition, the control unit 42 controls the analysis unit 36 based on the information on the metal species assigned to each divided region, that is, the above-mentioned combination data, and makes settings for each divided region. conduct an analysis of the metal species.
 入力部29は、設定部28に設定される、後述の設定情報を入力するものである。入力部29は、キーボード及びマウス等のインターフェースでもよく、メモリーカードリーダーのような記録媒体を読み取るものでもよい。また、無線又はインターネットを介して設定情報が送信される場合、入力部29は、無線を受信する受信部を有するか、又はインターネットに接続可能である。
 ここで、設定情報とは、分析する金属種の数と、測定対象領域の領域分割と、領域分割された各領域に割り当てられる金属種とを含む情報である。また、設定情報は、分析する金属種の情報を含んでいてもよい。分析する金属種の情報としては、LA-ICP-MSで測定可能な元素種が挙げられる。分析する金属種の情報は、例えば、Li、Na、Mg、Al、K、Ca、Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ga、Rb、Sr、Y、Zr、Nb、Mo、Tc、Ru、Rh、Pd、Ag、Cd、In、Sn、Cs、Ba、Hf、Ta、W、Re、TI、Pb、Bi、Po、La、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu、Ac、Th、Pa、U、Np、Pu、Am、Cm、Bk、Cf、Es、Fm、Md、No、及びLrの検出対象の金属元素である。
 設定情報の入力形式は、特に限定されるものではなく、キーボード等のインターフェースを用いて設定部28に入力してもよく、設定情報を設定部28に読み取らせるか、又は受信させる形式でもよい。
The input section 29 is used to input setting information set in the setting section 28, which will be described later. The input unit 29 may be an interface such as a keyboard and a mouse, or may be a device that reads a recording medium such as a memory card reader. Moreover, when the setting information is transmitted via wireless or the Internet, the input section 29 has a receiving section that receives wireless signals, or can be connected to the Internet.
Here, the setting information is information including the number of metal species to be analyzed, the region division of the measurement target region, and the metal species assigned to each of the divided regions. Further, the setting information may include information on the type of metal to be analyzed. Information on metal species to be analyzed includes elemental species that can be measured by LA-ICP-MS. Information on the metal species to be analyzed includes, for example, Li, Na, Mg, Al, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Rb, Sr, Y, Zr, Nb, Mo, Tc, Ru, Rh, Pd, Ag, Cd, In, Sn, Cs, Ba, Hf, Ta, W, Re, TI, Pb, Bi, Po, La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, Ac, Th, Pa, U, Np, Pu, Am, Cm, Bk, Cf, Es, Fm, Md, No, and Lr are the metal elements to be detected.
The input format of the setting information is not particularly limited, and the setting information may be input into the setting unit 28 using an interface such as a keyboard, or the setting information may be read or received by the setting unit 28.
 記憶部26は、上述のように半導体基板50の表面50aの輪郭情報及び測定対象領域の情報、並びにノッチ、オリエンテーションフラット又はアライメントマークの位置の情報、半導体基板50の中心位置の情報等を記憶することができれば、特に限定されるものではなく、例えば、揮発性メモリ、不揮発性メモリ、ハードディスク、又はSSD(Solid State Drive)の各種の記憶媒体を用いることができる。また、記憶部26は、クラウド上に配置された記憶媒体でもよい。 As described above, the storage unit 26 stores information on the outline of the surface 50a of the semiconductor substrate 50, information on the measurement target area, information on the position of the notch, orientation flat, or alignment mark, information on the center position of the semiconductor substrate 50, etc. If possible, various storage media such as volatile memory, non-volatile memory, hard disk, or SSD (Solid State Drive) can be used without particular limitation. Furthermore, the storage unit 26 may be a storage medium placed on a cloud.
 演算部27及び設定部28は、例えば、プログラム又はコンピュータソフトウェアが実行されることで各部位が機能するコンピューターによって構成してもよく、各部位が専用回路で構成された専用装置であってもよく、クラウド上で実行されるようにサーバーで構成してもよい。なお、分析方法についても、プログラム又はコンピュータソフトウェアを利用してもよく、専用回路で構成された専用装置を用いることもでき、クラウド上で実行されるようにサーバーを分析方法に利用することもできる。 The calculation unit 27 and the setting unit 28 may be configured by, for example, a computer in which each part functions by executing a program or computer software, or may be a dedicated device in which each part is configured with a dedicated circuit. , may be configured on a server to run on the cloud. In addition, for the analysis method, a program or computer software may be used, a dedicated device configured with a dedicated circuit may be used, and a server may be used for the analysis method so as to be executed on the cloud. .
 ここで、アライメント測定部20では、制御部42により、ステージ22、光源23及び撮像部24が制御される。また、記憶部26及び演算部27も制御部42により制御される。
 例えば、制御部42により、光源23から光Lsが半導体基板50の表面50aに照射され、撮像部24が半導体基板50を撮像し、撮像部24で得られた輪郭情報を含む画像データが記憶部26に出力されて記憶される。演算部27が記憶部26から画像データを読み出し、演算部27で、半導体基板50の表面50aの輪郭情報の2次元の位置座標を特定する。
 アライメント測定部20による測定時、測定室12bの雰囲気は、特に限定されるものではなく、上述のように減圧雰囲気でも、窒素ガス雰囲気でもよい。
Here, in the alignment measuring section 20, the stage 22, the light source 23, and the imaging section 24 are controlled by the control section 42. Furthermore, the storage section 26 and the calculation section 27 are also controlled by the control section 42 .
For example, the control unit 42 causes the light source 23 to irradiate the surface 50a of the semiconductor substrate 50 with light Ls, the imaging unit 24 images the semiconductor substrate 50, and the image data including contour information obtained by the imaging unit 24 is stored in the storage unit. 26 and stored. The calculation unit 27 reads the image data from the storage unit 26, and specifies the two-dimensional position coordinates of the contour information on the surface 50a of the semiconductor substrate 50.
At the time of measurement by the alignment measurement section 20, the atmosphere in the measurement chamber 12b is not particularly limited, and may be a reduced pressure atmosphere or a nitrogen gas atmosphere as described above.
 第2搬送室12cには、内部に搬送装置16が設けられている。搬送装置16は、測定室12b内で、アライメント測定部20により輪郭情報が測定された半導体基板50を、測定室12bから分析室12dに搬送するものである。
 搬送装置16は、上述の搬送装置14と同じ構成のものを用いることができる。搬送装置16は、半導体基板50の外側を挟持する搬送アーム15と、搬送アーム15を駆動する駆動部(図示せず)とを有する。搬送アーム15は、取付部16aに取付けられており、回転軸C周りに回転自在である。
 搬送装置16は、取付部16aが高さ方向Vに移動することができ、回転軸Cに平行な方向である高さ方向Vに移動可能である。搬送アーム15は、搬送アーム15が取り付けられた取付部16aが高さ方向Vに移動することにより、高さ方向Vの位置を変えることができる。
A transport device 16 is provided inside the second transport chamber 12c. The transport device 16 transports the semiconductor substrate 50 whose contour information has been measured by the alignment measuring section 20 in the measurement chamber 12b from the measurement chamber 12b to the analysis chamber 12d.
The transport device 16 may have the same configuration as the transport device 14 described above. The transport device 16 includes a transport arm 15 that holds the outside of the semiconductor substrate 50, and a drive section (not shown) that drives the transport arm 15. The transport arm 15 is attached to the attachment part 16a and is rotatable around the rotation axis C1 .
In the conveying device 16, the mounting portion 16a is movable in the height direction V, which is a direction parallel to the rotation axis C1 . The position of the transport arm 15 in the height direction V can be changed by moving the mounting portion 16a to which the transport arm 15 is attached in the height direction V.
 分析装置10では、半導体基板50の測定対象領域を領域分割している。測定対象領域を領域分割の方法は、特に限定されるものではない。
 ここで、図2は本発明の実施形態の分析装置の第1の例における半導体基板の領域分割の第1の例を示す模式図であり、図3は本発明の実施形態の分析装置の第1の例における半導体基板の領域分割の第2の例を示す模式図であり、図4は本発明の実施形態の分析装置の第1の例における半導体基板の領域分割の第3の例を示す模式図である。
 例えば、図2に示すように、半導体基板50の表面50aの中心位置Oを頂点する扇形状の領域に分割する。図2では、扇形状の領域52a~52hの8つの領域に分割されており、扇形状の領域52a~52hの8つの領域は合同な関係にある。扇形状の領域52a~52hの8つの領域の中心角は同じである。扇形状の領域52a~52h毎に位置座標を特定し、半導体基板50の表面50a上の扇形状の領域52a~52hに相当する各領域にレーザー光を照射して、領域毎に設定された金属種の分析が実施され、欠陥51(図1参照)が分析される。
 なお、図2に示すように中心が共通な扇形状の領域52a~52hに分割することをケーキカットともいう。扇形状の領域52a~52hも2次元の位置座標で表される。
 半導体基板50の表面50aの分割数は、8つに限定されるものではなく、最低2つである。分割数の上限は、特に限定されるものではないが、測定時間を考慮すると、分割数の上限は30である。
 なお、十分な測定面積を確保した方が評価安定性が向上する。このため、直径12インチ半導体ウエハの場合、測定する金属数1元素当たりの測定面積の下限値は24cmが好ましく、より好ましい領域は71cm以上236cm以下であり、最も好ましい領域は236cm超706.5cm未満である。
 また、扇形状の領域52a~52hの大きさは、全て同じであることに限定されるものではなく、大きさが異なってもよい。すなわち、扇形状の領域52a~52hの中心角が異なっていてもよい。
In the analyzer 10, the measurement target region of the semiconductor substrate 50 is divided into regions. The method of dividing the measurement target region into regions is not particularly limited.
Here, FIG. 2 is a schematic diagram showing a first example of region division of a semiconductor substrate in a first example of an analysis apparatus according to an embodiment of the present invention, and FIG. FIG. 4 is a schematic diagram showing a second example of region division of the semiconductor substrate in Example 1, and FIG. 4 shows a third example of region division of the semiconductor substrate in the first example of the analysis device according to the embodiment of the present invention. It is a schematic diagram.
For example, as shown in FIG. 2, the surface 50a of the semiconductor substrate 50 is divided into fan-shaped regions having a central position O at the apex. In FIG. 2, it is divided into eight fan-shaped regions 52a to 52h, and the eight fan-shaped regions 52a to 52h are in a congruent relationship. The center angles of the eight fan-shaped regions 52a to 52h are the same. The position coordinates are specified for each of the fan-shaped regions 52a to 52h, and a laser beam is irradiated to each region corresponding to the fan-shaped regions 52a to 52h on the surface 50a of the semiconductor substrate 50, so that the metal set for each region is A seed analysis is performed and defects 51 (see FIG. 1) are analyzed.
Note that, as shown in FIG. 2, dividing into fan-shaped regions 52a to 52h having a common center is also called cake cutting. The fan-shaped regions 52a to 52h are also expressed by two-dimensional position coordinates.
The number of divisions of the front surface 50a of the semiconductor substrate 50 is not limited to eight, but is at least two. The upper limit of the number of divisions is not particularly limited, but considering the measurement time, the upper limit of the number of divisions is 30.
Note that evaluation stability is improved by ensuring a sufficient measurement area. Therefore, in the case of a semiconductor wafer with a diameter of 12 inches, the lower limit of the measurement area per metal element to be measured is preferably 24 cm 2 , a more preferable area is 71 cm 2 or more and 236 cm 2 or less, and the most preferable area is more than 236 cm 2 Less than 706.5 cm2 .
Further, the sizes of the fan-shaped regions 52a to 52h are not limited to being all the same, and may be different in size. That is, the central angles of the fan-shaped regions 52a to 52h may be different.
 また、円形の半導体基板50の表面50aを図2に示すように扇形状の領域に分割したが、これに限定されるものではなく、例えば、図3に示すように、円形の半導体基板50の中心位置Oを通る直線に平行な線53により領域分割をしてもよい。この場合、図3では、半導体基板50の表面50aの直径方向に沿って4つの領域53a~53dに等間隔に分割されている。4つの領域53a~53d毎に位置座標を特定し、半導体基板50の表面50a上の4つの領域53a~53dに相当する各領域にレーザー光を照射して、領域毎に設定された金属種の分析が実施され、欠陥51が分析される。
 なお、図3に示すように円形の半導体基板50の中心位置Oを通る直線に平行な線53により複数の領域に分割することを、縦分割ともいう。上述の4つの領域53a~53dも2次元の位置座標で表される。
 半導体基板50の表面50aの分割数は、4つに限定されるものではなく、最低2つである。2つの場合、図2と図3は、領域が一致する。分割数の上限は、特に限定されるものではないが、測定時間を考慮すると、分割数の上限は30である。また、分割も等間隔に限定されるものではなく、間隔は揃っていなくてもよい。
Although the surface 50a of the circular semiconductor substrate 50 is divided into fan-shaped regions as shown in FIG. 2, the present invention is not limited to this. For example, as shown in FIG. The area may be divided by a line 53 parallel to the straight line passing through the center position O. In this case, in FIG. 3, the surface 50a of the semiconductor substrate 50 is divided into four regions 53a to 53d at equal intervals along the diameter direction. The position coordinates are specified for each of the four regions 53a to 53d, and each region corresponding to the four regions 53a to 53d on the surface 50a of the semiconductor substrate 50 is irradiated with a laser beam to determine the metal type set for each region. An analysis is performed and defects 51 are analyzed.
Note that, as shown in FIG. 3, dividing the circular semiconductor substrate 50 into a plurality of regions by a line 53 parallel to a straight line passing through the center position O is also referred to as vertical division. The four regions 53a to 53d described above are also represented by two-dimensional position coordinates.
The number of divisions of the front surface 50a of the semiconductor substrate 50 is not limited to four, but is at least two. In the two cases, FIGS. 2 and 3 match in area. The upper limit of the number of divisions is not particularly limited, but considering the measurement time, the upper limit of the number of divisions is 30. Further, the division is not limited to equal intervals, and the intervals may not be even.
 さらには、半導体基板50の表面50aの領域分割は、ランダムでもよい。例えば、図4に示すように、半導体基板50の表面50aに対して、例えば、6カ所、大きさが同じ円形の領域54a~54fを設定する。6カ所の領域54a~54f毎に位置座標を特定し、半導体基板50の表面50a上の6カ所の領域54a~54fに相当する各領域にレーザー光を照射して、領域毎に設定された金属種の分析が実施され、欠陥51(図1参照)が分析される。
 なお、半導体基板50の表面50aの領域分割をランダムにする場合、領域同士が重なることがなければ、領域の大きさは同一でも、異なっていてもよい。
 領域分割をランダムに設定する場合、例えば、疑似乱数を用いて、分割数及び分割する領域の大きさ、及び領域の配置位置を設定する。ランダムに領域分割を実施する場合、領域の配置位置の設定に、領域同士が重ならないことを条件に加える。ランダムな領域分割としては、上述以外にボロノイ分割を用いることもできる。
Furthermore, the region division of the surface 50a of the semiconductor substrate 50 may be random. For example, as shown in FIG. 4, six circular regions 54a to 54f of the same size are set on the surface 50a of the semiconductor substrate 50, for example. The position coordinates of each of the six regions 54a to 54f are specified, and a laser beam is irradiated to each region corresponding to the six regions 54a to 54f on the surface 50a of the semiconductor substrate 50, so that the metal set in each region is A seed analysis is performed and defects 51 (see FIG. 1) are analyzed.
Note that when dividing the surface 50a of the semiconductor substrate 50 into regions at random, the sizes of the regions may be the same or different as long as the regions do not overlap.
When setting the region divisions at random, for example, the number of divisions, the size of the regions to be divided, and the arrangement position of the regions are set using pseudo-random numbers. When randomly dividing regions, the condition that the regions do not overlap is added to the setting of the region placement position. As the random region division, Voronoi division can also be used in addition to the above.
(分析部)
 図1に示す分析室12dは、内部に分析部30が設けられている。分析部30は、LA-ICP-MS(Laser Ablation-Inductively Coupled Plasma Mass Spectrometer)を用いて分析を行う。
 ICP-MS(Inductively Coupled Plasma Mass Spectrometer)は、誘導結合によって生成される約10000℃のアルゴンガスのプラズマを利用して液体試料中の元素をイオン化し、質量分析を行うものである。LA-ICP-MSは、レーザーアブレーション部(LA部)においてレーザー光を、半導体基板50の表面50aにおいて、設定された領域毎に照射し、欠陥51にレーザー光Laが照射されると、レーザー光Laの照射により得られる分析試料をキャリアガスによりICP-MS部(誘導結合プラズマ質量分析部)に導入して分析試料に含まれる元素の定量分析を行うものである。
(Analysis Department)
The analysis chamber 12d shown in FIG. 1 is provided with an analysis section 30 therein. The analysis unit 30 performs analysis using LA-ICP-MS (Laser Ablation-Inductively Coupled Plasma Mass Spectrometer).
ICP-MS (Inductively Coupled Plasma Mass Spectrometer) uses argon gas plasma at about 10,000° C. generated by inductive coupling to ionize elements in a liquid sample and perform mass spectrometry. In LA-ICP-MS, a laser ablation section (LA section) irradiates a laser beam onto each set area on the surface 50a of a semiconductor substrate 50, and when a defect 51 is irradiated with the laser beam La, the laser beam is emitted. An analysis sample obtained by irradiation with La is introduced into an ICP-MS section (inductively coupled plasma mass spectrometry section) using a carrier gas, and the elements contained in the analysis sample are quantitatively analyzed.
 分析部30は、半導体基板50が載置されるステージ32と、ステージ32に載置された半導体基板50を収納する容器部33と、ステージ32を駆動する駆動部37とを有する。駆動部37は制御部42に接続されており、制御部42により駆動部37が制御されてステージ32が移動し、半導体基板50の表面50aへのレーザー光Laの照射位置が変えられる。
 容器部33に配管39を介して分析ユニット36が接続されている。半導体基板50は、全体が容器部33に収納された状態で分析される。半導体基板50が載置されるステージ32は、回転軸C周りに回転自在であり、半導体基板50の高さ方向Vにおける位置を変えることができ、かつ高さ方向Vと直交する方向Hの位置を変えることができる。
 ステージ32は、上述のように駆動部37により回転軸C周りに回転されたり、半導体基板50の高さ方向Vにおける位置、及び方向Hの位置が変えられる。駆動部37は制御部42により制御される。制御部42は、半導体基板50の表面50aの欠陥51にレーザー光Laを照射するために、駆動部37によりステージ32を駆動して、半導体基板50の表面50a上での照射位置を変える。
The analysis section 30 includes a stage 32 on which the semiconductor substrate 50 is placed, a container section 33 that stores the semiconductor substrate 50 placed on the stage 32, and a drive section 37 that drives the stage 32. The drive unit 37 is connected to the control unit 42, and the drive unit 37 is controlled by the control unit 42 to move the stage 32 and change the irradiation position of the laser beam La onto the surface 50a of the semiconductor substrate 50.
An analysis unit 36 is connected to the container section 33 via piping 39. The semiconductor substrate 50 is analyzed while being entirely housed in the container section 33. The stage 32 on which the semiconductor substrate 50 is placed is rotatable around the rotation axis C3 , and can change the position of the semiconductor substrate 50 in the height direction V, and can also be moved in the direction H perpendicular to the height direction V. Can change position.
As described above, the stage 32 is rotated around the rotation axis C3 by the drive unit 37, and the position of the semiconductor substrate 50 in the height direction V and in the direction H is changed. The drive section 37 is controlled by the control section 42. In order to irradiate the defect 51 on the surface 50a of the semiconductor substrate 50 with the laser beam La, the control section 42 drives the stage 32 using the drive section 37 to change the irradiation position on the surface 50a of the semiconductor substrate 50.
 分析部30は、アライメント測定部20で測定された半導体基板50の表面50a上において領域分割された各領域にレーザー光Laを照射する光源部34を有する。光源部34と、半導体基板50の表面50aとの間に、レーザー光Laを半導体基板50の表面50a上の欠陥51に集光する集光レンズ35が設けられている。
 光源部34及び集光レンズ35は、容器部33の外部に設けられている。容器部33には、レーザー光Laが内部に透過するように、レーザー光Laが透過可能な窓部(図示せず)が設けられている。
 光源部34は、フェムト秒レーザー、ナノ秒レーザー、ピコ秒レーザー、又はアト秒レーザー等が用いられる。フェムト秒レーザーとしては、例えば、Ti:Sapphireレーザーを用いることができる。
 なお、ステージ32を駆動して、半導体基板50の表面50a上での照射位置を変えることに限定されるものではなく、レーザー光Laを走査して、レーザー光Laの半導体基板50の表面50a上での照射位置を変える構成でもよい。
The analysis section 30 includes a light source section 34 that irradiates laser light La onto each of the divided regions on the surface 50a of the semiconductor substrate 50 measured by the alignment measurement section 20. A condensing lens 35 is provided between the light source section 34 and the surface 50a of the semiconductor substrate 50 to focus the laser beam La onto the defect 51 on the surface 50a of the semiconductor substrate 50.
The light source section 34 and the condensing lens 35 are provided outside the container section 33. The container section 33 is provided with a window section (not shown) through which the laser beam La can pass, so that the laser beam La can pass therethrough.
The light source section 34 uses a femtosecond laser, a nanosecond laser, a picosecond laser, an attosecond laser, or the like. As the femtosecond laser, for example, a Ti:Sapphire laser can be used.
Note that the method is not limited to driving the stage 32 to change the irradiation position on the surface 50a of the semiconductor substrate 50, but scanning the laser beam La and changing the irradiation position on the surface 50a of the semiconductor substrate 50 with the laser beam La. A configuration may also be adopted in which the irradiation position is changed.
 分析部30は、キャリアガスを容器部33内に供給するキャリアガス供給部38を有する。
 キャリアガス供給部38は、キャリアガスが貯留されるボンベ等の気体供給源(図示せず)と、気体供給源に接続されたレギュレータ(圧力調整器)と、キャリアガスの供給量を制御する調整弁(図示せず)とを有する。例えば、レギュレータと調整弁とはチューブで接続され、調整弁と容器部33とはパイプで接続されている。キャリアガスは、例えば、ヘリウムガス、又はアルゴンガスが用いられる。
 また、分析部30は、クリーニングガスを容器部33内に供給するクリーニングガス供給部40を有する。クリーニングガス供給部40は、クリーニングガスが貯留されるボンベ等の気体供給源(図示せず)と、気体供給源に接続されたレギュレータ(圧力調整器)と、クリーニングガスの供給量を制御する調整弁(図示せず)とを有する。例えば、レギュレータと調整弁とはチューブで接続され、調整弁と容器部33とはパイプで接続されている。クリーニングガスは、例えば、ヘリウムガス、又はアルゴンガスが用いられる。
The analysis section 30 includes a carrier gas supply section 38 that supplies carrier gas into the container section 33 .
The carrier gas supply unit 38 includes a gas supply source (not shown) such as a cylinder in which the carrier gas is stored, a regulator (pressure regulator) connected to the gas supply source, and an adjustment device that controls the supply amount of the carrier gas. and a valve (not shown). For example, the regulator and the regulating valve are connected by a tube, and the regulating valve and the container part 33 are connected by a pipe. For example, helium gas or argon gas is used as the carrier gas.
The analysis section 30 also includes a cleaning gas supply section 40 that supplies cleaning gas into the container section 33 . The cleaning gas supply unit 40 includes a gas supply source (not shown) such as a cylinder in which cleaning gas is stored, a regulator (pressure regulator) connected to the gas supply source, and an adjustment device that controls the supply amount of the cleaning gas. and a valve (not shown). For example, the regulator and the regulating valve are connected by a tube, and the regulating valve and the container part 33 are connected by a pipe. For example, helium gas or argon gas is used as the cleaning gas.
 また、容器部33にクリーニングガスを容器部33内から外部に流出させる流出部41が設けられている。流出部41は、例えば、パイプと、バルブとで構成される。バルブを開くことにより、クリーニングガスを容器部33内から外部に流出させることができる。
 容器部33には、フラッシング処理を行うためにヒータ(図示せず)を設けてもよい。クリーニングガスを容器部33内に供給した状態でヒータにより容器部33内を加熱することにより、容器部33内の、例えば、アブレーションされた付着物等の異物、又は吸着ガス等を除去する。これにより、容器部33内の清浄度を高くでき、半導体基板50の汚染を抑制できる。なお、ヒータには、例えば、赤外線ランプ、又はキセノンフラッシュランプが用いられる。
 また、フラッシング処理には、クリーニングガス以外に、キャリアガスを用いることもできる。
Further, the container portion 33 is provided with an outflow portion 41 that allows the cleaning gas to flow out from inside the container portion 33 to the outside. The outflow portion 41 includes, for example, a pipe and a valve. By opening the valve, the cleaning gas can flow out from inside the container section 33.
A heater (not shown) may be provided in the container portion 33 to perform a flushing process. By heating the inside of the container 33 with a heater while the cleaning gas is supplied into the container 33, foreign matter such as ablated deposits, adsorbed gas, etc. in the container 33 are removed. Thereby, the cleanliness inside the container section 33 can be increased and contamination of the semiconductor substrate 50 can be suppressed. Note that, for example, an infrared lamp or a xenon flash lamp is used as the heater.
In addition to the cleaning gas, a carrier gas can also be used in the flushing process.
<分析ユニット>
 分析ユニット36は、上述のICP-MSを利用したものであり、半導体基板50の表面50a上の欠陥51にレーザー光Laが照射されると、照射により得られる分析試料をキャリアガスで回収して誘導結合プラズマ質量分析する。なお、ICPは、誘導結合プラズマの略であり、分析ユニット36では、高周波電磁誘導により維持された高温のプラズマによって測定対象物をイオン化させ、そのイオンを質量分析装置で検出することにより、原子種、及び検出された原子種の濃度を計測する。
 分析ユニット36は、例えば、四重極質量分析計が用いられる。四重極質量分析計は後述の質量分析部46(図5参照)に配置される。四重極質量分析計では、周波数又は電圧を変えることにより、測定する金属種を変更することができる。このため、設定された金属種に応じて周波数又は電圧を変えて、金属種の分析を行う。
 分析ユニット36としては、上述の四重極質量分析計以外に、例えば、図5に示す構成のものを用いることができる。図5に示す分析ユニット36は、配管39からキャリアガスと共に導入された分析試料を、イオン化するプラズマを発生させるプラズマトーチ44と、このプラズマトーチ44の先端部近傍に位置するイオン導入部を有する質量分析部46とを有する。
<Analysis unit>
The analysis unit 36 utilizes the above-mentioned ICP-MS, and when the defect 51 on the surface 50a of the semiconductor substrate 50 is irradiated with the laser beam La, the analysis sample obtained by the irradiation is collected with a carrier gas. Inductively coupled plasma mass spectrometry. Note that ICP is an abbreviation for inductively coupled plasma, and the analysis unit 36 ionizes the object to be measured using high-temperature plasma maintained by high-frequency electromagnetic induction, and detects the ions with a mass spectrometer to identify atomic species. , and measuring the concentration of the detected atomic species.
For example, a quadrupole mass spectrometer is used as the analysis unit 36. The quadrupole mass spectrometer is arranged in a mass spectrometer 46 (see FIG. 5), which will be described later. In a quadrupole mass spectrometer, the metal species to be measured can be changed by changing the frequency or voltage. For this reason, the frequency or voltage is changed depending on the set metal type to analyze the metal type.
As the analysis unit 36, in addition to the above-mentioned quadrupole mass spectrometer, for example, one having the configuration shown in FIG. 5 can be used. The analysis unit 36 shown in FIG. 5 includes a plasma torch 44 that generates plasma that ionizes an analysis sample introduced together with a carrier gas from a pipe 39, and an ion introduction section located near the tip of the plasma torch 44. It has an analysis section 46.
 プラズマトーチ44は、例えば、3重管構造となっており、配管39からキャリアガスが導入される。また、プラズマトーチ44には、プラズマ形成用のプラズマガスが導入される。プラズマガスには、例えば、アルゴンガスが用いられる。
 プラズマトーチ44には、高周波電源(図示せず)に接続された高周波コイル(図示せず)が設けられており、この高周波コイルに、例えば、27.12MHz、又は40.68MHz、1~2KW程度の高周波電流を印加することにより、プラズマトーチ44の内部にプラズマが形成される。
The plasma torch 44 has, for example, a triple pipe structure, and carrier gas is introduced from a pipe 39. Furthermore, a plasma gas for plasma formation is introduced into the plasma torch 44 . For example, argon gas is used as the plasma gas.
The plasma torch 44 is provided with a high frequency coil (not shown) connected to a high frequency power source (not shown), and this high frequency coil has a power of, for example, 27.12 MHz or 40.68 MHz, about 1 to 2 KW. Plasma is formed inside the plasma torch 44 by applying the high frequency current.
 質量分析部46においては、プラズマトーチ44で生じたイオンを、イオン導入部を介して、イオンレンズ部46a及び質量分析計部46b内に導入する。イオンレンズ部46a及び質量分析計部46b内は、真空ポンプ(図示せず)によって、プラズマトーチ44側のイオンレンズ部46aが低真空となるように、質量分析計部46bが高真空となるように減圧されている。 In the mass spectrometer section 46, ions generated by the plasma torch 44 are introduced into the ion lens section 46a and the mass spectrometer section 46b via the ion introduction section. The inside of the ion lens section 46a and the mass spectrometer section 46b are controlled by a vacuum pump (not shown) so that the ion lens section 46a on the plasma torch 44 side is at a low vacuum and the mass spectrometer section 46b is at a high vacuum. The pressure is reduced to
 イオンレンズ部46aは、イオンレンズ47が複数、例えば、3つ設けられている。イオンレンズ47は、質量分析計部46bに、イオンを分離するものである。
 質量分析部46のイオンレンズ部46a内において、上述のプラズマの光とイオンをイオンレンズ47で分離してイオンのみを通過させる。
The ion lens section 46a is provided with a plurality of ion lenses 47, for example, three. The ion lens 47 separates ions into the mass spectrometer section 46b.
In the ion lens section 46a of the mass spectrometer 46, the above-mentioned plasma light and ions are separated by an ion lens 47, and only the ions are allowed to pass through.
 質量分析計部46bは、イオンの質量電荷比毎にイオンを分離して検出器49で検出するものである。質量分析計部46bは、イオンレンズ部46aを通過したイオンを反射するリフレクトロン48と、イオンを検出する検出器49とを有する。リフレクトロン48は、イオンミラーとも呼ばれるものであり、静電場を用いて荷電粒子の飛行する向きを反転させる装置である。リフレクトロン48を用いることによって、同一の質量電荷比で異なる運動エネルギーをもった荷電粒子を時間軸上で収束させ、略同じ時間で検出器49に到達させることが可能となる。リフレクトロン48により、誤差が補償され、質量分解能を改善することができる。リフレクトロン48は、飛行時間質量分析計(TOF-MS)に用いられる公知のものを用いることができる。 The mass spectrometer section 46b separates ions according to their mass-to-charge ratios and detects them with the detector 49. The mass spectrometer section 46b includes a reflectron 48 that reflects the ions that have passed through the ion lens section 46a, and a detector 49 that detects the ions. The reflectron 48 is also called an ion mirror, and is a device that uses an electrostatic field to reverse the flying direction of charged particles. By using the reflectron 48, charged particles having the same mass-to-charge ratio and different kinetic energies can be focused on the time axis and made to reach the detector 49 at approximately the same time. Reflectron 48 can compensate for errors and improve mass resolution. As the reflectron 48, a known one used in a time-of-flight mass spectrometer (TOF-MS) can be used.
 検出器49は、イオンを検出し、元素を特定できれば、特に限定されるものではなく、飛行時間質量分析計(TOF-MS)に用いられる公知のものを用いることができる。
 分析ユニット36により、例えば、検出元素イオンの信号(図示せず)を、時間ごとにチャートとして表示させることができる(図示せず)。検出元素の濃度は、信号強度と対応する。
The detector 49 is not particularly limited as long as it can detect ions and identify elements, and any known detector used in a time-of-flight mass spectrometer (TOF-MS) can be used.
For example, the analysis unit 36 can display the detected element ion signal (not shown) as a chart for each time (not shown). The concentration of the detected element corresponds to the signal intensity.
 図1に示すように分析装置10は制御部42を有する。制御部42により、アライメント測定部20による測定対象領域の情報、分割領域の情報、領域毎の金属種の情報に基づいて、分析部30のステージ32を駆動部37により駆動してレーザー光Laの照射位置を変えて、半導体基板50の表面50aの領域にレーザー光Laを照射する。これにより、領域毎に、予め設定された金属種が測定され、半導体基板50の表面50a上の欠陥51が分析される。このとき、レーザー光Laの領域内における照射位置等から、欠陥51の位置も特定され、領域内において、検出された欠陥51の位置及び欠陥51の金属種が情報が得られる。これにより、半導体基板50の測定対象領域における欠陥51の位置情報と、欠陥51の金属種の情報とが得られ、半導体基板50の測定対象領域における欠陥51の分布がわかる。 As shown in FIG. 1, the analyzer 10 has a control section 42. The control unit 42 drives the stage 32 of the analysis unit 30 by the drive unit 37 based on the information on the measurement target area by the alignment measurement unit 20, the information on the divided areas, and the information on the metal type for each area. The irradiation position is changed and a region of the surface 50a of the semiconductor substrate 50 is irradiated with the laser beam La. As a result, a predetermined metal species is measured for each region, and defects 51 on the surface 50a of the semiconductor substrate 50 are analyzed. At this time, the position of the defect 51 is also specified from the irradiation position within the region of the laser beam La, and information about the position of the detected defect 51 and the metal type of the defect 51 within the region is obtained. As a result, position information of the defect 51 in the measurement target region of the semiconductor substrate 50 and information on the metal type of the defect 51 can be obtained, and the distribution of the defect 51 in the measurement target region of the semiconductor substrate 50 can be obtained.
 分析する金属種が多いと、分析に要する時間がかかる。また、分析する欠陥のサイズが小さくなると、得られる検出信号のレベルも小さくなり、1度の分析で数多くの種類の金属種の分析が困難である。しかしながら、分析装置10では、半導体基板を領域分割し、領域毎に金属種を設定しているため、分析する金属種の数が多くても、各領域で測定する金属種の数を少なくできる。このことから、欠陥51の分析に要する時間を短縮でき、その結果、欠陥51の測定時間を短縮できる。また、レーザー光Laを用いたレーザーアブレーション誘導結合プラズマ質量分析法を利用することにより、サイズが小さな欠陥51の分析もできる。
 また、分析装置10では、複数の金属種を分析する場合、1つ半導体基板50で対応できるため、複数の半導体基板を使う必要がない。この点からも、分析に要する時間を短縮できる。
 また、分析装置10は、半導体基板50全体を容器部33内に収納した状態で、分析部30による誘導結合プラズマ質量分析をできる構成とすることにより、半導体基板50の表面50aの汚染を抑制できる。
If there are many metal species to be analyzed, the analysis will take time. Furthermore, as the size of the defect to be analyzed becomes smaller, the level of the detection signal obtained also becomes smaller, making it difficult to analyze many types of metals in one analysis. However, in the analyzer 10, the semiconductor substrate is divided into regions and metal species are set for each region, so even if the number of metal species to be analyzed is large, the number of metal species to be measured in each region can be reduced. Therefore, the time required to analyze the defect 51 can be shortened, and as a result, the time required to measure the defect 51 can be shortened. Further, by using laser ablation inductively coupled plasma mass spectrometry using laser light La, it is also possible to analyze small-sized defects 51.
Furthermore, in the analyzer 10, when analyzing a plurality of metal types, one semiconductor substrate 50 can be used, so there is no need to use a plurality of semiconductor substrates. Also from this point of view, the time required for analysis can be reduced.
In addition, the analyzer 10 is configured so that the analyzer 30 can perform inductively coupled plasma mass spectrometry while the entire semiconductor substrate 50 is housed in the container 33, thereby suppressing contamination of the surface 50a of the semiconductor substrate 50. .
 分析装置10では、キャリアガスとクリーニングガスとを別系統で供給したが、これに限定されるものではなく、キャリアガスとクリーニングガスとは供給タイミングが異なるため、1つの配置を共用して容器部33に供給してもよい。例えば、クリーニングガス供給部40を設けることなく、キャリアガス供給部38だけを設ける構成としてもよい。
 また、キャリアガスは、水分量が0.00001体積ppm以上0.1体積ppm以下であることが好ましい。
In the analyzer 10, the carrier gas and the cleaning gas are supplied through separate systems, but the invention is not limited to this. Since the carrier gas and the cleaning gas have different supply timings, they share one arrangement and are connected to the container section. 33 may be supplied. For example, a configuration may be adopted in which only the carrier gas supply section 38 is provided without providing the cleaning gas supply section 40.
Moreover, it is preferable that the carrier gas has a water content of 0.00001 volume ppm or more and 0.1 volume ppm or less.
 キャリアガスの水分量が0.00001体積ppm以上0.1体積ppm以下であれば、容器部33内で分析中の半導体基板50の表面50aの汚染を少なくすることができる。例えば、キャリアガスの水分量が多い場合、キャリアガスの配管表面、又は容器部33の内表面に付着した微量な水分に不純物が溶出し、それらが半導体基板50上に再付着することで欠陥数が増大してしまうことがあるが、キャリアガスの水分量が上述の範囲であれば、これらが抑制される。
 また、水分量が少ない場合、キャリアガスが半導体基板50近傍を通過する際に、半導体基板50の表面50aに帯電を招きやすくなる。その結果、容器部33内に浮遊する帯電したパーティクルを、半導体基板50の表面50aに招いたり、搬送系での搬送時に付近を浮遊するパーティクルを半導体基板50の表面50aに誘引しやすくなる。また、レーザーアブレーションした結果生じる生成物の再付着が起こりやすくなるが、キャリアガスの水分量が上述の範囲であれば、これらが抑制される。
 キャリアガスに含まれる水分量は、大気圧イオン化質量分析計(API-MS:Atmospheric Pressure lonization Mass Spectrometer)を用いて測定できる。より具体的には、キャリアガスに含まれる水分量は、例えば、株式会社日本エイピーアイ製を用いて測定できる。
 水分量の調製方法は、特に制限されないが、原料ガスに含まれる水(水蒸気)を除去して調製するガス精製工程を行うことで実現される。特に精製の回数又はフィルターを調整することにより、キャリアガスに含まれる水分量を調整することができる。
 なお、キャリアガスの流量としては、1.69×10-3~1.69Pa・m/sec(1~1000sccm(standard cubic centimeter per minute))であることが望ましい。
When the moisture content of the carrier gas is 0.00001 volume ppm or more and 0.1 volume ppm or less, contamination of the surface 50a of the semiconductor substrate 50 being analyzed in the container section 33 can be reduced. For example, when the carrier gas has a large amount of moisture, impurities are eluted into a small amount of moisture attached to the surface of the carrier gas piping or the inner surface of the container section 33, and these impurities re-deposit on the semiconductor substrate 50, resulting in the number of defects. However, if the moisture content of the carrier gas is within the above range, these can be suppressed.
Further, when the amount of water is small, when the carrier gas passes near the semiconductor substrate 50, the surface 50a of the semiconductor substrate 50 is likely to be charged. As a result, charged particles floating within the container portion 33 are easily attracted to the surface 50a of the semiconductor substrate 50, and particles floating nearby during transport by the transport system are easily attracted to the surface 50a of the semiconductor substrate 50. Further, re-deposition of products resulting from laser ablation is likely to occur, but if the moisture content of the carrier gas is within the above-mentioned range, this can be suppressed.
The amount of water contained in the carrier gas can be measured using an atmospheric pressure ionization mass spectrometer (API-MS). More specifically, the amount of water contained in the carrier gas can be measured using, for example, a product manufactured by Japan API Co., Ltd.
The method for preparing the water content is not particularly limited, but it can be achieved by performing a gas purification step in which water (steam) contained in the raw material gas is removed. In particular, by adjusting the number of times of purification or the filter, the amount of water contained in the carrier gas can be adjusted.
Note that the flow rate of the carrier gas is preferably 1.69×10 −3 to 1.69 Pa·m 3 /sec (1 to 1000 sccm (standard cubic centimeter per minute)).
[分析方法の第1の例]
 分析方法は、半導体基板上又は内部に位置する欠陥の分析方法であって、分析する金属種の数を設定する工程1と、半導体基板の測定対象領域を領域分割する工程2と、領域分割された各領域に、異なる金属種を割り当てる工程3と、各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程4とを有する。なお、上述の領域分割された各領域に、異なる金属種を割り当てる工程3の「異なる金属種を割り当てる」の意味は上述の通りである。
 異なる金属種を割り当てる工程3では、各領域に、全く異なる金属種を割り当てて各領域で金属種が重複しないようにしてもよく、各領域で金属種が完全一致することを除いて金属種の一部を重複して割り当ててもよい。
 また、異なる金属種を割り当てる工程3でも、異なる金属種を割り当てる際、各領域で、同数の金属種を割り当てることに限定されるものではなく、各領域で金属種の数が異なっていてもよい。
 以下、分析方法について、具体的に説明する。
 図6は本発明の実施形態の分析方法の第1の例を説明する模式的断面図である。なお、図6において、図1に示す分析装置10と同一構成物には同一符号を付して、その詳細な説明は省略する。
[First example of analysis method]
The analysis method is a method for analyzing defects located on or inside a semiconductor substrate, and includes a step 1 of setting the number of metal species to be analyzed, a step 2 of dividing the measurement target area of the semiconductor substrate into regions, and a step 2 of dividing the measurement target region of the semiconductor substrate into regions. The method includes a step 3 of assigning a different metal type to each region, and a step 4 of irradiating each region with a laser beam, collecting an analysis sample obtained from the irradiation with a carrier gas, and subjecting it to inductively coupled plasma mass spectrometry. Note that the meaning of "assigning different metal types" in step 3 of allocating different metal types to each of the divided regions is as described above.
In the step 3 of assigning different metal types, completely different metal types may be assigned to each area so that the metal types do not overlap in each area, and the metal types may be different from each other except that the metal types in each area completely match. Some parts may be allocated redundantly.
Furthermore, in Step 3 of allocating different metal types, when allocating different metal types, it is not limited to allocating the same number of metal types to each area, and the number of metal types may be different in each area. .
The analysis method will be specifically explained below.
FIG. 6 is a schematic cross-sectional view illustrating a first example of the analysis method according to the embodiment of the present invention. In FIG. 6, the same components as those of the analyzer 10 shown in FIG. 1 are designated by the same reference numerals, and detailed explanation thereof will be omitted.
 分析方法では、例えば、複数の半導体基板50が収納された収納容器13(図1参照)を、図1に示す分析装置10の第1搬送室12aの側面の導入部12gに接続する。収納容器13の蓋をあけて、収納容器13から半導体基板50を取り出させる状態にする。
 次に、第1搬送室12aの搬送装置14を用いて、収納容器13内から半導体基板50を取り出し、測定室12bのステージ22に半導体基板50を搬送する。上述の収納容器13内から半導体基板50を測定室12bのステージ22に搬送する工程により、半導体基板50が分析装置10の外部から搬送されても、半導体基板50の汚染が抑制される。
In the analysis method, for example, a storage container 13 (see FIG. 1) containing a plurality of semiconductor substrates 50 is connected to an introduction section 12g on the side surface of the first transfer chamber 12a of the analysis apparatus 10 shown in FIG. The lid of the storage container 13 is opened and the semiconductor substrate 50 is taken out from the storage container 13.
Next, the semiconductor substrate 50 is taken out from inside the storage container 13 using the transfer device 14 of the first transfer chamber 12a, and the semiconductor substrate 50 is transferred to the stage 22 of the measurement chamber 12b. By the process of transporting the semiconductor substrate 50 from inside the storage container 13 to the stage 22 of the measurement chamber 12b, contamination of the semiconductor substrate 50 is suppressed even if the semiconductor substrate 50 is transported from outside the analysis apparatus 10.
 次に、半導体基板50の汚染が抑制された状態で、測定室12b内において、アライメント測定部20により、光源23から光Lsが照射され、撮像部24で半導体基板50が撮像されて、半導体基板50の表面50aの輪郭情報を得る。この輪郭情報から上述のようにして半導体基板50の測定対象領域の情報が得られる。さらには、上述のように半導体基板50の中心位置の情報も得られる。
 また、アライメント測定部20では、上述のように半導体基板50にノッチ、オリエンテーションフラット又はアライメントマークがある場合、ノッチ、オリエンテーションフラット又はアライメントマークが撮像されて、演算部27により、ノッチ、オリエンテーションフラット又はアライメントマークの位置の情報も得られる。さらには、演算部27では、半導体基板50の中心位置の情報も得られる。
 上述のように半導体基板50の表面50aの輪郭情報及び測定対象領域の情報、並びに、ノッチ、オリエンテーションフラット又はアライメントマークの位置の情報、半導体基板50の中心位置の情報が、記憶部26に記憶される。
Next, in a state where contamination of the semiconductor substrate 50 is suppressed, the alignment measuring section 20 irradiates the light Ls from the light source 23 in the measurement chamber 12b, the semiconductor substrate 50 is imaged by the imaging section 24, and the semiconductor substrate 50 is The contour information of the surface 50a of 50 is obtained. Information on the measurement target area of the semiconductor substrate 50 can be obtained from this contour information as described above. Furthermore, as described above, information on the center position of the semiconductor substrate 50 can also be obtained.
In addition, in the alignment measurement section 20, when the semiconductor substrate 50 has a notch, orientation flat, or alignment mark as described above, the notch, orientation flat, or alignment mark is imaged, and the calculation section 27 determines the notch, orientation flat, or alignment mark. Information on the position of the mark can also be obtained. Furthermore, the calculation unit 27 also obtains information on the center position of the semiconductor substrate 50.
As described above, information on the outline of the surface 50a of the semiconductor substrate 50 and information on the measurement target area, information on the position of the notch, orientation flat or alignment mark, and information on the center position of the semiconductor substrate 50 are stored in the storage unit 26. Ru.
 次に、図1に示す第2搬送室12cの搬送装置16により、半導体基板50を測定室12bから分析室12dに搬送する。
 ここで、設定部28で、分析する金属種の数を設定し、半導体基板の測定対象領域を領域分割し、領域分割された各領域に、異なる金属種を割り当てている。このとき、半導体基板50の表面50aに設定された各領域の2次元の位置座標は特定されている。
 各領域の2次元の位置座標に基づいて、演算部27では、輪郭情報に基づく半導体基板50の領域毎に、レーザー光Laを照射する範囲と、その範囲の位置座標が計算される。演算部27は、更にレーザー光Laを照射する範囲と、レーザー光Laを照射する範囲において、測定する金属種とを決定し、レーザー光Laを照射する範囲と測定する金属種との組合せデータを作成する。組合せデータは記憶部26に記憶される。
 なお、設定部28に設定される情報は、入力部29を介して入力される。また、例えば、設定部28における分析する金属種の数を設定する工程は、分析する金属種を設定する工程を含んでもよい。分析する金属種とは、上述の分析する金属種の情報と同様に、例えば、Fe、Al、Cu、及びNi等の金属種である。
 なお、分析する金属種が設定されれば、分析する金属種の数が決まる。一方、分析する金属種の数を決めた後に、分析する金属種を設定することもできる。
Next, the semiconductor substrate 50 is transported from the measurement chamber 12b to the analysis chamber 12d by the transport device 16 of the second transport chamber 12c shown in FIG.
Here, the setting unit 28 sets the number of metal species to be analyzed, divides the measurement target region of the semiconductor substrate into regions, and assigns a different metal species to each divided region. At this time, the two-dimensional positional coordinates of each region set on the surface 50a of the semiconductor substrate 50 have been specified.
Based on the two-dimensional positional coordinates of each region, the calculation unit 27 calculates the range to be irradiated with the laser beam La and the positional coordinates of the range for each region of the semiconductor substrate 50 based on the contour information. The calculation unit 27 further determines the range to be irradiated with the laser beam La and the metal type to be measured in the range to be irradiated with the laser beam La, and obtains combination data of the range to be irradiated with the laser beam La and the metal type to be measured. create. The combination data is stored in the storage unit 26.
Note that the information set in the setting section 28 is input via the input section 29. Further, for example, the step of setting the number of metal types to be analyzed in the setting unit 28 may include the step of setting the metal types to be analyzed. The metal species to be analyzed are, for example, metal species such as Fe, Al, Cu, and Ni, similar to the information on the metal species to be analyzed described above.
Note that once the metal species to be analyzed is set, the number of metal species to be analyzed is determined. On the other hand, it is also possible to set the metal types to be analyzed after determining the number of metal types to be analyzed.
 次に、分析室12d内にて、分析部30により、上述の組合せデータに基づいて、分析を行う。分析は、図6に示すように、半導体基板50全体を容器部33内に収納した状態、かつ容器部33内にキャリアガス供給部38からキャリアガス(図示せず)を供給した状態で実施する。分析の際には、上述の組合せデータに基づいて、分析部30のステージ32を駆動してレーザー光Laの照射位置を変えて、半導体基板50の表面50aの領域にレーザー光Laを照射する。
 このとき、図6に示すように、半導体基板50の表面50a上の欠陥51に、レーザー光Laが照射されると、欠陥51へのレーザー光Laの照射により得られる分析試料51aはキャリアガス(図示せず)により配管39をへて分析ユニット36に移動される。キャリアガスにより移動された、欠陥51に由来する分析試料51aは分析ユニット36において、誘導結合プラズマ質量分析がされて、欠陥51の元素が特定される。分析ユニット36では、領域毎に設定された金属種の測定が実施される。このため、領域毎に設定した金属種以外の金属種は測定されない。
 分析方法でも、半導体基板を領域分割し、領域毎に金属種を設定しているため、欠陥51の分析に要する時間を短縮でき、その結果、欠陥の測定時間を短縮できる。また、レーザー光Laを用いたレーザーアブレーション誘導結合プラズマ質量分析法を利用することにより、サイズが小さな欠陥51の分析ができる。
Next, in the analysis chamber 12d, the analysis section 30 performs analysis based on the above-mentioned combination data. As shown in FIG. 6, the analysis is performed with the entire semiconductor substrate 50 housed in the container section 33 and with a carrier gas (not shown) being supplied into the container section 33 from the carrier gas supply section 38. . During the analysis, the stage 32 of the analysis section 30 is driven to change the irradiation position of the laser beam La based on the above combination data, and the region of the surface 50a of the semiconductor substrate 50 is irradiated with the laser beam La.
At this time, as shown in FIG. 6, when the defect 51 on the surface 50a of the semiconductor substrate 50 is irradiated with the laser beam La, the analysis sample 51a obtained by irradiating the defect 51 with the laser beam La is transferred to the carrier gas ( (not shown) via a pipe 39 to an analysis unit 36. The analysis sample 51a originating from the defect 51, which has been moved by the carrier gas, is subjected to inductively coupled plasma mass spectrometry in the analysis unit 36 to identify the element of the defect 51. The analysis unit 36 measures metal species set for each region. Therefore, metal types other than those set for each region are not measured.
Also in the analysis method, since the semiconductor substrate is divided into regions and the metal type is set for each region, the time required to analyze the defect 51 can be shortened, and as a result, the time required to measure the defect can be shortened. Further, by using laser ablation inductively coupled plasma mass spectrometry using laser light La, it is possible to analyze small-sized defects 51.
 分析方法では、分析する工程の前に、クリーニングガスを用いて容器部33内を洗浄する工程を有することが好ましい。洗浄する工程は、具体的には、容器部33内に半導体基板50を搬送する前に、容器部33内にクリーニングガスを供給し、ヒータを用いて容器部33内を加熱して、フラッシング処理を実施する工程である。洗浄する工程により、容器部33内の、例えば、アブレーションされた付着物等の異物、又は吸着ガス等が除去される。 The analysis method preferably includes a step of cleaning the inside of the container part 33 using a cleaning gas before the analysis step. Specifically, in the cleaning step, before transporting the semiconductor substrate 50 into the container section 33, a cleaning gas is supplied into the container section 33, the inside of the container section 33 is heated using a heater, and a flushing process is performed. This is the process of implementing. The cleaning step removes foreign matter such as ablated deposits, adsorbed gas, etc. in the container portion 33 .
 ここで、設定部28は、半導体基板の1つの領域の当たり金属種を4~10に設定することが好ましい。半導体基板の1つの領域の当たり金属種が4~10であれば、測定時間を短縮できる。
 また、設定部28は、半導体基板の1つの領域の当たり金属種を2又は3に設定してもよく、半導体基板の1つの領域の当たり金属種を1に設定してもよい。1つ領域に割り当てる金属種の数が少ないと、測定する金属種が多い場合、領域分割の数を多くする必要がある。この場合、測定する領域数が多くなる。このことから、測定する金属種の数に応じて、半導体基板50の1つ領域に対して割り当てる金属種の数と、領域分割の数とを適宜決定することが好ましい。
 なお、分析方法においても、分析装置10と同様に、半導体基板の1つの領域の当たり金属種を4~10に設定することが好ましい。また、半導体基板の1つの領域の当たり金属種を2又は3に設定してもよく、半導体基板の1つの領域の当たり金属種を1に設定してもよい。
Here, it is preferable that the setting unit 28 sets the number of hit metal types for one region of the semiconductor substrate to 4 to 10. If the number of metal species per region of the semiconductor substrate is 4 to 10, the measurement time can be shortened.
Further, the setting unit 28 may set the winning metal type for one region of the semiconductor substrate to 2 or 3, or may set the winning metal type for one region of the semiconductor substrate to 1. If the number of metal species allocated to one region is small, it is necessary to increase the number of region divisions when there are many metal species to be measured. In this case, the number of areas to be measured increases. For this reason, it is preferable to appropriately determine the number of metal species to be assigned to one region of the semiconductor substrate 50 and the number of region divisions, depending on the number of metal species to be measured.
Note that in the analysis method as well, it is preferable to set the number of metal types per region of the semiconductor substrate to 4 to 10, similarly to the analysis apparatus 10. Furthermore, the number of contact metal types for one region of the semiconductor substrate may be set to two or three, or the number of contact metal types for one region of the semiconductor substrate may be set to one.
 分析装置10及び分析方法では、薬液等を塗布することなく、半導体基板50そのものを測定した場合、半導体基板50の表面50a又は内部の欠陥が分析される。
 ここで、半導体基板50の内部とは、半導体基板50の表面50aから100μm迄の範囲である。
 また、分析装置10及び分析方法では、半導体基板50の表面50aに薬液等を塗布した状態、又は薬液の塗布後に乾燥させた状態で、半導体基板50の表面50aを分析した場合、塗布した薬液の欠陥についても分析される。
In the analysis device 10 and analysis method, when the semiconductor substrate 50 itself is measured without applying a chemical or the like, defects on the surface 50a or inside the semiconductor substrate 50 are analyzed.
Here, the inside of the semiconductor substrate 50 is a range up to 100 μm from the surface 50a of the semiconductor substrate 50.
In addition, in the analyzer 10 and the analysis method, when the surface 50a of the semiconductor substrate 50 is analyzed with a chemical solution etc. applied to the surface 50a of the semiconductor substrate 50, or in a state where the chemical solution is dried after being applied, the applied chemical solution is Defects are also analyzed.
 ここで、図7は本発明の実施形態の分析方法の第1の例を示すフローチャートである。図7では、半導体基板の表面に薬液を塗布した例を説明する。
 以下に説明する分析方法は、上述のように図1に示す分析装置10を用いて実施する。
 まず、分析対象である薬液を用意する(ステップS10)。
 次に、薬液を半導体基板(図示せず)上に塗布する(ステップS12)。
 ステップS12は、半導体基板と薬液とを接触させる工程の一例であり、薬液との接触は特に限定されるものではない。また、薬液の半導体基板への塗布は、特に限定されるものではないが、例えば、コーターデベロッパーが用いられる。
 次に、上述のようにアライメント測定部20により、半導体基板の輪郭情報を得る(ステップS14)。上述のように輪郭情報から測定対象領域の情報を得る。
 次に、誘導結合プラズマ質量分析をする(工程4、ステップS16)が、ステップS16を実施するために、以下に示す設定工程(ステップS15)が実施されている必要がある。
Here, FIG. 7 is a flowchart showing a first example of the analysis method according to the embodiment of the present invention. FIG. 7 describes an example in which a chemical solution is applied to the surface of a semiconductor substrate.
The analysis method described below is carried out using the analysis apparatus 10 shown in FIG. 1 as described above.
First, a chemical solution to be analyzed is prepared (step S10).
Next, a chemical solution is applied onto a semiconductor substrate (not shown) (step S12).
Step S12 is an example of a step of bringing the semiconductor substrate into contact with the chemical liquid, and the contact with the chemical liquid is not particularly limited. Furthermore, although there are no particular limitations on how to apply the chemical solution to the semiconductor substrate, for example, a coater-developer may be used.
Next, as described above, the alignment measuring section 20 obtains contour information of the semiconductor substrate (step S14). As described above, information on the measurement target area is obtained from the contour information.
Next, inductively coupled plasma mass spectrometry is performed (step 4, step S16), but in order to implement step S16, the following setting step (step S15) needs to be performed.
 設定工程(ステップS15)では、領域分割された各領域に金属種を割り当てる。
 詳しくはステップS15では、まず、分析する金属種の数を設定する(工程1、ステップS15a)。設定する金属種の数は、例えば、10である。設定した10種の金属種について、分析する金属種を設定する工程を含でもよい。この場合、設定した金属種の10に対して、分析する金属種が設定される。分析する金属種は、例えば、分析する用途に応じて適宜決定されるものであり、Fe、Al、Cu、及びNi等が選択される。ステップS15aでは、上述のように分析する金属種の数を決めた後に、分析する金属種を設定したが、これに限定されるものではない。分析する金属種を設定することにより、分析する金属種の数が設定されてもよい。
 次に、半導体基板の測定対象領域を領域分割する(工程2、ステップS15b)。ステップS15bでは、領域分割により、半導体基板における測定領域を設定する。領域分割により得られる領域の数は、例えば、予め設定されている。また、分析する金属種の数と領域の数とを予め設定しておき、これに基づいて領域の数を決定してもよい。上述のように金属種の数が10であれば、例えば、領域の数を2とする。領域分割は、例えば、上述の図2~図4に示す形態のいずれかの形態が用いられる。領域の数が2の場合、例えば、円形の半導体基板50を、中心を通る直径に相当する線により、半円の領域に分割する。半円の領域の各領域の情報と、半導体基板の測定対象領域の情報とに基づいて、半導体基板50において、レーザー光を照射する範囲と、その範囲の位置座標とを計算する。
In the setting step (step S15), a metal type is assigned to each divided region.
Specifically, in step S15, first, the number of metal species to be analyzed is set (process 1, step S15a). The number of metal species to be set is, for example, 10. The method may also include a step of setting metal types to be analyzed among the set 10 metal types. In this case, the metal type to be analyzed is set for the set 10 metal types. The metal species to be analyzed is appropriately determined depending on the application to be analyzed, and Fe, Al, Cu, Ni, etc. are selected. In step S15a, after determining the number of metal types to be analyzed as described above, the metal types to be analyzed are set, but the present invention is not limited to this. By setting the metal species to be analyzed, the number of metal species to be analyzed may be set.
Next, the measurement target region of the semiconductor substrate is divided into regions (Step 2, Step S15b). In step S15b, a measurement area on the semiconductor substrate is set by area division. For example, the number of regions obtained by region division is set in advance. Alternatively, the number of metal species to be analyzed and the number of regions may be set in advance, and the number of regions may be determined based on these. If the number of metal species is 10 as described above, the number of regions is, for example, 2. For region division, for example, any of the forms shown in FIGS. 2 to 4 described above is used. When the number of regions is two, for example, the circular semiconductor substrate 50 is divided into semicircular regions by a line passing through the center and corresponding to the diameter. Based on the information on each region of the semicircular region and the information on the measurement target region of the semiconductor substrate, the range to be irradiated with laser light and the position coordinates of the range are calculated on the semiconductor substrate 50.
 次に、領域分割された各領域に、異なる金属種を割り当てる(工程3、ステップS15c)。ステップS15cでは、例えば、2つの領域の各領域に、それぞれ10種の金属種のうち、5種ずつ金属種を設定する。この場合、2つの各領域では、それぞれ異なる金属種が設定されており、各領域で重複する金属種はない。すなわち、各領域に完全に異なる金属種が割り当てられている。
 これにより、レーザー光Laを照射する範囲と、測定する金属種とが決定され、レーザー光Laを照射する範囲と測定する金属種との組合せデータを得る。組合せデータは記憶部26に記憶させる。
 上述の領域分割された各領域に、異なる金属種を割り当てるステップS15c(工程3)は、領域分割された各領域に、それぞれ異なる金属種を割り当てているが、各領域で金属種が重複しないように金属種を割り当ててもよく、各領域で金属種が完全一致することを除いて金属種の一部を重複して割り当ててもよい。
 金属種が重複する場合、各領域にそれぞれ10種の金属種のうち、5種ずつ金属種を設定するのではなく、例えば、それぞれ10種の金属種のうち、2種を重複させて、6種ずつ金属種を設定してもよい。なお、重複する金属種の数は、特に限定されるものではないが、重複する金属種が多いと、1領域あたりの金属種が増えるため、分析する金属種の数の35%以下であることが好ましい。
Next, a different metal type is assigned to each divided region (Step 3, Step S15c). In step S15c, for example, five metal types out of ten metal types are set in each of the two areas. In this case, different metal types are set in each of the two regions, and there is no overlapping metal type in each region. That is, each region is assigned a completely different metal type.
As a result, the range to be irradiated with the laser beam La and the metal type to be measured are determined, and the combination data of the range to be irradiated with the laser beam La and the metal type to be measured is obtained. The combination data is stored in the storage unit 26.
In step S15c (step 3) of allocating different metal types to each of the divided regions described above, different metal types are assigned to each of the divided regions, but the metal types are not overlapped in each region. A metal type may be assigned to the region, or a portion of the metal species may be assigned redundantly, except that the metal species completely match in each region.
When metal types overlap, instead of setting 5 metal types out of 10 metal types in each area, for example, overlap 2 out of 10 metal types in each area, and set 6 metal types. The metal type may be set for each type. Note that the number of overlapping metal species is not particularly limited, but if there are many overlapping metal species, the number of metal species per area increases, so it should be 35% or less of the number of metal species to be analyzed. is preferred.
 ステップS15では、上述のようにステップS15a(工程1)~S15c(工程3)の順で、領域分割された各領域に割り当てられた金属種の情報、すなわち、上述の組合せデータを得ているが、組合せデータを得ることできれば、ステップS15a~S15cの順序に限定されるものではない。例えば、ステップS15b(工程2)、ステップS15a(工程1)、ステップS15c(工程3)の順でもよく、ステップS15b(工程2)、ステップS15c(工程3)、ステップS15a(工程1)の順でもよい。
 また、上述のステップS15a(工程1)~S15c(工程3)を利用して組合せデータを得ることなく、分析する金属種の数と、測定対象領域の領域分割と、領域分割された各領域に割り当てられる金属種とを含む設定情報を、誘導結合プラズマ質量分析(工程4、ステップS16)に利用してもよい。なお、設定情報は、上述のように分析する金属種の情報を含んでいてもよい。
 なお、設定情報における領域分割された各領域に割り当てられる金属種としては、上述の領域分割された各領域に、異なる金属種を割り当てるステップS15c(工程3)と同様に、領域分割された各領域では、それぞれ異なる金属種が設定されているが、各領域で金属種が重複しないように金属種を割り当ててもよく、各領域で金属種が完全一致することを除いて金属種の一部を重複して割り当ててもよい。
 また、輪郭情報についても、測定することに限定されるものではなく、予め測定された半導体基板の輪郭形状の測定値を利用してもよい。
In step S15, as described above, information on the metal species assigned to each divided region is obtained in the order of steps S15a (step 1) to S15c (step 3), that is, the above-mentioned combination data. , the order of steps S15a to S15c is not limited as long as the combination data can be obtained. For example, the order may be step S15b (process 2), step S15a (process 1), and step S15c (process 3), or the order of step S15b (process 2), step S15c (process 3), and step S15a (process 1). good.
In addition, without obtaining combination data using steps S15a (step 1) to S15c (step 3) described above, it is possible to determine the number of metal species to be analyzed, the region division of the measurement target region, and the division of each region. Setting information including the assigned metal species may be used for inductively coupled plasma mass spectrometry (Step 4, Step S16). Note that the setting information may include information on the metal species to be analyzed as described above.
Note that the metal type assigned to each divided area in the setting information is similar to step S15c (step 3) in which a different metal type is assigned to each divided area described above. In this example, different metal types are set for each area, but you can also assign metal types so that the metal types do not overlap in each area. Duplicate allocations may be made.
Further, the contour information is not limited to measurement, and a previously measured value of the contour shape of the semiconductor substrate may be used.
 次に、領域分割された各領域に割り当てられた金属種の情報、すなわち、上述の組合せデータに基づいて、ステージ32を駆動しステージ32の位置を調整して、半導体基板の表面の領域毎にレーザー光を照射して、照射により得られる分析試料をキャリアガスで回収して誘導結合プラズマ質量分析をする(工程4、ステップS16)。ステップS16(工程4)の誘導結合プラズマ質量分析により、領域毎に設定した金属種の分析がなされ、欠陥の金属元素が特定される。また、微小な欠陥のサイズも特定される。誘導結合プラズマ質量分析により、薬液における欠陥の質量分析データが得られる。薬液の質量分析データは、誘導結合プラズマ質量分析により特定される欠陥の元素の情報と、欠陥のサイズの情報とを含む。このようにして薬液を検査でき、薬液の検査方法では、薬液中の微小異物の分析が可能である。 Next, the stage 32 is driven and the position of the stage 32 is adjusted based on the information on the metal type assigned to each divided region, that is, the above-mentioned combination data. A laser beam is irradiated, and an analysis sample obtained by the irradiation is collected with a carrier gas and subjected to inductively coupled plasma mass spectrometry (Step 4, Step S16). The metal species set for each region is analyzed by inductively coupled plasma mass spectrometry in step S16 (process 4), and the metal element of the defect is identified. The size of minute defects is also determined. Inductively coupled plasma mass spectrometry provides mass spectrometry data for defects in chemical solutions. The mass spectrometry data of the chemical solution includes information on the element of the defect identified by inductively coupled plasma mass spectrometry and information on the size of the defect. In this way, the chemical liquid can be tested, and the method for testing the chemical liquid allows analysis of minute foreign substances in the chemical liquid.
 なお、図7では、半導体基板の表面に薬液を塗布した例を説明したが、薬液を塗布しなければ、上述のように半導体基板の表面又は内部の欠陥が分析される。半導体基板の表面又は内部の欠陥を分析する場合、後述のステップS10と、ステップS12とをスキップする。
 半導体基板の表面又は内部の欠陥を分析した場合、誘導結合プラズマ質量分析により、半導体基板の表面又は内部における欠陥の質量分析データが得られる。この質量分析データは、誘導結合プラズマ質量分析により特定される欠陥の元素の情報と、欠陥のサイズの情報とを含む。
Although FIG. 7 describes an example in which a chemical solution is applied to the surface of the semiconductor substrate, if the chemical solution is not applied, defects on the surface or inside of the semiconductor substrate will be analyzed as described above. When analyzing defects on the surface or inside of a semiconductor substrate, step S10 and step S12, which will be described later, are skipped.
When defects on the surface or inside of a semiconductor substrate are analyzed, mass spectrometry data of the defects on the surface or inside of the semiconductor substrate can be obtained by inductively coupled plasma mass spectrometry. This mass spectrometry data includes information on the element of the defect identified by inductively coupled plasma mass spectrometry and information on the size of the defect.
 分析装置及び分析方法では、微小な欠陥の元素を特定でき、これにより、微小異物を測定でき、さらには薬液の検査もできる。
 誘導結合プラズマ質量分析のために、薬液を半導体基板に塗布して、薬液中の微小異物を分析できる。誘導結合プラズマ質量分析では、薬液は半導体基板上にある状態でもよく、薬液を半導体基板に塗布した後に薬液中に含まれる溶媒を揮発又は蒸発させて薬液中に含まれる溶媒が半導体基板上にない状態で、誘導結合プラズマ質量分析を実施してもよい。
With the analysis device and analysis method, it is possible to identify the element of a minute defect, thereby making it possible to measure minute foreign matter and even inspecting a chemical solution.
For inductively coupled plasma mass spectrometry, a chemical solution is applied to a semiconductor substrate, and minute foreign particles in the chemical solution can be analyzed. In inductively coupled plasma mass spectrometry, the chemical solution may be on the semiconductor substrate, and after the chemical solution is applied to the semiconductor substrate, the solvent contained in the chemical solution is volatilized or evaporated so that the solvent contained in the chemical solution is not on the semiconductor substrate. Inductively coupled plasma mass spectrometry may be performed in this state.
 また、分析装置10では、分析装置10とは異なる別の装置、例えば、輪郭測定装置70(図1参照)により、半導体基板50の表面50aの輪郭を測定して得られた、半導体基板50の表面50aの輪郭情報及び測定対象領域の情報を用いることができる。この輪郭情報には、半導体基板50にノッチ、オリエンテーションフラット又はアライメントマークがある場合には、ノッチ、オリエンテーションフラット又はアライメントマークの位置の情報が含まれる。上述のように輪郭情報から半導体基板50の中心位置の情報も得られる。
 輪郭測定装置70が取得した輪郭情報及び測定対象領域の情報を記憶部26に供給する。更には、輪郭測定装置70により、輪郭情報が取得された半導体基板50が、例えば、収納容器13に収納されて分析装置10に搬送される。半導体基板50を、第1搬送室12a、測定室12b、及び第2搬送室12cを経て分析室12dに搬送する。
 演算部27では、測定対象領域の情報に基づく半導体基板50の領域毎に、レーザー光Laを照射する範囲と、レーザー光Laを照射する範囲において、測定する金属種とを決定し、レーザー光Laを照射する範囲と測定する金属種との組合せデータを得る。組合せデータは記憶部26に記憶される。
 組合せデータに基づいて、ステージ32を用いて半導体基板50を移動させ、半導体基板50の表面50aの領域毎にレーザー光Laを照射する。欠陥51へのレーザー光Laの照射により得られる分析試料51a(図6参照)をキャリアガスにより分析ユニット36に移動される。キャリアガスにより移動された、欠陥51に由来する分析試料51aは分析ユニット36において、誘導結合プラズマ質量分析がされる。このとき、欠陥51の元素が設定された金属種であれば、特定されるが、設定された金属種ではない場合、元素は特定されない。
In addition, in the analyzer 10, the contour of the surface 50a of the semiconductor substrate 50 is measured using another device different from the analyzer 10, for example, the contour measuring device 70 (see FIG. 1). Contour information on the surface 50a and information on the measurement target area can be used. If the semiconductor substrate 50 has a notch, orientation flat, or alignment mark, this contour information includes information on the position of the notch, orientation flat, or alignment mark. As described above, information on the center position of the semiconductor substrate 50 can also be obtained from the contour information.
The contour information and measurement target area information acquired by the contour measuring device 70 are supplied to the storage unit 26 . Furthermore, the semiconductor substrate 50 whose contour information has been acquired by the contour measuring device 70 is stored in, for example, a storage container 13 and transported to the analysis device 10 . The semiconductor substrate 50 is transported to the analysis chamber 12d via the first transport chamber 12a, the measurement chamber 12b, and the second transport chamber 12c.
The calculation unit 27 determines the range to be irradiated with the laser beam La and the type of metal to be measured in the range to be irradiated with the laser beam La for each area of the semiconductor substrate 50 based on the information of the measurement target area, and determines the type of metal to be measured in the range to be irradiated with the laser beam La. Obtain data on the combination of the irradiation range and the metal species to be measured. The combination data is stored in the storage unit 26.
Based on the combination data, the semiconductor substrate 50 is moved using the stage 32, and each region of the surface 50a of the semiconductor substrate 50 is irradiated with laser light La. An analysis sample 51a (see FIG. 6) obtained by irradiating the defect 51 with the laser beam La is moved to the analysis unit 36 by a carrier gas. The analysis sample 51a originating from the defect 51, which has been moved by the carrier gas, is subjected to inductively coupled plasma mass spectrometry in the analysis unit 36. At this time, if the element of the defect 51 is the set metal type, it is specified, but if it is not the set metal type, the element is not specified.
 上述のように輪郭測定装置70(図1参照)により測定された輪郭情報及び測定対象領域の情報を用いて、欠陥51を分析する場合、アライメント測定部20の測定が不要になる。なお、分析装置10では図1に示す輪郭測定装置70を設けない構成でもよいことはもちろんである。
 なお、記憶部26に供給される輪郭情報は、輪郭測定装置70(図1参照)により測定されたものに特に限定されるものではない。輪郭測定装置70は、例えば、半導体基板の輪郭情報を記憶する記憶部(図示せず)を有してもよい。また、輪郭測定装置70は、アライメント測定部20(図1参照)と同様の構成を有するものでもよい。このため、輪郭測定装置70は、例えば、半導体基板50の表面50a上に光Lsを入射させる光源23と、半導体基板50の表面50aを撮像する撮像部24とを有する構成でもよい。
 また、上述の設定情報を利用する場合、分析装置10の設定部28は必ずしも必要ではない。
When analyzing the defect 51 using the contour information measured by the contour measuring device 70 (see FIG. 1) and the information of the measurement target area as described above, the measurement by the alignment measuring section 20 becomes unnecessary. It goes without saying that the analyzer 10 may have a configuration in which the contour measuring device 70 shown in FIG. 1 is not provided.
Note that the contour information supplied to the storage unit 26 is not particularly limited to that measured by the contour measuring device 70 (see FIG. 1). The contour measuring device 70 may include, for example, a storage section (not shown) that stores contour information of the semiconductor substrate. Further, the contour measuring device 70 may have the same configuration as the alignment measuring section 20 (see FIG. 1). Therefore, the contour measuring device 70 may have a configuration including, for example, a light source 23 that makes light Ls incident on the surface 50a of the semiconductor substrate 50, and an imaging section 24 that images the surface 50a of the semiconductor substrate 50.
Moreover, when using the above-mentioned setting information, the setting unit 28 of the analyzer 10 is not necessarily required.
[分析装置の第2の例]
 図8は本発明の実施形態の分析装置の第2の例を示す模式図である。なお、図8において、図1に示す分析装置10と同一構成物には同一符号を付して、その詳細な説明は省略する。
 図8に示す分析装置10aは、図1に示す分析装置10に比して、第2搬送室12c及び搬送装置16がない点と、アライメント測定部20と分析部30とが1つの処理室12e内に設けられている点とが異なり、それ以外の構成は、図1に示す分析装置10と同様の構成である。
[Second example of analyzer]
FIG. 8 is a schematic diagram showing a second example of the analyzer according to the embodiment of the present invention. In FIG. 8, the same components as those of the analyzer 10 shown in FIG. 1 are given the same reference numerals, and detailed explanation thereof will be omitted.
The analyzer 10a shown in FIG. 8 differs from the analyzer 10 shown in FIG. The other configuration is the same as that of the analyzer 10 shown in FIG.
 分析装置10aでは、容器部33内に半導体基板50全体が収納された状態で、輪郭情報の取得と、分析とが実施される。
 分析部30において、光源部34は、レーザー光Laの光軸が半導体基板50の表面50aに対して傾けて配置されている。
 分析装置10aでは、アライメント測定部20と分析部30とを1つの処理室12e内に設けることにより、図1に示す分析装置10に比して、装置を小型化することができる。
 また、半導体基板50全体を容器部33内に収納した状態で、アライメント測定部20による表面欠陥の測定と、分析部30による誘導結合プラズマ質量分析をできる構成とすることにより、半導体基板50の搬送が減り、半導体基板50の表面50aの汚染を更に抑制できる。これにより、半導体基板50の表面50aの欠陥の測定精度をより高くでき、更に分析装置10aの処理室12e内の汚染も抑制できる。
 上述のように、分析装置10、10a以外の装置、例えば、輪郭測定装置70で測定された輪郭情報を用いる場合、分析装置10、10aにおいて、アライメント測定部は必ずしも必要ではなく、分析装置としてはアライメント測定部がない構成でもよい。この場合、分析装置10、10aは分析部30(図1参照)だけを有する構成となる。この場合、分析装置10、10aと、輪郭測定装置70とは、別々の装置であり、一体ではない。
In the analysis device 10a, acquisition and analysis of contour information are performed with the entire semiconductor substrate 50 housed in the container section 33.
In the analysis section 30, the light source section 34 is arranged such that the optical axis of the laser beam La is inclined with respect to the surface 50a of the semiconductor substrate 50.
In the analyzer 10a, by providing the alignment measurement section 20 and the analysis section 30 in one processing chamber 12e, the analyzer 10a can be made smaller than the analyzer 10 shown in FIG.
Further, by adopting a configuration in which the alignment measuring section 20 can measure surface defects and the analyzing section 30 can perform inductively coupled plasma mass spectrometry while the entire semiconductor substrate 50 is housed in the container section 33, the semiconductor substrate 50 can be transported. Therefore, contamination of the surface 50a of the semiconductor substrate 50 can be further suppressed. Thereby, the accuracy of measuring defects on the surface 50a of the semiconductor substrate 50 can be improved, and furthermore, the contamination in the processing chamber 12e of the analysis device 10a can be suppressed.
As mentioned above, when using contour information measured by a device other than the analyzers 10, 10a, for example, the contour measuring device 70, the alignment measuring section is not necessarily required in the analyzers 10, 10a, and the analyzer A configuration without an alignment measuring section may also be used. In this case, the analyzers 10 and 10a have only the analyzer 30 (see FIG. 1). In this case, the analysis devices 10, 10a and the contour measuring device 70 are separate devices and are not integrated.
[半導体基板]
 半導体基板は、特に限定されるものではなく、シリコン(Si)基板、サファイア基板、SiC基板、GaP基板、GaAs基板、InP基板、又はGaN基板等の各種の半導体基板を用いることができる。半導体基板としては、シリコンの半導体基板が多く利用されている。
 また、半導体基板のサイズは、特に限定されるものではないが、誘導結合プラズマ質量分析を実施する装置の仕様等に応じて適宜決定されるものである。また、薬液の分析を行う場合、半導体基板に薬液を塗布する塗布装置の仕様等も考慮して、半導体基板のサイズが適宜決定される。
[Semiconductor substrate]
The semiconductor substrate is not particularly limited, and various semiconductor substrates such as a silicon (Si) substrate, a sapphire substrate, a SiC substrate, a GaP substrate, a GaAs substrate, an InP substrate, or a GaN substrate can be used. Silicon semiconductor substrates are often used as semiconductor substrates.
Further, the size of the semiconductor substrate is not particularly limited, but is appropriately determined depending on the specifications of the apparatus for performing inductively coupled plasma mass spectrometry. Furthermore, when analyzing a chemical solution, the size of the semiconductor substrate is appropriately determined in consideration of the specifications of a coating device that applies the chemical solution to the semiconductor substrate.
[薬液の管理方法]
 上述の分析方法を、薬液の管理方法に利用することができる。薬液の管理方法に、誘導結合プラズマ質量分析の結果を利用する。
 また、例えば、薬液の管理方法では、予め欠陥の質量分析データの予め設定された基準データに対する許容範囲を設定しておく。薬液に対して、上述の薬液の管理方法により、薬液の欠陥を測定し、欠陥の質量分析データを得る。測定された薬液の欠陥の質量分析データと、予め設定された基準データとを比較して、質量分析データが許容範囲内であるかどうかを判定する。質量分析データが許容範囲内であるものを合格とし、製品とする。一方、質量分析データが許容範囲外のものを不合格とし、製品としない。薬液の基準データの許容範囲は、例えば、データ取得間隔を1msecとした場合、1×10cps(count per second)以上のFe(質量数56)のピーク数が100個/(12インチウエハ面積(706.5cm))以下である。この薬液の基準データの許容範囲が判定基準として用いられる。
 図9は本発明の実施形態の薬液の管理方法の一例を示すフローチャートである。なお、薬液の管理方法において、上述の分析方法と同一工程については、その詳細な説明は省略する。
 図9に示す薬液の管理方法は、上述の分析方法に比して、管理対象である薬液を用意する(ステップS20)点、誘導結合プラズマ質量分析(ステップS26)で得られた質量分析データが許容範囲内であるかどうかを判定する工程(ステップS28)を有する点、上述の判定工程に基づいて合格(ステップS29)、又は不合格(ステップS30)に分ける点以外は、薬液の検査方法と同様の工程を有する。
 まず、管理対象である薬液を用意する(ステップS20)。薬液を半導体基板の表面上に塗布する(ステップS22)。次に、半導体基板の輪郭情報を取得する(ステップS24)。
 なお、上述のステップS20、S22、及びS24は、上述の図7に示すステップS10、S12、及びS14と同じ工程であるため、その詳細な説明は省略する。
 また、設定工程(ステップS25)により、領域分割された各領域に金属種が割り当てられ、設定部28に設定される。薬液の管理方法における設定工程(ステップS25)は、上述の設定工程(ステップS15)と同じ工程であるため、その詳細な説明は省略する。ステップS25a、S25b及びS25cは、上述のステップS15a、S15b及びS15cと同様の工程である。このため、上述の領域分割された各領域に金属種が割り当てられる際には、ステップS15cと同様に、各領域で金属種が重複しないように金属種を割り当ててもよく、各領域で金属種が完全一致することを除いて金属種の一部を重複して割り当ててもよい。
 設定工程(ステップS25)は、上述の設定工程(ステップS15)と同様に組合せデータを得ている。
 次に、誘導結合プラズマ質量分析(ステップS26)を実施する。この誘導結合プラズマ質量分析(ステップS26)も、上述の誘導結合プラズマ質量分析(ステップS16)と同じ工程であるため、その詳細な説明は省略する。誘導結合プラズマ質量分析(ステップS26)では、上述の分析方法と同様に組合せデータを利用する。
 次に、誘導結合プラズマ質量分析(ステップS26)で得られた質量分析データを基準データと比較して許容範囲内であるかどうかを判定する(ステップS28)。ステップS28のことを判定工程ともいう。
[How to manage chemical solutions]
The above-mentioned analysis method can be used as a method for managing chemical solutions. The results of inductively coupled plasma mass spectrometry are used in the management of chemical solutions.
Further, for example, in a chemical solution management method, an allowable range of mass spectrometry data of defects with respect to preset reference data is set in advance. Defects in the chemical liquid are measured using the above-described chemical liquid management method, and mass spectrometry data of the defects is obtained. The measured mass spectrometry data of defects in the chemical solution is compared with preset reference data to determine whether the mass spectrometry data is within an acceptable range. Those whose mass spectrometry data is within the allowable range are considered to be passed and manufactured as products. On the other hand, if the mass spectrometry data is outside the acceptable range, it is rejected and the product is not manufactured. For example, when the data acquisition interval is 1 msec, the allowable range of standard data for chemical solutions is as follows: If the data acquisition interval is 1 msec, the number of Fe (mass number 56) peaks of 1 x 10 7 cps (count per second) or more is 100/(12 inch wafer area). (706.5 cm 2 )) or less. The allowable range of the standard data of this chemical solution is used as the determination standard.
FIG. 9 is a flowchart illustrating an example of the chemical solution management method according to the embodiment of the present invention. In addition, in the method for managing a chemical solution, detailed explanations of the same steps as those in the above-mentioned analysis method will be omitted.
The chemical solution management method shown in FIG. 9 is different from the above-mentioned analysis method in that the chemical solution to be managed is prepared (step S20), and the mass spectrometry data obtained by inductively coupled plasma mass spectrometry (step S26) is This is a method for testing chemical solutions, except that it includes a step of determining whether or not it is within the allowable range (step S28), and that it is divided into pass (step S29) or fail (step S30) based on the above-mentioned determination step. It has similar steps.
First, a chemical solution to be managed is prepared (step S20). A chemical solution is applied onto the surface of the semiconductor substrate (step S22). Next, contour information of the semiconductor substrate is acquired (step S24).
Note that steps S20, S22, and S24 described above are the same steps as steps S10, S12, and S14 shown in FIG. 7 described above, so detailed explanation thereof will be omitted.
Further, in the setting step (step S25), a metal type is assigned to each divided region and set in the setting section 28. The setting step (step S25) in the chemical solution management method is the same step as the above-mentioned setting step (step S15), so a detailed explanation thereof will be omitted. Steps S25a, S25b, and S25c are the same steps as steps S15a, S15b, and S15c described above. For this reason, when a metal type is assigned to each of the above-mentioned area-divided areas, the metal type may be assigned so that the metal types do not overlap in each area, similarly to step S15c. A portion of the metal species may be assigned redundantly, except that they are a perfect match.
In the setting step (step S25), combination data is obtained in the same way as in the above-mentioned setting step (step S15).
Next, inductively coupled plasma mass spectrometry (step S26) is performed. This inductively coupled plasma mass spectrometry (step S26) is also the same process as the above-described inductively coupled plasma mass spectrometry (step S16), so detailed explanation thereof will be omitted. In inductively coupled plasma mass spectrometry (step S26), combined data is used in the same way as in the above analysis method.
Next, the mass spectrometry data obtained by inductively coupled plasma mass spectrometry (step S26) is compared with reference data to determine whether it is within an allowable range (step S28). Step S28 is also referred to as a determination step.
 薬液の管理方法では、薬液の質量分析データに対して、予め基準データを設定し、許容範囲を設定しておく。薬液の質量分析データの基準データに対する許容範囲は、例えば、対象となる薬液の前回の製造ロットの薬液に基づいて設定されるが、これに限定されるものではなく、許容範囲は、目標値でも設定値でもよく、複数の製造ロットの平均値でもよい。薬液の質量分析データの基準データに対する許容範囲は、上述の通りである。
 ステップS28は、上述のステップS26で得られた質量分析データと、基準データとを比較する。ステップS28において、例えば、測定された薬液の質量分析データが、許容範囲内であれば、薬液を合格品とする(ステップS29)。
 一方、ステップS28において、薬液の質量分析データが許容範囲外であれば、薬液を不合格品とする(ステップS30)。このように薬液中の欠陥により、薬液の品質を管理することができる。薬液の管理方法では、薬液中に含まれる微小異物が微量である場合でも、薬液の品質管理が可能である。
In the chemical solution management method, standard data is set in advance for the mass spectrometry data of the drug solution, and an allowable range is set. The tolerance range for the mass spectrometry data of the chemical solution with respect to the standard data is set, for example, based on the drug solution of the previous manufacturing lot of the target drug solution, but is not limited to this, and the tolerance range can be set based on the target value or the target value. It may be a set value or an average value of multiple production lots. The allowable range of the mass spectrometry data of the chemical solution with respect to the standard data is as described above.
Step S28 compares the mass spectrometry data obtained in step S26 described above with reference data. In step S28, for example, if the measured mass spectrometry data of the chemical liquid is within an acceptable range, the chemical liquid is determined to be an acceptable product (step S29).
On the other hand, if the mass spectrometry data of the chemical liquid is outside the allowable range in step S28, the chemical liquid is determined to be a rejected product (step S30). In this way, the quality of the chemical solution can be controlled based on defects in the drug solution. With the chemical solution management method, it is possible to control the quality of the chemical solution even when the amount of minute foreign matter contained in the drug solution is minute.
 上述の分析方法と同様に、上述の設定工程25を利用して組合せデータを得ることなく、分析する金属種の数と、測定対象領域の領域分割と、領域分割された各領域に割り当てられる金属種とを含む設定情報を、誘導結合プラズマ質量分析(ステップS26)に利用してもよい。
 なお、薬液の管理方法は、上述の薬液に代えてレジスト組成物とすることにより、レジスト組成物の管理方法に適用できる。レジスト組成物の場合、半導体基板の表面上に形成されたレジスト組成物の塗膜の欠陥を分析する。
Similar to the above-mentioned analysis method, the number of metal species to be analyzed, the region division of the measurement target region, and the metals assigned to each divided region can be determined without obtaining combination data using the above-mentioned setting step 25. The setting information including the species may be used for inductively coupled plasma mass spectrometry (step S26).
Note that the method for managing a chemical solution can be applied to a method for managing a resist composition by using a resist composition instead of the above-mentioned chemical solution. In the case of a resist composition, defects in a coating film of the resist composition formed on the surface of a semiconductor substrate are analyzed.
[レジスト組成物の管理方法]
 上述の分析方法を、レジスト組成物の管理方法に利用することができる。レジスト組成物の管理方法に、誘導結合プラズマ質量分析の結果を利用する。レジスト組成物の管理方法では、半導体基板の表面上に形成されたレジスト組成物の塗膜の欠陥を分析する。
 また、例えば、レジスト組成物の管理方法では、予め欠陥の質量分析データの予め設定された基準データに対する許容範囲を設定しておく。レジスト組成物に対して、上述のレジスト組成物の管理方法により、レジスト組成物の欠陥を測定し、欠陥の質量分析データを得る。測定された薬液の欠陥の質量分析データと、予め設定された基準データとを比較して、質量分析データが許容範囲内であるかどうかを判定する。質量分析データが許容範囲内であるものを合格とし、製品とする。一方、質量分析データが許容範囲外のものを不合格とし、製品としない。レジスト組成物の基準データの許容範囲は、例えば、データ取得間隔を1msecとした場合、1×10cps(count per second)以上のFe(質量数56)のピーク数が100個/(12インチウエハ面積(706.5cm))以下である。この薬液の基準データの許容範囲が判定基準として用いられる。
[Management method of resist composition]
The above analysis method can be used as a method for managing resist compositions. The results of inductively coupled plasma mass spectrometry are used in a resist composition management method. In the resist composition management method, defects in a coating film of a resist composition formed on the surface of a semiconductor substrate are analyzed.
Further, for example, in a resist composition management method, an allowable range is set in advance for mass spectrometry data of defects with respect to preset reference data. Defects in the resist composition are measured using the above-described resist composition management method to obtain mass spectrometry data of the defects. The measured mass spectrometry data of defects in the chemical solution is compared with preset reference data to determine whether the mass spectrometry data is within an acceptable range. Those whose mass spectrometry data is within the allowable range are considered to be passed and manufactured as products. On the other hand, if the mass spectrometry data is outside the acceptable range, it is rejected and the product is not manufactured. For example, when the data acquisition interval is 1 msec, the allowable range of the standard data of the resist composition is 100 peaks of Fe (mass number 56) of 1×10 7 cps (count per second) or more/(12 inches). wafer area (706.5 cm 2 )). The allowable range of the standard data of this chemical solution is used as the determination standard.
 図10は本発明の実施形態のレジスト組成物の管理方法の一例を示すフローチャートである。なお、レジスト組成物の管理方法において、上述の薬液の管理方法と同一工程については、その詳細な説明は省略する。
 図10に示すレジスト組成物の管理方法は、薬液の管理方法に比して、管理対象であるレジスト組成物を用意する(ステップS40)点が異なる。また、レジスト組成物を半導体基板に塗布し(ステップS42)、塗布した後に膜が形成されて、半導体基板上にレジスト組成物の塗膜を形成する点が異なる。これら以外は、レジスト組成物の管理方法は、薬液の管理方法と同様の工程を有する。
 レジスト組成物の半導体基板への塗布は、特に限定されるものではないが、例えば、コーターデベロッパーが用いられる。
 半導体基板の輪郭情報を取得する工程(ステップS44)は、上述の図9に示すステップS24と同じ工程であるため、その詳細な説明は省略する。
 また、設定工程(ステップS45)により、領域分割された各領域に金属種が割り当てられ、設定部28に設定される。レジスト組成物の管理方法における設定工程(ステップS45)は、上述の設定工程(ステップS25)と同じ工程であるため、その詳細な説明は省略する。ステップS45a、S45b及びS45cは、上述のステップS25a、S25b及びS25cと同様の工程である。このため、上述の領域分割された各領域に金属種が割り当てられることには、ステップS25c、すなわち、ステップS15cと同様に、各領域で金属種が重複しないように金属種を割り当ててもよく、各領域で金属種が完全一致することを除いて金属種の一部を重複して割り当ててもよい。
 設定工程(ステップS45)は、上述の設定工程(ステップS25)と同様に組合せデータを得ている。
 次に、誘導結合プラズマ質量分析(ステップS46)を実施する。この誘導結合プラズマ質量分析(ステップS46)も、上述の誘導結合プラズマ質量分析(ステップS26)と同じ工程であるため、その詳細な説明は省略する。誘導結合プラズマ質量分析(ステップS26)では、上述の薬液の管理方法及び分析方法と同様に組合せデータを利用する。
 次に、誘導結合プラズマ質量分析(ステップS46)で得られた質量分析データを基準データと比較して許容範囲内であるかどうかを判定する(ステップS48)。ステップS48のことを判定工程ともいう。ステップS48も、上述の薬液の管理方法のステップS28と同様の工程である。
FIG. 10 is a flowchart showing an example of a resist composition management method according to an embodiment of the present invention. In addition, in the resist composition management method, detailed explanations of the same steps as the above-mentioned chemical solution management method will be omitted.
The resist composition management method shown in FIG. 10 differs from the chemical solution management method in that a resist composition to be managed is prepared (step S40). Another difference is that the resist composition is applied to the semiconductor substrate (step S42), and a film is formed after the application, thereby forming a coating film of the resist composition on the semiconductor substrate. Other than these, the resist composition management method has the same steps as the chemical solution management method.
Application of the resist composition to the semiconductor substrate is not particularly limited, and for example, a coater-developer may be used.
The step of acquiring the contour information of the semiconductor substrate (step S44) is the same step as step S24 shown in FIG. 9 described above, so a detailed explanation thereof will be omitted.
Further, in the setting step (step S45), a metal type is assigned to each divided region and set in the setting section 28. The setting step (step S45) in the resist composition management method is the same step as the above-mentioned setting step (step S25), so detailed explanation thereof will be omitted. Steps S45a, S45b, and S45c are the same steps as steps S25a, S25b, and S25c described above. Therefore, in assigning a metal type to each of the divided regions described above, in step S25c, similarly to step S15c, metal types may be assigned so that the metal types do not overlap in each region. Unless the metal types completely match in each region, some of the metal types may be assigned redundantly.
In the setting step (step S45), combination data is obtained in the same manner as in the above-mentioned setting step (step S25).
Next, inductively coupled plasma mass spectrometry (step S46) is performed. This inductively coupled plasma mass spectrometry (step S46) is also the same process as the above-described inductively coupled plasma mass spectrometry (step S26), so detailed explanation thereof will be omitted. In inductively coupled plasma mass spectrometry (step S26), combined data is used in the same way as the above-mentioned chemical solution management method and analysis method.
Next, the mass spectrometry data obtained by inductively coupled plasma mass spectrometry (step S46) is compared with reference data to determine whether it is within an allowable range (step S48). Step S48 is also referred to as a determination step. Step S48 is also a step similar to step S28 of the above-described chemical solution management method.
 レジスト組成物の管理方法においても、薬液の管理方法と同様に、レジスト組成物の塗膜の質量分析データに対して、予め基準データを設定し、許容範囲を設定しておく。レジスト組成物の塗膜の質量分析データの基準データに対する許容範囲は、例えば、対象となるレジスト組成物の前回の製造ロットのレジスト組成物に基づいて設定されるが、これに限定されるものではなく、許容範囲は、目標値でも設定値でもよく、複数の製造ロットの平均値でもよい。レジスト組成物の塗膜の質量分析データの基準データに対する許容範囲は、上述の通りである。
 ステップS48は、上述のステップS46で得られた質量分析データと、基準データとを比較する。ステップS48において、例えば、測定されたレジスト組成物の塗膜の質量分析データが、許容範囲内であれば、レジスト組成物を合格品とする(ステップS49)。
 一方、ステップS48において、レジスト組成物の質量分析データが許容範囲外であれば、レジスト組成物を不合格品とする(ステップS50)。このようにレジスト組成物の塗膜中の欠陥により、レジスト組成物の品質を管理することができる。レジスト組成物の管理方法では、レジスト組成物の塗膜中に含まれる微小異物が微量である場合でも、レジスト組成物の品質管理が可能である。
In the resist composition management method as well, similar to the chemical solution management method, reference data is set in advance and an allowable range is set for mass spectrometry data of a coating film of the resist composition. The tolerance range for the mass spectrometry data of the coating film of the resist composition with respect to the standard data is set, for example, based on the resist composition of the previous production lot of the target resist composition, but is not limited to this. Instead, the tolerance range may be a target value, a set value, or an average value of multiple manufacturing lots. The allowable range of the mass spectrometry data of the coating film of the resist composition with respect to the standard data is as described above.
Step S48 compares the mass spectrometry data obtained in step S46 described above with reference data. In step S48, for example, if the measured mass spectrometry data of the coating film of the resist composition is within an acceptable range, the resist composition is determined to be an acceptable product (step S49).
On the other hand, if the mass spectrometry data of the resist composition is outside the allowable range in step S48, the resist composition is determined to be a rejected product (step S50). In this way, the quality of the resist composition can be controlled based on defects in the coating film of the resist composition. The resist composition control method allows quality control of the resist composition even when a minute amount of foreign matter is contained in the coating film of the resist composition.
 上述の分析方法と同様に、上述の設定工程(ステップS45)を利用して組合せデータを得ることなく、分析する金属種の数と、測定対象領域の領域分割と、領域分割された各領域に割り当てられる金属種とを含む設定情報を、誘導結合プラズマ質量分析(ステップS46)に利用してもよい。 Similar to the above-mentioned analysis method, the number of metal species to be analyzed, the region division of the measurement target region, and each region divided into Setting information including the assigned metal species may be used for inductively coupled plasma mass spectrometry (step S46).
 なお、薬液の管理方法は、上述のレジスト組成物の管理方法以外に、レジスト組成物の原料、スラリー(研磨液)、現像液、洗浄液及びそれらの原料の管理にも適用できる。 In addition to the above-mentioned resist composition management method, the chemical solution management method can also be applied to the management of resist composition raw materials, slurry (polishing liquid), developer, cleaning liquid, and their raw materials.
[薬液]
 薬液は、有機溶媒を主成分として含む。
 本明細書において、有機溶媒とは、上述の薬液の全質量に対して、1成分あたり10000質量ppmを超えた含有量で含有される液状の有機化合物を意図する。つまり、本明細書においては、上述の薬液の全質量に対して10000質量ppmを超えて含有される液状の有機化合物は、有機溶媒に該当する。
 また、本明細書において液状とは、25℃、大気圧下において、液体であることを意味する。
[Medicinal solution]
The chemical solution contains an organic solvent as a main component.
In this specification, the term "organic solvent" refers to a liquid organic compound contained in an amount exceeding 10,000 ppm by mass per component based on the total mass of the above-mentioned chemical solution. That is, in this specification, a liquid organic compound contained in an amount exceeding 10,000 mass ppm with respect to the total mass of the above-mentioned chemical solution corresponds to an organic solvent.
Moreover, in this specification, liquid means being liquid at 25° C. and under atmospheric pressure.
 薬液中において有機溶媒が主成分であるとは、薬液中における有機溶媒の含有量が、薬液の全質量に対して、98.0質量%以上であることを意味し、99.0質量%超が好ましく、99.90質量%以上がより好ましく、99.95質量%超が更に好ましい。上限は、100質量%未満である。
 有機溶媒は1種を単独で用いても、2種以上を使用してもよい。2種以上の有機溶媒を使用する場合には、合計含有量が上記範囲内であるのが好ましい。
The phrase "organic solvent is the main component in the drug solution" means that the content of the organic solvent in the drug solution is 98.0% by mass or more based on the total mass of the drug solution, and more than 99.0% by mass. is preferable, more preferably 99.90% by mass or more, and even more preferably more than 99.95% by mass. The upper limit is less than 100% by mass.
One type of organic solvent may be used alone or two or more types may be used. When using two or more types of organic solvents, the total content is preferably within the above range.
 有機溶媒の種類としては特に制限されず、公知の有機溶媒を使用できる。有機溶媒は、例えば、アルキレングリコールモノアルキルエーテルカルボキシレート、アルキレングリコールモノアルキルエーテル、乳酸アルキルエステル、アルコキシプロピオン酸アルキル、環状ラクトン(好ましくは炭素数4~10)、環を有してもよいモノケトン化合物(好ましくは炭素数4~10)、アルキレンカーボネート、アルコキシ酢酸アルキル、ピルビン酸アルキル、ジアルキルスルホキシド、環状スルホン、ジアルキルエーテル、一価アルコール、グリコール、酢酸アルキルエステル、及び、N-アルキルピロリドン等が挙げられる。 The type of organic solvent is not particularly limited, and any known organic solvent can be used. Examples of organic solvents include alkylene glycol monoalkyl ether carboxylates, alkylene glycol monoalkyl ethers, alkyl lactates, alkyl alkoxypropionates, cyclic lactones (preferably having 4 to 10 carbon atoms), and monoketone compounds that may have a ring. (preferably having 4 to 10 carbon atoms), alkylene carbonate, alkoxy alkyl acetate, alkyl pyruvate, dialkyl sulfoxide, cyclic sulfone, dialkyl ether, monohydric alcohol, glycol, acetic alkyl ester, and N-alkylpyrrolidone. .
 有機溶媒は、例えば、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、プロピレングリコールモノメチルエーテル(PGME)、シクロヘキサノン(CHN)、乳酸エチル(EL)、炭酸プロピレン(PC)、イソプロパノール(IPA)、4-メチル-2-ペンタノール(MIBC)、酢酸ブチル(nBA)、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、メトキシプロピオン酸メチル、シクロペンタノン、γ-ブチロラクトン、ジイソアミルエーテル、酢酸イソアミル、ジメチルスルホキシド、N-メチルピロリドン、ジエチレングリコール、エチレングリコール、ジプロピレングリコール、プロピレングリコール、炭酸エチレン、スルフォラン、シクロヘプタノン、及び、2-ヘプタノンからなる群から選択される1種以上が好ましい。
 有機溶媒を2種以上使用する例としては、PGMEAとPGMEの併用、及び、PGMEAとPCの併用が挙げられる。
 なお、薬液中における有機溶媒の種類及び含有量は、ガスクロマトグラフ質量分析計を用いて測定できる。
Examples of organic solvents include propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monomethyl ether (PGME), cyclohexanone (CHN), ethyl lactate (EL), propylene carbonate (PC), isopropanol (IPA), 4-methyl-2 -Pentanol (MIBC), butyl acetate (nBA), propylene glycol monoethyl ether, propylene glycol monopropyl ether, methyl methoxypropionate, cyclopentanone, γ-butyrolactone, diisoamyl ether, isoamyl acetate, dimethyl sulfoxide, N- One or more selected from the group consisting of methylpyrrolidone, diethylene glycol, ethylene glycol, dipropylene glycol, propylene glycol, ethylene carbonate, sulfolane, cycloheptanone, and 2-heptanone is preferred.
Examples of using two or more types of organic solvents include a combination of PGMEA and PGME, and a combination of PGMEA and PC.
Note that the type and content of the organic solvent in the chemical solution can be measured using a gas chromatograph mass spectrometer.
 薬液は、有機溶媒以外に不純物を含む場合がある。
 不純物としては、金属不純物が挙げられる。
 金属不純物とは、金属イオン、及び、固体(金属単体、粒子状の金属含有化合物等)として薬液中に含まれる金属不純物を意図する。
 金属不純物に含まれる金属元素の種類は特に制限されず、例えば、Na(ナトリウム)、K(カリウム)、Ca(カルシウム)、Fe(鉄)、Cu(銅)、Mg(マグネシウム)、Mn(マンガン)、Li(リチウム)、Al(アルミニウム)、Cr(クロム)、Ni(ニッケル)、Ti(チタン)、及び、Zn(ジルコニウム)が挙げられる。
 金属不純物は、薬液に含まれる各成分(原料)に不可避的に含まれている成分でもよいし、薬液の製造、貯蔵、及び/又は、移送時に不可避的に含まれる成分でもよいし、意図的に添加してもよい。
The chemical solution may contain impurities in addition to the organic solvent.
Examples of impurities include metal impurities.
The term "metal impurities" refers to metal ions and metal impurities contained in a chemical solution as a solid (elementary metal, particulate metal-containing compound, etc.).
The types of metal elements contained in the metal impurities are not particularly limited, and examples include Na (sodium), K (potassium), Ca (calcium), Fe (iron), Cu (copper), Mg (magnesium), and Mn (manganese). ), Li (lithium), Al (aluminum), Cr (chromium), Ni (nickel), Ti (titanium), and Zn (zirconium).
Metal impurities may be components that are unavoidably included in each component (raw material) contained in the drug solution, components that are unavoidably included during the manufacturing, storage, and/or transportation of the drug solution, or components that are intentionally included in the drug solution. May be added to.
 薬液は、水を含んでいてもよい。水の種類は特に制限されず、例えば、蒸留水、イオン交換水、及び、純水を用いることができる。
 水は、薬液中に添加されてもよいし、薬液の製造工程において不可避的に薬液中に混合されるものであってもよい。薬液の製造工程において不可避的に混合される場合としては例えば、水が、薬液の製造に用いる原料(例えば、有機溶媒)に含まれる場合、及び、薬液の製造工程で混合する(例えば、コンタミネーション)等が挙げられる。
The chemical solution may contain water. The type of water is not particularly limited, and for example, distilled water, ion exchange water, and pure water can be used.
Water may be added to the chemical solution, or may be unavoidably mixed into the drug solution during the process of manufacturing the drug solution. Examples of cases where water is unavoidably mixed in the manufacturing process of a chemical solution include cases where water is included in raw materials (e.g., organic solvents) used in the manufacturing process of a chemical solution, and cases where water is mixed in the manufacturing process of a chemical solution (e.g., due to contamination). ) etc.
 薬液中における水の含有量は特に制限されないが、一般に、薬液の全質量に対して、2.0質量%以下が好ましく、1.0質量%以下がより好ましく、0.5質量%未満が更に好ましい。
 薬液中における水の含有量が1.0質量%以下であると、半導体チップの製造歩留まりがより優れる。
 なお、下限は特に制限されないが、0.01質量%程度の場合が多い。製造上、水の含有量を上述の数値以下にするのが難しい。
The content of water in the chemical solution is not particularly limited, but in general, it is preferably 2.0% by mass or less, more preferably 1.0% by mass or less, and even less than 0.5% by mass, based on the total mass of the chemical solution. preferable.
When the water content in the chemical solution is 1.0% by mass or less, the manufacturing yield of semiconductor chips is better.
Note that the lower limit is not particularly limited, but is often about 0.01% by mass. In production, it is difficult to reduce the water content to below the above-mentioned value.
 上述の薬液を準備する方法は特に制限されず、例えば、有機溶媒を購入等により調達する、及び、原料を反応させて有機溶媒を得る等の方法が挙げられる。なお、薬液としては、すでに説明した不純物の含有量が少ないもの(例えば、有機溶媒の含有量が99質量%以上のもの)を準備することが好ましい。そのような有機溶媒の市販品としては、例えば、「高純度グレード品」と呼ばれるものが挙げられる。
 なお、必要に応じて、薬液に対しては、精製処理を施してもよい。
 精製方法としては、例えば、蒸留、及び、ろ過が挙げられる。
The method for preparing the above-mentioned chemical solution is not particularly limited, and examples thereof include methods such as procuring an organic solvent by purchasing or the like, and obtaining an organic solvent by reacting raw materials. Note that it is preferable to prepare a chemical solution containing a small amount of the impurities described above (for example, one containing an organic solvent of 99% by mass or more). Examples of commercially available organic solvents include those called "high purity grade products."
Note that, if necessary, the chemical solution may be subjected to purification treatment.
Examples of purification methods include distillation and filtration.
 薬液は、Na、K、Ca、Fe、Cu、Mg、Mn、Li、Al、Cr、Ni、Ti及びZnから成る群より選択される少なくとも1種の金属元素を含み、金属元素の合計含有量が薬液の全質量に対して10質量ppb以下であることが好ましい。
 10質量ppbを超えると、表面検査装置(SurfScanSP5;KLA株式会社製)、及びICP-MS等による質量ppbといった指標では、相関がとれず決定係数が小さくなる。
 薬液中のNa、K、Ca、Fe、Cu、Mg、Mn、Li、Al、Cr、Ni、Ti及びZnの含有量は、NexION350(商品名、PerkinElmer社製)を用いて、ICP-MS(inductively coupled plasma mass spectrometry)法を用いて測定できる。ICP-MS法による具体的な測定条件は、次の通りである。なお、濃度既知の標準液に対するピーク強度にて検出量を測定して、金属成分の質量に換算し、測定に使用した処理液中の金属成分の含有量(総メタル含有量)を算出する。
 金属成分の含有量は、通常のICP-MS法により測定した。具体的には、金属成分の分析に使用するソフトウェアとして、ICP-MS用のソフトウェアを用いる。
The chemical solution contains at least one metal element selected from the group consisting of Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti, and Zn, and the total content of the metal elements is preferably 10 mass ppb or less based on the total mass of the chemical solution.
If it exceeds 10 mass ppb, the index of mass ppb measured by a surface inspection device (SurfScan SP5; manufactured by KLA Corporation), ICP-MS, etc. will not correlate and the coefficient of determination will become small.
The contents of Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti, and Zn in the chemical solution were determined by ICP-MS (trade name, manufactured by PerkinElmer) using NexION350 (trade name, PerkinElmer). It can be measured using the inductively coupled plasma mass spectrometry method. Specific measurement conditions by the ICP-MS method are as follows. Note that the detected amount is measured based on the peak intensity with respect to a standard solution with a known concentration, and is converted into the mass of the metal component to calculate the content of the metal component (total metal content) in the processing solution used for measurement.
The content of metal components was measured by the usual ICP-MS method. Specifically, software for ICP-MS is used as software for analyzing metal components.
 上述の0.01質量ppqの測定について説明する。
 まず、1mLの薬液を、直径約300mm(12インチ)のシリコンウエハ上に液滴として塗布する。その後、無回転で乾燥させる。表面検査装置(SurfScanSP7;KLA株式会社製)で当該シリコンウエハの欠陥位置を測定後、FIB-SEM(サーモフィッシャー社製 HELIOS G4-EXL)にて、表面検査装置(SurfScanSP7)で取得した座標ファイルを基に欠陥部位近傍の断面を切り出す。
 FIB(Focused Ion Beam)-SEM(Scanning Electron Microscope)又はTEM(Transmission Electron Microscope)にて、断面エッチングを行いながらEDXにより3次元の形状情報と元素情報を取得する。これらを全ての欠陥について行う。
 例えば、1mL(密度1g/cm)の薬液でFe13.5nm(表面検査装置(SurfScanSP7)の限界)の球体状パーティクルが1つ発見された場合について考えると、原理的には質量比換算でおおよそ0.01質量ppqが測定できることになる。
The above-mentioned measurement of 0.01 mass ppq will be explained.
First, 1 mL of a chemical solution is applied as a droplet onto a silicon wafer with a diameter of about 300 mm (12 inches). Then, dry without rotating. After measuring the defect position of the silicon wafer using a surface inspection device (SurfScan SP7; manufactured by KLA Corporation), the coordinate file acquired by the surface inspection device (SurfScan SP7) is measured using a FIB-SEM (HELIOS G4-EXL manufactured by Thermo Fisher). Based on the base, cut out a cross section near the defect site.
FIB (Focused Ion Beam)-SEM (Scanning Electron Microscope) or TEM (Transmission Electron Microscope) performs cross-sectional etching while EDX acquires three-dimensional shape information and elemental information. Perform these steps for all defects.
For example, if one spherical particle of Fe13.5 nm (the limit of the surface inspection device (SurfScan SP7)) is found in 1 mL (density 1 g/cm 3 ) of chemical solution, in principle This means that 0.01 mass ppq can be measured.
[薬液の用途]
 有機溶媒を主成分とする薬液は、例えば、半導体デバイスの製造方法、及び半導体製造装置の洗浄方法に用いられる。具体的には、薬液は、例えば、現像液、リンス液、プリウェット液に用いられる。これ以外に、薬液は、エッジリンス液、バックリンス液、レジスト剥離液及び希釈用シンナーに用いられる。
 プリウェット液は、レジスト膜を形成する前に、半導体基板上に供給するものであり、レジスト液を半導体基板上に広げやすくし、より少量のレジスト液の供給で均一なレジスト膜を形成するために使用されるものである。
 上述のエッジリンス液とは、リンス液において、半導体基板の周縁部に供給して、半導体基板の周縁部のレジスト膜の除去に利用されるリンス液のことをいう。
 例えば、現像液には、酢酸ブチル(nBA)が用いられる。酢酸ブチル(nBA)は、現像液以外に、配管の洗浄、又は半導体ウエハの洗浄液等の用途に用いることもできる。
 また、リンス液には、4-メチル-2-ペンタノール(MIBC)が用いられる。洗浄液には、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、イソプロパノール(IPA)が用いられる。プリウェット液には、シクロヘキサノン(CHN)が用いられる。
[Applications of chemical solution]
A chemical solution containing an organic solvent as a main component is used, for example, in a method for manufacturing semiconductor devices and a method for cleaning semiconductor manufacturing equipment. Specifically, the chemical solution is used, for example, as a developer, a rinse solution, and a pre-wet solution. In addition to this, the chemical liquid is used as an edge rinse liquid, a back rinse liquid, a resist stripping liquid, and a thinner for dilution.
Pre-wet liquid is supplied onto the semiconductor substrate before forming the resist film, and is used to make it easier to spread the resist liquid over the semiconductor substrate and to form a uniform resist film with a smaller amount of resist liquid supplied. It is used for.
The above-mentioned edge rinsing liquid refers to a rinsing liquid that is supplied to the peripheral edge of a semiconductor substrate and used to remove a resist film on the peripheral edge of the semiconductor substrate.
For example, butyl acetate (nBA) is used as the developer. Butyl acetate (nBA) can be used not only as a developer but also as a cleaning solution for piping, a cleaning solution for semiconductor wafers, and the like.
Furthermore, 4-methyl-2-pentanol (MIBC) is used as the rinse liquid. Propylene glycol monomethyl ether acetate (PGMEA) and isopropanol (IPA) are used as the cleaning liquid. Cyclohexanone (CHN) is used as the pre-wet liquid.
[レジスト組成物]
 レジスト組成物の種類は特に制限されず、公知のレジスト組成物を用いることができる。
 例えば、レジスト組成物として、酸の作用により極性基を生じる基(以下、単に「酸分解性基」ともいう。)を有する樹脂(以下、単に「酸分解性樹脂」ともいう。)、光酸発生剤、及び、溶媒を含むレジスト組成物(以下、「第1レジスト組成物」ともいう。)を用いることができる。
 酸分解性基は、酸の作用により脱離する脱離基で極性基が保護された構造を有することが好ましい。つまり、酸分解性樹脂は、酸分解性基を有する繰り返し単位を有する。この繰り返し単位を有する樹脂は、酸の作用により極性が増大してアルカリ現像液に対する溶解度が増大し、有機溶剤に対する溶解度が減少する。
 極性基としては、アルカリ可溶性基が好ましく、例えば、カルボキシル基、フェノール性水酸基、フッ素化アルコール基、スルホン酸基、リン酸基、スルホンアミド基、スルホニルイミド基、(アルキルスルホニル)(アルキルカルボニル)メチレン基、(アルキルスルホニル)(アルキルカルボニル)イミド基、ビス(アルキルカルボニル)メチレン基、ビス(アルキルカルボニル)イミド基、ビス(アルキルスルホニル)メチレン基、ビス(アルキルスルホニル)イミド基、トリス(アルキルカルボニル)メチレン基、及び、トリス(アルキルスルホニル)メチレン基等の酸性基、並びに、アルコール性水酸基等が挙げられる。
[Resist composition]
The type of resist composition is not particularly limited, and any known resist composition can be used.
For example, as resist compositions, resins (hereinafter also simply referred to as "acid-decomposable resins") having groups that generate polar groups under the action of acids (hereinafter also simply referred to as "acid-decomposable groups"), photoacid A resist composition (hereinafter also referred to as "first resist composition") containing a generator and a solvent can be used.
The acid-decomposable group preferably has a structure in which a polar group is protected with a leaving group that is eliminated by the action of an acid. That is, the acid-decomposable resin has a repeating unit having an acid-decomposable group. A resin having this repeating unit has increased polarity due to the action of an acid, increasing its solubility in an alkaline developer and decreasing its solubility in an organic solvent.
The polar group is preferably an alkali-soluble group, such as carboxyl group, phenolic hydroxyl group, fluorinated alcohol group, sulfonic acid group, phosphoric acid group, sulfonamide group, sulfonylimide group, (alkylsulfonyl)(alkylcarbonyl)methylene group, (alkylsulfonyl)(alkylcarbonyl)imide group, bis(alkylcarbonyl)methylene group, bis(alkylcarbonyl)imide group, bis(alkylsulfonyl)methylene group, bis(alkylsulfonyl)imide group, tris(alkylcarbonyl) Examples include acidic groups such as methylene group and tris(alkylsulfonyl)methylene group, and alcoholic hydroxyl group.
 酸分解性樹脂は、酸分解性基を有する繰り返し単位以外の他の繰り返し単位(例えば、酸基を有する繰り返し単位、ラクトン基、スルトン基、又は、カーボネート基を有する繰り返し単位、フッ素原子又はヨウ素原子を有する繰り返し単位など)を含んでいてもよい。
 酸分解性樹脂としては、公知の酸分解性樹脂を使用できる。
The acid-decomposable resin contains repeating units other than repeating units having an acid-decomposable group (for example, repeating units having an acid group, lactone groups, sultone groups, or repeating units having a carbonate group, fluorine atoms or iodine atoms). (e.g., a repeating unit having
As the acid-decomposable resin, known acid-decomposable resins can be used.
 光酸発生剤は、公知のものであれば特に制限されないが、活性光線又は放射線、好ましくは電子線又は極紫外線の照射により、有機酸、例えば、スルホン酸、ビス(アルキルスルホニル)イミド、及び、トリス(アルキルスルホニル)メチドの少なくともいずれかを発生する化合物が好ましい。 The photoacid generator is not particularly limited as long as it is a known one, but it can generate organic acids such as sulfonic acid, bis(alkylsulfonyl)imide, and Compounds that generate at least one of tris(alkylsulfonyl)methides are preferred.
 溶媒としては、水及び有機溶媒が挙げられる。有機溶媒の種類は特に制限されず、アルコール系溶媒、エーテル系溶媒、エステル系溶媒、ケトン系溶媒、及び、炭化水素系溶媒が挙げられる。 Examples of solvents include water and organic solvents. The type of organic solvent is not particularly limited, and examples include alcohol solvents, ether solvents, ester solvents, ketone solvents, and hydrocarbon solvents.
 上記第1レジスト組成物は、酸分解性樹脂、光酸発生剤、及び、溶媒以外の他の材料を含んでいてもよい。
 例えば、第1レジスト組成物は、酸拡散制御剤を含んでいてもよい。酸拡散制御剤としては、塩基性化合物、及び、プロトンアクセプター性官能基を有し、かつ、活性光線又は放射線の照射により分解してプロトンアクセプター性が低下、消失、又は、プロトンアクセプター性から酸性に変化した化合物を発生する化合物が挙げられる。
 また、第1レジスト組成物は、疎水性樹脂、界面活性剤、溶解阻止化合物、染料、可塑剤、光増感剤、光吸収剤、及び、現像液に対する溶解性を促進させる化合物からなる群から選択される化合物を含んでいてもよい。
The first resist composition may contain materials other than the acid-decomposable resin, the photoacid generator, and the solvent.
For example, the first resist composition may include an acid diffusion control agent. The acid diffusion control agent is a basic compound and a compound that has a proton acceptor functional group and is decomposed by irradiation with actinic rays or radiation, and the proton acceptor property decreases or disappears, or the proton acceptor property is reduced. Examples include compounds that generate a compound that changes from acidic to acidic.
The first resist composition also includes a hydrophobic resin, a surfactant, a dissolution inhibiting compound, a dye, a plasticizer, a photosensitizer, a light absorber, and a compound that promotes solubility in a developer. It may also contain selected compounds.
 レジスト組成物としては、架橋性基を有する架橋剤と、架橋性基と反応する反応性基を有する化合物と、溶媒とを含むレジスト組成物(以下、「第2レジスト組成物」ともいう。)であってもよい。
 架橋性基と反応性基との組み合わせは特に制限されず、公知の組み合わせが採用される。
 なお、架橋性基又は反応性基は保護基で保護されていてもよく、例えば、第2レジスト組成物に光酸発生剤がさらに含まれ、光酸発生剤より発生する酸によって上記保護基が脱離する態様であってもよい。また、光酸発生剤により発生する酸により架橋剤と樹脂が縮合反応を起こすことにより架橋構造が形成される態様であってもよい。
 また、上記第2レジスト組成物においては、架橋性基を有する架橋剤と、架橋性基と反応する反応性基を有する化合物との2種が含まれる態様について述べたが、1つの化合物が架橋性基と反応性基とを含む態様であってもよい。
The resist composition includes a crosslinking agent having a crosslinking group, a compound having a reactive group that reacts with the crosslinking group, and a solvent (hereinafter also referred to as "second resist composition"). It may be.
The combination of a crosslinkable group and a reactive group is not particularly limited, and known combinations may be employed.
Note that the crosslinkable group or the reactive group may be protected with a protecting group. For example, the second resist composition further contains a photoacid generator, and the protecting group is protected by the acid generated from the photoacid generator. It may also be in a form where it is desorbed. Alternatively, a crosslinked structure may be formed by causing a condensation reaction between the crosslinking agent and the resin due to the acid generated by the photoacid generator.
In addition, in the second resist composition, an embodiment was described in which two types, a crosslinking agent having a crosslinking group and a compound having a reactive group that reacts with the crosslinking group, are included; It may also be an embodiment containing a reactive group and a reactive group.
 レジスト組成物としては、主鎖切断型の重合体、及び、溶媒を含むレジスト組成物であってもよい。
 重合体が「主鎖切断型である」とは、重合体に対して電離放射線、紫外線などの光を照射した場合に、重合体の主鎖が切断される性質を有することを意味する。
 主鎖切断型の重合体としては、アクリル系主鎖切断型レジストが挙げられ、ポリメチルメタクリレート(PMMA)、α-クロロメタクリレートとα-メチルスチレンとの共重合体であるZEP(日本ゼオン社製)、及び、ポリ2,2,2-トリフルオロエチルαクロロアクリレート(EBR-9、東レ社製)が挙げられる。
The resist composition may include a main chain cleaved polymer and a solvent.
When a polymer is "main chain cleavable", it means that the main chain of the polymer has the property of being cleaved when the polymer is irradiated with light such as ionizing radiation or ultraviolet light.
Examples of main chain cleavage type polymers include acrylic main chain cleavage type resists, such as polymethyl methacrylate (PMMA), ZEP (manufactured by Nippon Zeon Co., Ltd.), which is a copolymer of α-chloromethacrylate and α-methylstyrene. ), and poly 2,2,2-trifluoroethyl α-chloroacrylate (EBR-9, manufactured by Toray Industries, Inc.).
 レジスト組成物としては、いわゆるメタルレジスト組成物であってもよい。
 上記メタルレジスト組成物としては、金属炭素結合及び/又は金属カルボキシラート結合により有機配位子を有する金属オキソ-ヒドロキソネットワークを含むコーティングを形成し得る感光性組成物が挙げられる。
 上記メタルレジスト組成物としては、特開2019-113855号公報に記載の組成物が挙げられ、これらの内容は本願明細書に組み込まれる。
The resist composition may be a so-called metal resist composition.
The metal resist composition includes a photosensitive composition capable of forming a coating containing a metal oxo-hydroxo network having organic ligands through metal carbon bonds and/or metal carboxylate bonds.
Examples of the metal resist composition include the composition described in JP-A-2019-113855, the contents of which are incorporated into the present specification.
 レジスト組成物は、Na、K、Ca、Fe、Cu、Mg、Mn、Li、Al、Cr、Ni、Ti及びZnから成る群より選択される少なくとも1種の金属元素を含み、金属元素の合計含有量がレジスト組成物の全質量に対して10質量ppb以下であることが好ましい。 The resist composition contains at least one metal element selected from the group consisting of Na, K, Ca, Fe, Cu, Mg, Mn, Li, Al, Cr, Ni, Ti, and Zn, and the total metal element The content is preferably 10 mass ppb or less based on the total mass of the resist composition.
 本発明は、基本的に以上のように構成されるものである。以上、本発明の分析方法、分析装置、薬液の管理方法及びレジスト組成物の管理方法について詳細に説明したが、本発明は上述の実施形態に限定されず、本発明の主旨を逸脱しない範囲において、種々の改良又は変更をしてもよいのはもちろんである。 The present invention is basically configured as described above. Although the analysis method, analysis device, chemical solution management method, and resist composition management method of the present invention have been described in detail above, the present invention is not limited to the above-described embodiments, and may be provided within the scope of the invention. Of course, various improvements or changes may be made.
 以下に実施例を挙げて本発明の特徴をさらに具体的に説明する。以下の実施例に示す材料、試薬、物質量とその割合、及び、操作等は本発明の趣旨から逸脱しない限り適宜変更することができる。従って、本発明の範囲は以下の実施例に限定されるものではない。
 本実施例では、検出できる限界の標準粒子の大きさと、繰り返し再現性と、測定時間とを評価した。
 以下、実施例1~22並びに比較例1及び2について説明する。
The features of the present invention will be explained in more detail with reference to Examples below. The materials, reagents, amounts and proportions of substances shown in the following examples, operations, etc. can be changed as appropriate without departing from the spirit of the present invention. Therefore, the scope of the present invention is not limited to the following examples.
In this example, the detectable limit standard particle size, repeatability, and measurement time were evaluated.
Examples 1 to 22 and Comparative Examples 1 and 2 will be described below.
(実施例1)
 実施例1では、薬液にPGMEA(プロピレングリコールモノメチルエーテルアセテート)を用い、市販の標準粒子径が200nmの酸化鉄粒子を標準粒子として含む分散液を用意した。これ以外に、薬液にPGMEAを用い、市販の標準粒子径が150nmの酸化鉄粒子を標準粒子として含む分散液、市販の標準粒子径が100nmの酸化鉄粒子を標準粒子として含む分散液、市販の標準粒子径が75nmの酸化鉄粒子を標準粒子として含む分散液、市販の標準粒子径が50nmの酸化鉄粒子を標準粒子として含む分散液、市販の標準粒子径が25nmの酸化鉄粒子を標準粒子として含む分散液、市販の標準粒子径が20nmの酸化鉄粒子を標準粒子として含む分散液、市販の標準粒子径が15nmの酸化鉄粒子を標準粒子として含む分散液、市販の標準粒子径が10nmの酸化鉄粒子を標準粒子として含む分散液、及び市販の標準粒子径が5nmの酸化鉄粒子を標準粒子として含む分散液を用意した。
 上述の市販の酸化鉄粒子の標準粒子径は、透過電子顕微鏡(TEM)を用いて測定された値である。
(Example 1)
In Example 1, PGMEA (propylene glycol monomethyl ether acetate) was used as a chemical solution, and a dispersion liquid containing commercially available iron oxide particles having a standard particle diameter of 200 nm as standard particles was prepared. In addition, dispersions using PGMEA as the chemical solution and containing commercially available iron oxide particles with a standard particle size of 150 nm as standard particles, dispersions containing commercially available iron oxide particles with a standard particle size of 100 nm as standard particles, and commercially available dispersions containing iron oxide particles with a standard particle size of 100 nm as standard particles; A dispersion containing iron oxide particles with a standard particle size of 75 nm as standard particles, a dispersion containing commercially available iron oxide particles with a standard particle size of 50 nm as standard particles, and a dispersion containing commercially available iron oxide particles with a standard particle size of 25 nm as standard particles. Dispersion containing commercially available iron oxide particles with a standard particle size of 20 nm as standard particles, Dispersion containing commercially available iron oxide particles with a standard particle size of 15 nm as standard particles, Commercially available dispersion containing iron oxide particles with a standard particle size of 10 nm A dispersion liquid containing iron oxide particles as standard particles and a dispersion liquid containing commercially available iron oxide particles having a standard particle diameter of 5 nm as standard particles were prepared.
The standard particle diameter of the commercially available iron oxide particles mentioned above is a value measured using a transmission electron microscope (TEM).
 それぞれの分散液を希釈し、直径300mmのシリコン基板上に、粒子がおよそ1個/cmとなる様に調整した。静電噴霧装置を用いて、調整した分散液を、直径300mm(12インチ)のシリコン基板上に塗布した。なお、シリコン基板が半導体基板である。
 また、測定する金属種の総数を30とし、測定領域数を2とし、シリコン基板上の測定領域1つ当たり測定する金属種の数を15とした。なお、測定領域の分割方法は、疑似乱数を用いたランダムとした。
 測定する金属種は、Li、Na、Mg、Al、K、Ca、Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ga、Rb、Sr、Y、Zr、Nb、Mo、Pd、Ag、Cd、Sn、Ba、Ta、及びWとした。
 分散液が塗布されたシリコン基板について、アライメント測定部で、シリコン基板の表面の輪郭情報を取得した後、上述の金属種の数、測定領域数、分割方法、及び測定領域1つ当たり測定する金属種の数に基づいて、上述の組合せデータを作成した。
 シリコン基板を分析部に搬送した。分析部に、レーザーアブレーションICP質量分析(LA-ICP-MS)装置を用いた。なお、分析部にシリコン基板を搬送する際、シリコン基板を外気と隔離した状態で搬送した。上述の収納容器を用いたものは、シリコン基板を搬送するにあたり、シリコン基板は外気と隔離された状態を終始維持した。
Each dispersion liquid was diluted and adjusted to have approximately 1 particle/cm 2 on a silicon substrate with a diameter of 300 mm. The prepared dispersion was applied onto a 300 mm (12 inch) diameter silicon substrate using an electrostatic sprayer. Note that the silicon substrate is a semiconductor substrate.
Further, the total number of metal species to be measured was 30, the number of measurement areas was 2, and the number of metal species to be measured per measurement area on the silicon substrate was 15. Note that the measurement area was divided randomly using pseudorandom numbers.
The metal species to be measured are Li, Na, Mg, Al, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Rb, Sr, Y, Zr, Nb, Mo, Pd, Ag, Cd, Sn, Ba, Ta, and W were used.
For the silicon substrate coated with the dispersion liquid, the alignment measuring section acquires the contour information of the surface of the silicon substrate, and then determines the number of metal species, the number of measurement areas, the dividing method, and the metal to be measured per measurement area. The combination data described above was created based on the number of species.
The silicon substrate was transported to the analysis department. A laser ablation ICP mass spectrometry (LA-ICP-MS) device was used in the analysis section. Note that when the silicon substrate was transported to the analysis section, it was transported in a state where it was isolated from the outside air. In the case using the above-mentioned storage container, the silicon substrate was kept isolated from the outside air from beginning to end when the silicon substrate was transported.
 分析部において、上述の組合せデータに基づいて、四重極質量分析計を有するレーザーアブレーションICP質量分析装置を用いて、レーザーアブレーションによる欠陥の元素分析を行った。
 また、分析では、金属元素のうち、標準粒子に含まれるFeを検出できるか否かを確認した。標準粒子径が小さい分散液に代えて、Feが検出できるかを確認していき、検出できる限界の標準粒子の大きさを求めた。検出できる限界の標準粒子の大きさを「検出可能な最小異物サイズ(nm)」とした。その結果を下記表1に示す。
In the analysis department, elemental analysis of defects by laser ablation was performed based on the above-mentioned combined data using a laser ablation ICP mass spectrometer having a quadrupole mass spectrometer.
In addition, in the analysis, it was confirmed whether among the metal elements, Fe contained in the standard particles could be detected. We confirmed whether Fe could be detected by replacing the dispersion with a small standard particle size, and determined the size of the standard particle that was the limit for detection. The size of the standard particle at the detectable limit was defined as the "minimum detectable foreign particle size (nm)". The results are shown in Table 1 below.
 検出できる限界の標準粒子の大きさを求めた後、検出できる限界の標準粒子の大きさを含む分散液を用いて、以下のように繰り返し再現性を評価した。
 繰り返し再現性の評価では、検出できる限界の標準粒子の大きさを含む分散液を塗布したシリコン基板を8枚作製した。上述の四重極質量分析計を有するレーザーアブレーションICP質量分析装置を用いて、レーザーアブレーションによる欠陥の元素分析により得られたFeの信号数を各シリコン基板について測定した。8枚のシリコン基板のFeの信号数に基づいて、Feの信号数の標準偏差(3σ)を求めた。
After determining the detectable limit standard particle size, repeatability was evaluated as follows using a dispersion containing the detectable limit standard particle size.
For evaluation of repeatability, eight silicon substrates were prepared that were coated with a dispersion containing a standard particle size that was at the detectable limit. Using a laser ablation ICP mass spectrometer having the above-mentioned quadrupole mass spectrometer, the number of Fe signals obtained by elemental analysis of defects by laser ablation was measured for each silicon substrate. The standard deviation (3σ) of the number of Fe signals was determined based on the number of Fe signals of the eight silicon substrates.
 なお、レーザーアブレーションは、シリコン基板を容器部内に収納した状態、かつキャリアガスを供給した状態で行った。レーザーアブレーションにより得られる分析試料をキャリアガスで回収して誘導結合プラズマ質量分析した。レーザーアブレーションには、フェムト秒レーザーを用いた。
 キャリアガスには、アルゴンガスを用いた。キャリアガスの流量は、1.69×10-2Pa・m/sec(10sccm)とした。
 なお、レーザーアブレーションによる欠陥の元素分析を実施する前に、キャリアガスを用いて、フラッシング処理することにより容器部内の洗浄を実施した。
Note that laser ablation was performed with the silicon substrate housed in the container and with the carrier gas being supplied. An analytical sample obtained by laser ablation was collected with a carrier gas and subjected to inductively coupled plasma mass spectrometry. A femtosecond laser was used for laser ablation.
Argon gas was used as the carrier gas. The flow rate of the carrier gas was 1.69×10 −2 Pa·m 3 /sec (10 sccm).
Note that before performing elemental analysis of defects by laser ablation, the inside of the container was cleaned by flushing with a carrier gas.
(実施例2)
 実施例2は、実施例1に比して、測定する金属種の総数を20、シリコン基板上の測定領域1つ当たり測定する金属種の数を15とした以外は、実施例1と同じとした。
 測定する金属種を、Li、Na、Mg、Al、K、Ca、Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ga、Rb、Sr、及びYとした。
(実施例3)
 実施例3は、実施例1に比して、測定する金属種の総数を10、シリコン基板上の測定領域1つ当たり測定する金属種の数を5とした以外は、実施例1と同じとした。
 測定する金属種を、Fe、Li、Na、Mg、Al、K、Ca、Sc、Ti、及びVとした。
(実施例4)
 実施例4は、実施例1に比して、測定する金属種の総数を8、シリコン基板上の測定領域1つ当たり測定する金属種の数を4とした以外は、実施例1と同じとした。
 測定する金属種を、Fe、Li、Na、Mg、Al、K、Ca、及びTiとした。
(実施例5)
 実施例5は、実施例1に比して、測定する金属種の総数を6、シリコン基板上の測定領域1つ当たり測定する金属種の数を3とした以外は、実施例1と同じとした。
 測定する金属種を、Fe、Li、Na、Mg、Al、及びTiとした。
(実施例6)
 実施例6は、実施例1に比して、測定する金属種の総数を4、シリコン基板上の測定領域1つ当たり測定する金属種の数を2とした以外は、実施例1と同じとした。
 測定する金属種を、Fe、Li、Na、及びTiとした。
(実施例7)
 実施例7は、実施例1に比して、測定する金属種の総数を2、シリコン基板上の測定領域1つ当たり測定する金属種の数を1とした以外は、実施例1と同じとした。
 測定する金属種を、Fe、及びTiとした。
(Example 2)
Example 2 was the same as Example 1 except that the total number of metal species to be measured was 20 and the number of metal species to be measured per measurement area on the silicon substrate was 15. did.
The metal species to be measured were Li, Na, Mg, Al, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Rb, Sr, and Y.
(Example 3)
Example 3 was the same as Example 1 except that the total number of metal species to be measured was 10 and the number of metal species to be measured per measurement area on the silicon substrate was 5. did.
The metal species to be measured were Fe, Li, Na, Mg, Al, K, Ca, Sc, Ti, and V.
(Example 4)
Example 4 was the same as Example 1 except that the total number of metal species to be measured was 8 and the number of metal species to be measured per measurement area on the silicon substrate was 4. did.
The metal species to be measured were Fe, Li, Na, Mg, Al, K, Ca, and Ti.
(Example 5)
Example 5 was the same as Example 1 except that the total number of metal species to be measured was 6 and the number of metal species to be measured per measurement area on the silicon substrate was 3. did.
The metal species to be measured were Fe, Li, Na, Mg, Al, and Ti.
(Example 6)
Example 6 was the same as Example 1 except that the total number of metal species to be measured was 4 and the number of metal species to be measured per measurement area on the silicon substrate was 2. did.
The metal species to be measured were Fe, Li, Na, and Ti.
(Example 7)
Example 7 was the same as Example 1 except that the total number of metal species to be measured was 2 and the number of metal species to be measured per measurement area on the silicon substrate was 1. did.
The metal species to be measured were Fe and Ti.
(実施例8)
 実施例8は、実施例1に比して、測定する金属種の総数を5、測定領域数を5、シリコン基板上の測定領域1つ当たり測定する金属種の数を1とした以外は、実施例1と同じとした。
 測定する金属種を、Fe、Li、Na、Mg、及びTiとした。
(実施例9)
 実施例9は、実施例8に比して、測定領域の分割方法が異なる以外は、実施例8と同じとした。実施例9では、図2と同様に、シリコン基板の表面の中心位置を頂点する5つの扇形状の領域に分割した。各領域の中心角は72°である。実施例9の領域分割の形態を下記表1では「測定領域分割方法」の欄に「ケーキカット」と記載した。
(実施例10)
 実施例10は、実施例8に比して、測定領域の分割方法が異なる以外は、実施例8と同じとした。実施例10では、図3と同様に、シリコン基板の直径方向に沿って5つの領域に等間隔に分割した。実施例10の領域分割の形態を下記表1では「測定領域分割方法」の欄に「縦分割」と記載した。
(Example 8)
Example 8 was different from Example 1 except that the total number of metal species to be measured was 5, the number of measurement areas was 5, and the number of metal species to be measured per measurement area on the silicon substrate was 1. The same as in Example 1 was used.
The metal species to be measured were Fe, Li, Na, Mg, and Ti.
(Example 9)
Example 9 was the same as Example 8 except that the method of dividing the measurement area was different. In Example 9, similarly to FIG. 2, the surface of the silicon substrate was divided into five fan-shaped regions having the apex at the center position. The central angle of each region is 72°. In Table 1 below, the mode of region division in Example 9 is described as "cake cutting" in the column of "Measurement region division method."
(Example 10)
Example 10 was the same as Example 8 except that the method of dividing the measurement area was different. In Example 10, similarly to FIG. 3, the silicon substrate was divided into five regions at equal intervals along the diameter direction. In Table 1 below, the mode of region division in Example 10 is described as "vertical division" in the column of "Measurement region division method."
(実施例11)
 実施例11は、実施例1に比して、薬液にCHN(プロピレングリコールモノメチルエーテルアセテート)を用いたこと、測定する金属種の総数を10、測定領域数を10、シリコン基板上の測定領域1つ当たり測定する金属種の数を1とした以外は、実施例1と同じとした。測定する金属種は、実施例3と同じとした。
(実施例12)
 実施例12は、実施例1に比して、薬液にnBA(酢酸ブチル)を用いたこと、測定する金属種の総数を10、測定領域数を10、シリコン基板上の測定領域1つ当たり測定する金属種の数を1とした以外は、実施例1と同じとした。測定する金属種は、実施例3と同じとした。
(実施例13)
 実施例13は、実施例1に比して、薬液にPGME(プロピレングリコールモノメチルエーテル)を用いたこと、測定する金属種の総数を10、測定領域数を10、シリコン基板上の測定領域1つ当たり測定する金属種の数を1とした以外は、実施例1と同じとした。測定する金属種は、実施例3と同じとした。
(Example 11)
Example 11 differs from Example 1 in that CHN (propylene glycol monomethyl ether acetate) was used as the chemical solution, the total number of metal species to be measured was 10, the number of measurement areas was 10, and the measurement area was 1 on the silicon substrate. The procedure was the same as in Example 1 except that the number of metal species measured per bump was 1. The metal species to be measured were the same as in Example 3.
(Example 12)
Example 12 differs from Example 1 in that nBA (butyl acetate) was used as the chemical solution, the total number of metal species to be measured was 10, the number of measurement areas was 10, and measurement was performed per measurement area on the silicon substrate. The procedure was the same as in Example 1 except that the number of metal species used was 1. The metal species to be measured were the same as in Example 3.
(Example 13)
Example 13 differs from Example 1 in that PGME (propylene glycol monomethyl ether) was used as the chemical solution, the total number of metal species to be measured was 10, the number of measurement areas was 10, and there was one measurement area on the silicon substrate. The procedure was the same as in Example 1 except that the number of metal species to be measured per hit was 1. The metal species to be measured were the same as in Example 3.
(実施例14)
 実施例14は、実施例13に比して、薬液にPGMEAとPGMEとの混合液を用いたこと以外は、実施例13と同じとした。PGMEAとPGMEとの混合比は、質量比で40/60(=PGMEA/PGME)とした。
(実施例15)
 実施例15は、実施例13に比して、薬液にPGMEAとPGMEとの混合液を用いたこと以外は、実施例13と同じとした。PGMEAとPGMEとの混合比は、質量比で30/70(=PGMEA/PGME)とした。
(Example 14)
Example 14 was the same as Example 13 except that a mixed solution of PGMEA and PGME was used as the chemical solution. The mixing ratio of PGMEA and PGME was 40/60 (=PGMEA/PGME) in terms of mass ratio.
(Example 15)
Example 15 was the same as Example 13 except that a mixed solution of PGMEA and PGME was used as the chemical solution. The mixing ratio of PGMEA and PGME was 30/70 (=PGMEA/PGME) in terms of mass ratio.
(実施例16)
 実施例16は、実施例1に比して、薬液にEL(乳酸エチル)を用いたこと、測定する金属種の総数を10、測定領域数を10、シリコン基板上の測定領域1つ当たり測定する金属種の数を1とした以外は、実施例1と同じとした。測定する金属種は、実施例3と同じとした。
(実施例17)
 実施例17は、実施例1に比して、薬液にMIBC(4-メチル-2-ペンタノール)を用いたこと、測定する金属種の総数を10、測定領域数を10、シリコン基板上の測定領域1つ当たり測定する金属種の数を1とした以外は、実施例1と同じとした。測定する金属種は、実施例3と同じとした。
(実施例18)
 実施例18は、実施例1に比して、薬液にIPA(イソプロパノール)を用いたこと、測定する金属種の総数を10、測定領域数を10、シリコン基板上の測定領域1つ当たり測定する金属種の数を1とした以外は、実施例1と同じとした。測定する金属種は、実施例3と同じとした。
(Example 16)
Example 16 differs from Example 1 in that EL (ethyl lactate) was used as the chemical solution, the total number of metal species to be measured was 10, the number of measurement areas was 10, and measurement was performed per measurement area on the silicon substrate. The procedure was the same as in Example 1 except that the number of metal species used was 1. The metal species to be measured were the same as in Example 3.
(Example 17)
Example 17 differs from Example 1 in that MIBC (4-methyl-2-pentanol) was used as the chemical solution, the total number of metal species to be measured was 10, the number of measurement areas was 10, and The procedure was the same as in Example 1 except that the number of metal species measured per measurement area was 1. The metal species to be measured were the same as in Example 3.
(Example 18)
Example 18 differs from Example 1 in that IPA (isopropanol) was used as the chemical solution, the total number of metal species to be measured was 10, the number of measurement areas was 10, and measurement was performed per measurement area on the silicon substrate. It was the same as Example 1 except that the number of metal species was 1. The metal species to be measured were the same as in Example 3.
(実施例19)
 実施例19は、実施例1に比して、測定する金属種の総数を3、測定領域数を3、シリコン基板上の測定領域1つ当たり測定する金属種の数を1とした以外は、実施例1と同じとした。測定する金属種を、Fe、Li、及びTiとした。
(実施例20)
 実施例20は、実施例1に比して、測定領域数を30、シリコン基板上の測定領域1つ当たり測定する金属種の数を1とした以外は、実施例1と同じとした。
(実施例21)
 実施例21は、実施例9に比して、測定領域の分割方法が異なる以外は、実施例9と同じとした。実施例21では、図2と同様に、シリコン基板の表面の中心位置を頂点する5つの扇形状の領域に分割した。5つの扇形状の領域に分割する際、中心角の合計を360°として、疑似乱数を用いてランダムに中心角を設定した。実施例21では、扇形状の領域の大きさは均一ではなくランダムに設定した。なお、実施例21の領域分割の形態を下記表1では、実施例9と同様に「測定領域分割方法」の欄に「ケーキカット」と記載した。
(実施例22)
 実施例22は、実施例3に比して、測定領域に割り当てる金属種が異なる以外は、実施例3と同じとした。実施例22では、測定する金属種、Fe、Li、Na、Mg、Al、K、Ca、Sc、Ti、及びVのうち、一方の測定領域に、Fe、Li、Na、Mg、Al、Kを割り当て、他方の測定領域に、Fe、K、Ca、Sc、Ti、及びVを割り当た。このように、2種の金属種を重複させて、各測定領域に6種ずつ金属種を設定した。
(Example 19)
Example 19 was different from Example 1 except that the total number of metal species to be measured was 3, the number of measurement areas was 3, and the number of metal species to be measured per measurement area on the silicon substrate was 1. The same as in Example 1 was used. The metal species to be measured were Fe, Li, and Ti.
(Example 20)
Example 20 was the same as Example 1 except that the number of measurement areas was 30 and the number of metal species measured per measurement area on the silicon substrate was 1.
(Example 21)
Example 21 was the same as Example 9 except that the method of dividing the measurement area was different. In Example 21, similarly to FIG. 2, the surface of the silicon substrate was divided into five fan-shaped regions having the apex at the center position. When dividing into five fan-shaped regions, the total central angle was set to 360°, and the central angles were randomly set using pseudorandom numbers. In Example 21, the size of the fan-shaped area was not uniform but randomly set. In addition, in Table 1 below, the mode of region division in Example 21 is described as "cake cutting" in the column of "Measurement region division method" as in Example 9.
(Example 22)
Example 22 was the same as Example 3 except that the metal species assigned to the measurement area was different. In Example 22, among the metal species to be measured, Fe, Li, Na, Mg, Al, K, Ca, Sc, Ti, and V, one of the metal species to be measured is Fe, Li, Na, Mg, Al, and K. and Fe, K, Ca, Sc, Ti, and V were assigned to the other measurement region. In this way, two metal types were overlapped, and six metal types were set in each measurement area.
(比較例1)
 比較例1は、実施例1に比して、領域分割を実施せずにシリコン基板全面に対して、30種の金属種の分析を行った以外は、実施例1と同じとした。
(比較例2)
 比較例1は、実施例1に比して、シリコン基板を30枚用い、領域分割を実施せずに、1枚のシリコン基板に対して、1種の金属種の分析を行った以外は、実施例1と同じとした。
(Comparative example 1)
Comparative Example 1 was the same as Example 1 except that 30 types of metal species were analyzed on the entire surface of the silicon substrate without performing region division.
(Comparative example 2)
Comparative Example 1 was different from Example 1, except that 30 silicon substrates were used and one type of metal species was analyzed on one silicon substrate without performing region division. The same as in Example 1 was used.
 表1に示すように、複数の領域に分割して、各領域に金属種を割り当てた実施例1~22は、領域分割しなかった比較例1及び2に比して、検出可能な粒子のサイズが小さかった。また、実施例1~22は、測定時間も短く、微小な欠陥の分析が可能であり、測定時間の短縮も可能であった。
 一方、比較例1は、シリコン基板の表面全面について多くの金属種を分析しているため、各金属種の検出信号レベルが小さく、検出可能な粒子のサイズが大きくなった。
 比較例2は、1枚のシリコン基板で、1つの金属の検出を行っているので、検出可能な粒子のサイズは小さいが、測定時間がかかった。
 実施例1~7から、1領域当たりの面積が同じでも、測定する金属種の総数が少ない方が、すなわち、測定する金属数1個当たりの測定面積が大きい方が、検出可能な粒子のサイズが小さかった。
 実施例8~10から、領域分割の方法としては、実施例9、10のように規則的なものよりも実施例10のランダムな方が繰り返し再現性が良好であった。
 実施例1~22から、1領域当たりの面積が大きい方が、繰り返し再現性が良好であった。
 実施例1~22から、検出可能な粒子のサイズは大きい方が、繰り返し再現性が良好であった。
As shown in Table 1, Examples 1 to 22, which were divided into multiple regions and assigned a metal type to each region, had a higher number of detectable particles than Comparative Examples 1 and 2, which did not divide the regions. The size was small. Further, in Examples 1 to 22, the measurement time was short, and it was possible to analyze minute defects, and it was also possible to shorten the measurement time.
On the other hand, in Comparative Example 1, many metal species were analyzed over the entire surface of the silicon substrate, so the detection signal level of each metal species was low and the size of detectable particles was large.
In Comparative Example 2, one metal was detected using one silicon substrate, so although the size of the detectable particles was small, the measurement took a long time.
From Examples 1 to 7, even if the area per region is the same, the smaller the total number of metal species to be measured, that is, the larger the measurement area per number of metals to be measured, the larger the detectable particle size. was small.
From Examples 8 to 10, as the area division method, the random method of Example 10 had better repeatability than the regular method of Examples 9 and 10.
From Examples 1 to 22, the larger the area per region, the better the repeatability was.
From Examples 1 to 22, the larger the size of detectable particles, the better the repeatability.
 なお、実施例1~22では、分散液が塗布されたシリコン基板について、アライメント測定部で、シリコン基板の表面の輪郭情報を取得した後、上述の金属種の数、測定領域数、分割方法、及び測定領域1つ当たり測定する金属種の数に基づいて、上述の組合せデータを作成した。その後、誘導結合プラズマ質量分析する工程を実施したが、実施例1~22の上述の一連の工程を、分析する金属種の数を設定する工程1と、半導体基板の測定対象領域を領域分割する工程2と、領域分割された各領域に異なる金属種を割り当てる工程3と、誘導結合プラズマ質量分析する工程4との順に変えて実施する場合でも、実施例1~22と同様の効果が得られる。
 また、上述の分析する金属種の数を設定する工程1と、半導体基板の測定対象領域を領域分割する工程2と、領域分割された各領域に異なる金属種を割り当てる工程3との順序を、工程2、工程1、及び工程3の順に入れ替えても実施例1~22と同様の効果が得られる。
In Examples 1 to 22, the alignment measuring unit acquires the contour information of the surface of the silicon substrate coated with the dispersion liquid, and then the number of metal species, the number of measurement areas, the division method, The above combination data was created based on the number of metal species measured per measurement area. After that, a step of inductively coupled plasma mass spectrometry was carried out, and the above-mentioned series of steps of Examples 1 to 22 were combined with step 1 of setting the number of metal species to be analyzed and dividing the measurement target region of the semiconductor substrate into regions. The same effects as in Examples 1 to 22 can be obtained even if the order of Step 2, Step 3 of assigning a different metal type to each divided region, and Step 4 of performing inductively coupled plasma mass spectrometry is changed. .
In addition, the order of the step 1 of setting the number of metal species to be analyzed, the step 2 of dividing the measurement target region of the semiconductor substrate into regions, and the step 3 of assigning a different metal species to each divided region is as follows: Even if Step 2, Step 1, and Step 3 are replaced in this order, the same effects as in Examples 1 to 22 can be obtained.
 10、10a 分析装置
 12a 第1搬送室
 12b 測定室
 12c 第2搬送室
 12d 分析室
 12e 処理室
 12g 導入部
 12h 壁
 13 収納容器
 14 搬送装置
 14a 取付部
 15 搬送アーム
 16 搬送装置
 16a 取付部
 20 アライメント測定部
 22 ステージ
 23 光源
 24 撮像部
 26 記憶部
 27 演算部
 28 設定部
 29 入力部
 30 分析部
 32 ステージ
 33 容器部
 34 光源部
 35 集光レンズ
 36 分析ユニット
 37 駆動部
 38 キャリアガス供給部
 39 配管
 40 クリーニングガス供給部
 41 流出部
 42 制御部
 44 プラズマトーチ
 46 質量分析部
 46a イオンレンズ部
 46b 質量分析計部
 47 イオンレンズ
 48 リフレクトロン
 49 検出器
 50 半導体基板
 50a 表面
 51 欠陥
 51a 分析試料
 52a、52b、52c、52d、52e、52f、52g、52h 領域
 53a、53b、53c、53d 領域
 54a、54b、54c、54d、54e、54f 領域
 70 輪郭測定装置
 C 回転軸
 C 回転軸
 C 回転軸
 H 方向
 La レーザー光
 Ls 光
 O 中心位置
 V 高さ方向
10, 10a Analyzer 12a First transfer chamber 12b Measurement chamber 12c Second transfer chamber 12d Analysis chamber 12e Processing chamber 12g Introduction section 12h Wall 13 Storage container 14 Transfer device 14a Mounting section 15 Transfer arm 16 Transfer device 16a Mounting section 20 Alignment measurement Section 22 Stage 23 Light source 24 Imaging section 26 Storage section 27 Calculation section 28 Setting section 29 Input section 30 Analysis section 32 Stage 33 Container section 34 Light source section 35 Condensing lens 36 Analysis unit 37 Drive section 38 Carrier gas supply section 39 Piping 40 Cleaning Gas supply section 41 Outflow section 42 Control section 44 Plasma torch 46 Mass spectrometry section 46a Ion lens section 46b Mass spectrometer section 47 Ion lens 48 Reflectron 49 Detector 50 Semiconductor substrate 50a Surface 51 Defect 51a Analysis sample 52a, 52b, 52c, 52d, 52e, 52f, 52g, 52h Area 53a, 53b, 53c, 53d Area 54a, 54b, 54c, 54d, 54e, 54f Area 70 Contour measuring device C 1 rotation axis C 2 rotation axis C 3 rotation axis H direction La laser Light Ls Light O Center position V Height direction

Claims (26)

  1.  半導体基板上又は内部に位置する欠陥の分析方法であって、
     分析する金属種の数を設定する工程1と、
     前記半導体基板の測定対象領域を領域分割する工程2と、
     前記領域分割された各領域に、異なる金属種を割り当てる工程3と、
     前記各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程4とを有する、分析方法。
    A method for analyzing defects located on or inside a semiconductor substrate, the method comprising:
    Step 1 of setting the number of metal species to be analyzed;
    Step 2 of dividing the measurement target region of the semiconductor substrate into regions;
    Step 3 of assigning a different metal type to each of the divided regions;
    An analysis method comprising step 4 of irradiating each region with a laser beam, collecting an analysis sample obtained from the irradiation with a carrier gas, and performing inductively coupled plasma mass spectrometry.
  2.  半導体基板上又は内部に位置する欠陥の分析方法であって、
     前記半導体基板に対して、分析する金属種の数と、測定対象領域の領域分割と、前記領域分割された各領域に割り当てられる金属種とを含む設定情報に基づいて、
     前記各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程とを有する、分析方法。
    A method for analyzing defects located on or inside a semiconductor substrate, the method comprising:
    For the semiconductor substrate, based on setting information including the number of metal species to be analyzed, region division of the measurement target region, and metal species assigned to each of the divided regions,
    An analysis method comprising the steps of irradiating each region with a laser beam, collecting an analysis sample obtained from the irradiation with a carrier gas, and performing inductively coupled plasma mass spectrometry.
  3.  前記工程2の前に、前記半導体基板の表面の輪郭情報を取得し、前記測定対象領域の情報を得る工程を有する、請求項1に記載の分析方法。 The analysis method according to claim 1, further comprising the step of obtaining contour information on the surface of the semiconductor substrate and obtaining information on the measurement target region before the step 2.
  4.  前記半導体基板の1つの領域の当たりの測定する金属種が、4~10である、請求項1又は2に記載の分析方法。 The analysis method according to claim 1 or 2, wherein the number of metal species measured per region of the semiconductor substrate is 4 to 10.
  5.  前記半導体基板の1つの領域の当たりの測定する金属種が、2又は3である、請求項1又は2に記載の分析方法。 The analysis method according to claim 1 or 2, wherein two or three metal species are measured per region of the semiconductor substrate.
  6.  前記半導体基板の1つの領域の当たりの測定する金属種が、1である、請求項1又は2に記載の分析方法。 The analysis method according to claim 1 or 2, wherein the number of metal species measured per region of the semiconductor substrate is 1.
  7.  分析する前記金属種の前記数を設定する工程1は、分析する前記金属種を設定する工程を含む、請求項1又は3に記載の分析方法。 The analysis method according to claim 1 or 3, wherein step 1 of setting the number of the metal species to be analyzed includes a step of setting the metal species to be analyzed.
  8.  前記設定情報は、分析する前記金属種の情報を含む、請求項2に記載の分析方法。 The analysis method according to claim 2, wherein the setting information includes information on the metal species to be analyzed.
  9.  半導体基板上又は内部に位置する欠陥の分析装置であって、
     分析する金属種の数を設定し、前記半導体基板の測定対象領域を領域分割し、前記領域分割された各領域に、異なる金属種を割り当てる設定部と、
     前記各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する分析部とを有する、分析装置。
    An apparatus for analyzing defects located on or inside a semiconductor substrate, comprising:
    a setting unit that sets the number of metal species to be analyzed, divides the measurement target region of the semiconductor substrate into regions, and assigns a different metal species to each of the divided regions;
    An analysis device comprising: an analysis section that irradiates each region with a laser beam, collects an analysis sample obtained from the irradiation with a carrier gas, and performs inductively coupled plasma mass spectrometry.
  10.  半導体基板上又は内部に位置する欠陥の分析装置であって、
     前記半導体基板に対して、分析する金属種の数と、測定対象領域の領域分割と、前記領域分割された各領域に割り当てられる金属種とを含む設定情報に基づいて、前記各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する分析部とを有する、分析装置。
    An apparatus for analyzing defects located on or inside a semiconductor substrate, comprising:
    A laser beam is applied to each region of the semiconductor substrate based on setting information including the number of metal species to be analyzed, the division of the measurement target region, and the metal species assigned to each of the divided regions. An analysis device comprising: an analysis section that performs inductively coupled plasma mass spectrometry by collecting an analysis sample obtained from the irradiation with a carrier gas.
  11.  前記半導体基板の表面の輪郭情報を取得し、前記測定対象領域の情報を得るアライメント測定部を有する、請求項9に記載の分析装置。 The analysis device according to claim 9, further comprising an alignment measurement unit that obtains contour information on the surface of the semiconductor substrate and obtains information on the measurement target region.
  12.  前記設定部は、前記半導体基板の1つの領域の当たり金属種を4~10に設定する、請求項9又は11に記載の分析装置。 The analysis device according to claim 9 or 11, wherein the setting unit sets the number of metal types per region of the semiconductor substrate to 4 to 10.
  13.  前記設定部は、前記半導体基板の1つの領域の当たり金属種を2又は3に設定する、請求項9又は11に記載の分析装置。 The analysis device according to claim 9 or 11, wherein the setting unit sets the number of metal types to be hit in one region of the semiconductor substrate to 2 or 3.
  14.  前記設定部は、前記半導体基板の1つの領域の当たり金属種を1に設定する、請求項9又は11に記載の分析装置。 The analysis device according to claim 9 or 11, wherein the setting unit sets the hit metal type for one region of the semiconductor substrate to 1.
  15.  前記設定部は、分析する前記金属種を設定する、請求項9又は11に記載の分析装置。 The analyzer according to claim 9 or 11, wherein the setting section sets the metal species to be analyzed.
  16.  前記設定情報は、分析する前記金属種の情報を含む、請求項10に記載の分析装置。 The analysis device according to claim 10, wherein the setting information includes information on the metal species to be analyzed.
  17.  薬液の管理方法であって、
     半導体基板と薬液とを接触させる工程と、
     分析する金属種の数を設定する工程と、
     前記半導体基板の測定対象領域を領域分割する工程と、
     領域分割された各領域に、異なる金属種を割り当てる工程と、
     前記各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程と、
     前記誘導結合プラズマ質量分析する工程で得られた欠陥の質量分析データと、予め設定された基準データとを比較して、前記質量分析データが許容範囲内であるかどうかを判定する工程とを有する、薬液の管理方法。
    A method for managing a chemical solution, the method comprising:
    A step of bringing the semiconductor substrate into contact with a chemical solution;
    a step of setting the number of metal species to be analyzed;
    dividing the measurement target region of the semiconductor substrate into regions;
    a step of assigning a different metal type to each divided region;
    irradiating each region with a laser beam, collecting an analysis sample obtained from the irradiation with a carrier gas, and subjecting it to inductively coupled plasma mass spectrometry;
    Comparing the defect mass spectrometry data obtained in the inductively coupled plasma mass spectrometry step with preset reference data to determine whether the mass spectrometry data is within an acceptable range. , How to manage chemical solutions.
  18.  薬液の管理方法であって、
     半導体基板と薬液とを接触させる工程と、
     前記半導体基板に対して、分析する金属種の数と、測定対象領域の領域分割と、前記領域分割された各領域に割り当てられる金属種とを含む設定情報に基づいて、前記各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程と、
     前記誘導結合プラズマ質量分析する工程で得られた欠陥の質量分析データと、予め設定された基準データとを比較して、前記質量分析データが許容範囲内であるかどうかを判定する工程とを有する、薬液の管理方法。
    A method for managing a chemical solution,
    A step of bringing the semiconductor substrate into contact with a chemical solution;
    A laser beam is applied to each region of the semiconductor substrate based on setting information including the number of metal species to be analyzed, the division of the measurement target region, and the metal species assigned to each of the divided regions. irradiating the sample, collecting the analytical sample obtained from the irradiation with a carrier gas, and subjecting it to inductively coupled plasma mass spectrometry;
    Comparing the defect mass spectrometry data obtained in the inductively coupled plasma mass spectrometry step with preset reference data to determine whether the mass spectrometry data is within an acceptable range. , How to manage chemical solutions.
  19.  前記半導体基板の前記測定対象領域を領域分割する工程の前に、前記半導体基板の表面の輪郭情報を取得し、前記測定対象領域の情報を得る工程を有する、請求項17に記載の薬液の管理方法。 18. The management of the chemical solution according to claim 17, further comprising the step of obtaining contour information on the surface of the semiconductor substrate and obtaining information on the measurement target region before the step of dividing the measurement target region of the semiconductor substrate into regions. Method.
  20.  分析する前記金属種の前記数を設定する工程は、分析する前記金属種を設定する工程を含む、請求項17又は19に記載の薬液の管理方法。 The method for managing a chemical solution according to claim 17 or 19, wherein the step of setting the number of the metal types to be analyzed includes the step of setting the metal types to be analyzed.
  21.  前記設定情報は、分析する前記金属種の情報を含む、請求項18に記載の薬液の管理方法。 The method for managing a chemical solution according to claim 18, wherein the setting information includes information on the metal species to be analyzed.
  22.  レジスト組成物の管理方法であって、
     前記レジスト組成物を半導体基板上に塗布する工程と、
     分析する金属種の数を設定する工程と、
     前記半導体基板の測定対象領域を領域分割する工程と、
     領域分割された各領域に、異なる金属種を割り当てる工程と、
     前記各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程と、
     前記誘導結合プラズマ質量分析する工程で得られた欠陥の質量分析データと、予め設定された基準データとを比較して、前記質量分析データが許容範囲内であるかどうかを判定する工程とを有する、レジスト組成物の管理方法。
    A method for managing a resist composition, the method comprising:
    applying the resist composition onto a semiconductor substrate;
    a step of setting the number of metal species to be analyzed;
    dividing the measurement target region of the semiconductor substrate into regions;
    a step of assigning a different metal type to each divided region;
    irradiating each region with a laser beam, collecting an analysis sample obtained from the irradiation with a carrier gas, and subjecting it to inductively coupled plasma mass spectrometry;
    Comparing the defect mass spectrometry data obtained in the inductively coupled plasma mass spectrometry step with preset reference data to determine whether the mass spectrometry data is within an allowable range. , a method for managing resist compositions.
  23.  レジスト組成物の管理方法であって、
     前記レジスト組成物を半導体基板上に塗布する工程と、
     前記半導体基板に対して、分析する金属種の数と、測定対象領域の領域分割と、前記領域分割された各領域に割り当てられる金属種とを含む設定情報に基づいて、前記各領域にレーザー光を照射して、照射より得られる分析試料をキャリアガスで回収して、誘導結合プラズマ質量分析する工程と、
     前記誘導結合プラズマ質量分析する工程で得られた欠陥の質量分析データと、予め設定された基準データとを比較して、前記質量分析データが許容範囲内であるかどうかを判定する工程とを有する、レジスト組成物の管理方法。
    A method for managing a resist composition, the method comprising:
    applying the resist composition on a semiconductor substrate;
    A laser beam is applied to each region of the semiconductor substrate based on setting information including the number of metal species to be analyzed, the division of the measurement target region, and the metal species assigned to each of the divided regions. irradiating the sample, collecting the analytical sample obtained from the irradiation with a carrier gas, and subjecting it to inductively coupled plasma mass spectrometry;
    Comparing the defect mass spectrometry data obtained in the inductively coupled plasma mass spectrometry step with preset reference data to determine whether the mass spectrometry data is within an acceptable range. , a method for managing resist compositions.
  24.  前記半導体基板の前記測定対象領域を領域分割する工程の前に、前記半導体基板の表面の輪郭情報を取得し、前記測定対象領域の情報を得る工程を有する、請求項22に記載のレジスト組成物の管理方法。 23. The resist composition according to claim 22, further comprising the step of obtaining contour information on the surface of the semiconductor substrate to obtain information on the measurement target region before the step of dividing the measurement target region of the semiconductor substrate into regions. management method.
  25.  分析する前記金属種の前記数を設定する工程は、分析する前記金属種を設定する工程を含む、請求項22又は24に記載のレジスト組成物の管理方法。 The resist composition management method according to claim 22 or 24, wherein the step of setting the number of the metal species to be analyzed includes the step of setting the metal species to be analyzed.
  26.  前記設定情報は、分析する前記金属種の情報を含む、請求項23に記載のレジスト組成物の管理方法。 24. The resist composition management method according to claim 23, wherein the setting information includes information on the metal species to be analyzed.
PCT/JP2023/008317 2022-03-24 2023-03-06 Analysis method, analysis device, management method for chemical solution, and management method for resist composition WO2023181882A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2022048120 2022-03-24
JP2022-048120 2022-03-24

Publications (1)

Publication Number Publication Date
WO2023181882A1 true WO2023181882A1 (en) 2023-09-28

Family

ID=88100625

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2023/008317 WO2023181882A1 (en) 2022-03-24 2023-03-06 Analysis method, analysis device, management method for chemical solution, and management method for resist composition

Country Status (2)

Country Link
TW (1) TW202401005A (en)
WO (1) WO2023181882A1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020076645A (en) * 2018-11-07 2020-05-21 国立大学法人名古屋大学 Mass analysis method of element in sample, analysis device used in mass analysis method and sample capturing kit
JP2020204494A (en) * 2019-06-14 2020-12-24 キオクシア株式会社 Analytical device and analytical method
WO2021157407A1 (en) * 2020-02-07 2021-08-12 富士フイルム株式会社 Standard sample film, manufacturing method for standard sample film, standard sample, sample set, quantitative analysis method, and transfer film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020076645A (en) * 2018-11-07 2020-05-21 国立大学法人名古屋大学 Mass analysis method of element in sample, analysis device used in mass analysis method and sample capturing kit
JP2020204494A (en) * 2019-06-14 2020-12-24 キオクシア株式会社 Analytical device and analytical method
WO2021157407A1 (en) * 2020-02-07 2021-08-12 富士フイルム株式会社 Standard sample film, manufacturing method for standard sample film, standard sample, sample set, quantitative analysis method, and transfer film

Also Published As

Publication number Publication date
TW202401005A (en) 2024-01-01

Similar Documents

Publication Publication Date Title
US4393311A (en) Method and apparatus for surface characterization and process control utilizing radiation from desorbed particles
US8674317B2 (en) Sample surface inspection apparatus and method
JP5090255B2 (en) STEM sample preparation method in situ
TWI420096B (en) An e-beam defect review system
US6753538B2 (en) Electron beam processing
US7256405B2 (en) Sample repairing apparatus, a sample repairing method and a device manufacturing method using the same method
JP2005249745A (en) Sample surface inspecting method and inspecting apparatus
JPH08220006A (en) Method and apparatus for analyzing minute foreign matter, and manufacture of semiconductor element or liquid crystal display element using the method and apparatus
JPH07325041A (en) Minute foreign substance positioning method, analyzing method, and analyzing apparatus used therefor, and manufacture of semiconductor element or liquid crystal display element using it
JP2010230672A (en) Method of forming image while milling work piece
Liang et al. Progress in extreme ultraviolet mask repair using a focused ion beam
US10495982B2 (en) System and method for real-time overlay error reduction
JP2023038168A (en) Method of inspecting medical fluid, method of manufacturing medical fluid, method of managing medical fluid, method of manufacturing semiconductor device, method of inspecting resist composition, method of manufacturing resist composition, method of managing resist composition, and method of checking contamination state of semiconductor manufacturing device
WO2023181882A1 (en) Analysis method, analysis device, management method for chemical solution, and management method for resist composition
JP2004179165A (en) Ion beam for target repair
US20040129880A1 (en) Sem inspection and analysis of patterned photoresist features
US10871454B2 (en) Inspection method and apparatus
JP2004132956A (en) Method for measuring undercut by using scanning electron microscope
JP2000010260A (en) Method for correcting black defect of mask correction apparatus
US20230097195A1 (en) Method for inspecting chemical solution, method for producing chemical solution, method for controlling chemical solution, method for producing semiconductor device, method for inspecting resist composition, method for producing resist composition, method for controlling resist composition, and method for checking contamination status of semiconductor manufacturing apparatus
US6473174B1 (en) Resist removal monitoring by raman spectroscopy
JP5302934B2 (en) Sample surface inspection method and inspection apparatus
WO2022163143A1 (en) Analysis device and analysis method
Lee Mask Repair
WO2024104605A1 (en) Improved editing of deep, multi-layered structures

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23774481

Country of ref document: EP

Kind code of ref document: A1