WO2023133585A1 - Interface de programmation d'application pour indiquer l'exécution de nœuds de graphe - Google Patents

Interface de programmation d'application pour indiquer l'exécution de nœuds de graphe Download PDF

Info

Publication number
WO2023133585A1
WO2023133585A1 PCT/US2023/060377 US2023060377W WO2023133585A1 WO 2023133585 A1 WO2023133585 A1 WO 2023133585A1 US 2023060377 W US2023060377 W US 2023060377W WO 2023133585 A1 WO2023133585 A1 WO 2023133585A1
Authority
WO
WIPO (PCT)
Prior art keywords
graph
node
processor
execution
api
Prior art date
Application number
PCT/US2023/060377
Other languages
English (en)
Inventor
Stephen Anthony Bernard Jones
Jason David Gaiser
David Anthony Fontaine
Sally Tessa Stevenson
Steven Arthur Gurfinkel
Original Assignee
Nvidia Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/572,385 external-priority patent/US20230222019A1/en
Priority claimed from US17/572,391 external-priority patent/US20230222010A1/en
Application filed by Nvidia Corporation filed Critical Nvidia Corporation
Priority to DE112023000204.5T priority Critical patent/DE112023000204T5/de
Publication of WO2023133585A1 publication Critical patent/WO2023133585A1/fr

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/54Interprogram communication
    • G06F9/546Message passing systems or structures, e.g. queues

Definitions

  • At least one embodiment pertains to processing resources used to execute one or more CUD A programs.
  • at least one embodiment pertains to processors or computing systems used to execute one or more CUDA programs that are instantiated using execution graphs and dynamically controlling and/or indicating execution of nodes of an execution graph.
  • Performing computational operations can use significant memory, time, or computing resources.
  • An amount of memory, time, or computing resources used to perform computation operations can be improved by using an instantiated execution graph to configure a GPU to perform computational operations efficiently and/or in parallel.
  • FIG. 1 illustrates an example computer system for defining and instantiating an execution graph, in accordance with at least one embodiment
  • FIG. 2 illustrates an example execution graph template, in accordance with at least one embodiment
  • FIG. 3 illustrates an example stream diagram of an instantiated execution graph, in accordance with at least one embodiment
  • FIG. 4 illustrates example launch sequences of an instantiated execution graph, in accordance with at least one embodiment
  • FIG. 5 illustrates an example repeated launch sequence of an instantiated execution graph, in accordance with at least one embodiment
  • FIG. 6 illustrates an example process for building and launching an execution graph, in accordance with at least one embodiment
  • FIG. 7 illustrates an example execution graph template, in accordance with at least one embodiment
  • FIG. 8 illustrates an example execution graph where graph nodes are disabled, in accordance with at least one embodiment
  • FIG. 9 illustrates an example execution graph where graph nodes are enabled and disabled, in accordance with at least one embodiment
  • FIG. 10 illustrates an example execution graph where graph nodes are individually enabled, in accordance with at least one embodiment
  • FIG. 11 illustrates an example execution graph where graph nodes are individually disabled, in accordance with at least one embodiment
  • FIG. 12 illustrates an example execution graph where graph nodes are fully disabled, in accordance with at least one embodiment
  • FIG. 13 illustrates an example process for disabling graph nodes of an execution graph, in accordance with at least one embodiment
  • FIG. 14 illustrates an example process for enabling graph nodes of an execution graph, in accordance with at least one embodiment
  • FIG. 15 illustrates an example environment where disabled graph nodes of an execution graph are updated, in accordance with at least one embodiment
  • FIG. 16 illustrates an example process for updating disabled graph nodes of an execution graph, in accordance with at least one embodiment
  • FIG. 17 illustrates an example software stack where application processing interface calls to are processed, in accordance with at least one embodiment
  • FIG. 18 illustrates an exemplary data center, in accordance with at least one embodiment
  • FIG. 19 illustrates a processing system, in accordance with at least one embodiment
  • FIG. 20 illustrates a computer system, in accordance with at least one embodiment
  • FIG. 21 illustrates a system, in accordance with at least one embodiment
  • FIG. 22 illustrates an exemplary integrated circuit, in accordance with at least one embodiment
  • FIG. 23 illustrates a computing system, according to at least one embodiment
  • FIG. 24 illustrates an APU, in accordance with at least one embodiment
  • FIG. 25 illustrates a CPU, in accordance with at least one embodiment
  • FIG. 26 illustrates an exemplary accelerator integration slice, in accordance with at least one embodiment
  • FIGS. 27A-27B illustrate exemplary graphics processors, in accordance with at least one embodiment
  • FIG. 28A illustrates a graphics core, in accordance with at least one embodiment
  • FIG. 28B illustrates a GPGPU, in accordance with at least one embodiment
  • FIG. 29A illustrates a parallel processor, in accordance with at least one embodiment
  • FIG. 29B illustrates a processing cluster, in accordance with at least one embodiment
  • FIG. 29C illustrates a graphics multiprocessor, in accordance with at least one embodiment
  • FIG. 30 illustrates a graphics processor, in accordance with at least one embodiment
  • FIG. 31 illustrates a processor, in accordance with at least one embodiment
  • FIG. 32 illustrates a processor, in accordance with at least one embodiment
  • FIG. 33 illustrates a graphics processor core, in accordance with at least one embodiment
  • FIG. 34 illustrates a PPU, in accordance with at least one embodiment
  • FIG. 35 illustrates a GPC, in accordance with at least one embodiment
  • FIG. 36 illustrates a streaming multiprocessor, in accordance with at least one embodiment
  • FIG. 37 illustrates a software stack of a programming platform, in accordance with at least one embodiment
  • FIG. 38 illustrates a CUD A implementation of a software stack of FIG. 37, in accordance with at least one embodiment
  • FIG. 39 illustrates a ROCm implementation of a software stack of FIG. 37, in accordance with at least one embodiment
  • FIG. 40 illustrates an OpenCL implementation of a software stack of FIG. 37, in accordance with at least one embodiment
  • FIG. 41 illustrates software that is supported by a programming platform, in accordance with at least one embodiment
  • FIG. 42 illustrates compiling code to execute on programming platforms of FIGS. 37 - 40, in accordance with at least one embodiment
  • FIG. 43 illustrates in greater detail compiling code to execute on programming platforms of FIGS. 37 - 40, in accordance with at least one embodiment
  • FIG. 44 illustrates translating source code prior to compiling source code, in accordance with at least one embodiment
  • FIG. 45 A illustrates a system configured to compile and execute CUDA source code using different types of processing units, in accordance with at least one embodiment
  • FIG. 45B illustrates a system configured to compile and execute CUDA source code of FIG. 45 A using a CPU and a CUDA-enabled GPU, in accordance with at least one embodiment
  • FIG. 45C illustrates a system configured to compile and execute CUDA source code of FIG. 45 A using a CPU and a non-CUDA-enabled GPU, in accordance with at least one embodiment
  • FIG. 46 illustrates an exemplary kernel translated by CUDA-to-HIP translation tool of FIG. 45C, in accordance with at least one embodiment
  • FIG. 47 illustrates non-CUDA-enabled GPU of FIG. 45C in greater detail, in accordance with at least one embodiment
  • FIG. 48 illustrates how threads of an exemplary CUDA grid are mapped to different compute units of FIG. 47, in accordance with at least one embodiment
  • FIG. 49 illustrates how to migrate existing CUDA code to Data Parallel C++ code, in accordance with at least one embodiment.
  • FIG. 1 illustrates an example computer system 100 for defining and instantiating an execution graph, in accordance with at least one embodiment.
  • a processor 102 includes processor memory 104.
  • processor 102 is a single-core processor.
  • processor 102 is a multi-core processor.
  • one or more additional processors, not shown, are connected to processor memory 104.
  • processor 102 is an element of a processing system such as processing system 1900 described herein.
  • processor 102 is an element of a computer system such as computer system 2000 described herein.
  • processor 102 is an element of a system such as system 2100 described herein.
  • processor 102 is an element of a computing system such as computing system 2300 described herein. In at least one embodiment, processor 102 is an element of a compute unit such as compute unit 4740 described herein. In at least one embodiment, processor 102 is a graphics processor such as graphics processor 2710 described herein. In at least one embodiment, processor 102 is a graphics processor such as graphics processor 2740 described herein. In at least one embodiment, processor 102 is a general-purpose graphics processing unit such as GPGPU 2830 described herein. In at least one embodiment, processor 102 is a graphics multiprocessor such as graphics multiprocessor 2934 described herein. In at least one embodiment, processor 102 is a graphics processor such as graphics processor 3000 described herein.
  • processor 102 is a graphics processor such as graphics processor 3208 described herein. In at least one embodiment, processor 102 is a parallel processing unit such as PPU 3400 described herein. In at least one embodiment, processor 102 is a GPU such as GPU 4792 described herein.
  • processor 102 has included thereon, instructions that, when executed, define an execution graph 106.
  • instructions that, when executed, define execution graph 106 are loaded from processor memory 104.
  • instructions that, when executed, define execution graph 106 are loaded from computer system 100.
  • instructions for processor 102 that, when executed, define execution graph 106 are stored in processor memory 104.
  • instructions that, when executed, define execution graph 106 are executed by a process, processor, thread, thread group, or some other such entity where that process, processor, thread, thread group, or some other such entity has access to processor memory 104.
  • instructions for a process, processor, thread, thread group, or some other such entity that, when executed, define execution graph 106 are stored in processor memory 104.
  • a graph template 108 is created.
  • graph template 108 is a representation of an execution graph such as define execution graph 106 that includes one or more of a description of nodes of an execution graph, a description of relationships or dependencies between nodes of an execution graph, and parameters for nodes of an execution graph.
  • graph template 108 is stored in processor memory 104.
  • graph template 108 is stored in other memory associated with processor 102 including, for example, an external storage device associated with processor 102.
  • processor 102 has included thereon, instructions that, when executed, instantiate execution graph 110.
  • instructions for processor 102 that, when executed, instantiate execution graph 110 are stored in processor memory 104.
  • instructions that, when executed, instantiate execution graph 110 are executed by a process, processor, thread, thread group, or some other such entity where that process, processor, thread, thread group, or some other such entity has access to processor memory 104.
  • instructions for a process, processor, thread, thread group, or some other such entity that, when executed, instantiate execution graph 110 are stored in processor memory 104.
  • graph template 108 is used to instantiate a graph instance 116.
  • execution graph topology 118 when instructions that instantiate execution graph 110 are executed, an execution graph topology 118 is generated from execution graph template 108.
  • execution graph topology 118 includes shape information of execution graph template 108 including, but not limited to, information about types of nodes in execution graph template 108, information about connections between nodes in execution graph template 108, information about node dependencies for execution graph, and/or information about subgraph nodes of execution graph template 108.
  • graph instance 116 when instructions that instantiate execution graph 110 are executed, graph instance 116 is instantiated in graphics processor memory 114 of graphics processor 112. In at least one embodiment, when instructions that instantiate execution graph 110 are executed, graph instance 116 is instantiated outside of graphics processor memory 114 of graphics processor 112 and then stored in graphics processor memory 114.
  • graphics processor 112 is a single-core processor. In at least one embodiment, graphics processor 112 is a multi-core processor. In at least one embodiment, one or more additional processors, not shown, are connected to graphics processor memory 114. In at least one embodiment, graphics processor 112 is an element of a processing system such as processing system 1900 described herein.
  • graphics processor 112 is an element of a computer system such as computer system 2000 described herein. In at least one embodiment, graphics processor 112 is an element of a system such as system 2100 described herein. In at least one embodiment, graphics processor 112 is an element of an integrated circuit such as integrated circuit 2200 described herein. In at least one embodiment, graphics processor 112 is an element of a computing system such as computing system 2300 described herein. In at least one embodiment, graphics processor 112 is a graphics processor such as graphics processor 2710 described herein. In at least one embodiment, graphics processor 112 is a graphics processor such as graphics processor 2740 described herein. In at least one embodiment, graphics processor 112 is a graphics multiprocessor such as graphics multiprocessor 2934 described herein.
  • graphics processor 112 is a general-purpose graphics processing unit such as GPGPU 2830 described herein. In at least one embodiment, graphics processor 112 is a graphics processor such as graphics processor 3000 described herein. In at least one embodiment, graphics processor 112 is a graphics processor such as graphics processor 3208 described herein. In at least one embodiment, graphics processor 112 is a parallel processing unit such as PPU 3400 described herein. In at least one embodiment, graphics processor 112 is a GPU such as GPU 4792 described herein.
  • a processor such as processor 102 comprises one or more circuits to perform an API to control which of one or more portions of graph code are to be performed. In at least one embodiment, a processor such as processor 102 comprises one or more circuits to perform an API to indicate which of one or more portions of graph code are to be performed. In at least one embodiment, a graphics processor such as graphics processor 112 comprises one or more circuits to perform an API to control which of one or more portions of graph code are to be performed. In at least one embodiment, a graphics processor such as graphics processor 112 comprises one or more circuits to perform an API to indicate which of one or more portions of graph code are to be performed.
  • a processor such as processor 102 implements a computer-implement method for performing an API to control which of one or more portions of graph code are to be performed. In at least one embodiment, a processor such as processor 102 implements a computer-implement method for performing an API to indicate which of one or more portions of graph code are to be performed. In at least one embodiment, a graphics processor such as graphics processor 112 implements a computer-implement method for performing an API to control which of one or more portions of graph code are to be performed. In at least one embodiment, a graphics processor such as graphics processor 112 implements a computer-implement method for performing an API to indicate which of one or more portions of graph code are to be performed.
  • a processor such as processor 102 uses memory such as processor memory 104 to store executable instructions that, as a result of being executed, cause processor 102 to perform an API to control which of one or more portions of graph code are to be performed.
  • a processor such as processor 102 uses memory such as processor memory 104 to store executable instructions that, as a result of being executed, cause processor 102 to perform an API to indicate which of one or more portions of graph code are to be performed.
  • a graphics processor such as graphics processor 112 uses memory such as graphics processor memory 114 to store executable instructions that, as a result of being executed, cause graphics processor 112 to perform an API to control which of one or more portions of graph code are to be performed.
  • a graphics processor such as graphics processor 112 uses memory such as graphics processor memory 114 to store executable instructions that, as a result of being executed, cause graphics processor 112 to perform an API to indicate which of one or more portions of graph code are to be performed.
  • a machine-readable medium (not shown in FIG. 1) has stored thereon a set of instructions, which if performed by a processor such as processor 102, cause processor 102 to perform an API to control which of one or more portions of graph code are to be performed.
  • a machine-readable medium (not shown in FIG. 1) has stored thereon a set of instructions, which if performed by a processor such as processor 102, cause processor 102 to perform an API to indicate which of one or more portions of graph code are to be performed.
  • a machine- readable medium (not shown in FIG. 1) has stored thereon a set of instructions, which if performed by a processor such as processor 102, cause processor 102 to perform an API to indicate which of one or more portions of graph code are to be performed.
  • a machine-readable medium (not shown in FIG. 1) has stored thereon a set of instructions, which if performed by a graphics processor such as graphics processor 112, cause graphics processor 112 to perform an API to control which of one or more portions of graph code are to be performed.
  • a machine-readable medium (not shown in FIG. 1) has stored thereon a set of instructions, which if performed by a graphics processor such as graphics processor 112, cause graphics processor 112 to perform an API to indicate which of one or more portions of graph code are to be performed.
  • a processor such as processor 102 performs an API comprising one or more parameters to control which of one or more portions of graph code are to be performed. In at least one embodiment, a processor such as processor 102 performs an API comprising one or more parameters to indicate which of one or more portions of graph code are to be performed. In at least one embodiment, a graphics processor such as graphics processor 112 performs an API comprising one or more parameters to control which of one or more portions of graph code are to be performed. In at least one embodiment, a graphics processor such as graphics processor 112 performs an API comprising one or more parameters to indicate which of one or more portions of graph code are to be performed.
  • FIG. 2 illustrates an example execution graph template 200, in accordance with at least one embodiment.
  • an execution graph template 202 includes one or more nodes and one or more relationships between those one or more nodes.
  • execution graph template 202 includes node “A” 204, node “B” 206, node “C” 210, node “D” 212, node “E” 214, node “X” 208, and node “Y” 216.
  • execution graph template 202 includes a start node 218 and an end node 220.
  • execution graph template 202 is a directed acyclic graph.
  • execution graph template 202 is a representation of an execution graph that indicates node types of nodes in execution graph template 202. In at least one embodiment, execution graph template 202 is a representation of an execution graph that indicates links between nodes to indicate an execution order and/or dependencies between operations represented by nodes of execution graph template 202.
  • an execution order of execution graph template 202 is indicated by edges of execution graph template 202.
  • a dependency between nodes of execution graph template 202 is indicated by edges of execution graph template 202.
  • an edge between, for example, node “A” 204 and node “B” 206 is an indication that node “B” 206 executes after node “A” 204 completes.
  • an edge between, for example, node “A” 204 and node “B” 206 is an indication that node “B” 206 depends on node “A” 204.
  • a node of execution graph template 202 has a single incoming edge (for example, node “B” 206).
  • a node of an execution graph template with a single incoming edge is a node with a single dependency.
  • node “B” 206 is dependent only on node “A” 204.
  • a node of execution graph template 202 has a plurality of incoming edges (for example, node “E” 214).
  • a node of an execution graph template with a plurality of incoming edges is a node with a plurality of dependencies.
  • node “E” 214 is dependent on node “C” 210 and on node “D” 212.
  • a node of execution graph template 202 has no incoming edges (for example, start node 218).
  • a node with no incoming edges has no dependencies.
  • a node with no dependencies may be a start node or root node of execution graph template 202.
  • a node with no incoming edges may also have no outgoing edges such that a single node, representing a single operation, is a complete graph.
  • a node of execution graph template 202 has a single outgoing edge (for example, node “X” 208).
  • a node of an execution graph template with a single outgoing edge is a node with a single dependent.
  • node “X” 208 has a single dependent in node “Y” 216.
  • a node of execution graph template 202 has a plurality of outgoing edges (for example, node “B” 206).
  • a node of an execution graph template with a plurality of outgoing edges is a node with a plurality of dependents.
  • node “B” 206 has a first dependent in node “C” 210 and a second dependent in node “D” 212.
  • a node of execution graph template 202 has no outgoing edges (for example, end node 220).
  • a node with no outgoing edges has no dependents.
  • a node with no dependents may be an end node or leaf node of execution graph template 202.
  • execution graph template 202 may have a plurality of end nodes.
  • an execution graph node is a child graph node, which is a node that represents an embedded (or child) graph.
  • a child graph node represents a new execution graph which may be substituted for a child graph node when execution graph template 202 is instantiated, as described herein.
  • a child graph node has zero, one, or a plurality of incoming edges and zero, one, or a plurality outgoing edges.
  • a child graph node with, for example, a single incoming edge is dependent on a single node.
  • an execution graph includes no child graph nodes.
  • an execution graph includes one or more child graph nodes.
  • a child graph node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, and a child graph.
  • an API that adds a child graph node to an execution graph returns an error code to a calling process that indicates a success or failure of an operation to add a child graph node to an execution graph.
  • an API that adds a child graph node to an execution graph stores topology information of an execution graph when adding a child graph node.
  • an API that adds a child graph node to an execution graph stores topology information of a child graph or sub-graph that is represented by a child graph node when adding a child graph node.
  • an execution graph node is an event record node, which is a node that records an event.
  • a node that records an event may be used to signal other processes that an operation has completed or that a stage of execution of an execution graph has been reached.
  • an event record node may record an event that one or more external processes are waiting for.
  • a recorded event may be used to signal other processes on a GPU and/or on a CPU.
  • node “E” 214 may, for example, be an event record node that serves as a signal to an external process that operations of node “C” 210 and node “D” 212 have completed.
  • an event record node may record an event that one external process is waiting for.
  • an event record node may record an event that a plurality of external process are waiting for.
  • an execution graph includes no event record nodes. In at least one embodiment, an execution graph includes one or more event record nodes. In at least one embodiment, an event record node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, and an event. In at least one embodiment, an API that adds an event record node to an execution graph returns an error code to a calling process that indicates a success or failure of an operation to add an event record node to an execution graph. In at least one embodiment, an API that adds an event record node to an execution graph stores topology information of an execution graph when adding an event record node.
  • an execution graph node is an event wait node, which is a node that waits for an event.
  • a node that waits for an event may be used by an execution graph to pause execution until an event is recorded.
  • an event wait node may wait for an event that recorded by an external process.
  • an event wait node may wait for an event from other processes on a GPU and/or on a CPU.
  • node “B” 206 may, for example, be an event wait node that waits for a signal from an external process before operations of node “C” 210 and node “D” 212 may begin.
  • an event record node of a first execution graph may be received by an event wait node of a second execution graph.
  • an event wait node may be a singular event wait node waiting for an event recorded by an external process.
  • an event wait node may be one of a plurality of event wait nodes waiting for a single event recorded by an external process.
  • an execution graph includes no event wait nodes. In at least one embodiment, an execution graph includes one or more event wait nodes. In at least one embodiment, an event wait node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, and an event. In at least one embodiment, an API that adds an event wait node to an execution graph returns an error code to a calling process that indicates a success or failure of an operation to add an event wait node to an execution graph. In at least one embodiment, an API that adds an event wait node to an execution graph stores topology information of an execution graph when adding an event wait node.
  • an execution graph node is semaphore signal node, which is a node that has similar functionality as an event record node, but is a node that signals execution status using a semaphore.
  • a semaphore signal node sends a semaphore signal to one or more other processes that are configured to receive a semaphore signal.
  • a semaphore signal node may be used to signal other processes that an operation has completed or that a stage of execution of an execution graph has been reached.
  • node “E” 214 may, for example, be a semaphore signal node that sends a semaphore signal to external processes to indicate that operations of node “C” 210 and node “D” 212 have completed.
  • a semaphore signal node may signal a semaphore that one external process is waiting for.
  • a semaphore signal node may signal a semaphore that a plurality of external process are waiting for.
  • an execution graph includes no semaphore signal nodes. In at least one embodiment, an execution graph includes one or more semaphore signal nodes. In at least one embodiment, a semaphore signal node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, and a set of semaphore signal node parameters. In at least one embodiment, an API that adds a semaphore signal node to an execution graph returns an error code to a calling process that indicates a success or failure of an operation to add a semaphore signal node to an execution graph. In at least one embodiment, an API that adds a semaphore signal node to an execution graph stores topology information of an execution graph when adding a semaphore signal node.
  • an execution graph node is a semaphore wait node, which is a node that has similar functionality as an event wait node, but is a node that waits for a semaphore.
  • a node that waits for a semaphore may be used by an execution graph to pause execution until a semaphore is signaled.
  • an event wait node may wait for an event that recorded by an external process.
  • a semaphore wait node may wait for a semaphore from other processes on a GPU and/or on a CPU.
  • node “B” 206 may, for example, be semaphore wait node that waits for a semaphore from an external process before operations of node “C” 210 and node “D” 212 may begin.
  • a semaphore signal node of a first execution graph may be received by a semaphore wait node of a second execution graph.
  • a semaphore wait node may be a singular semaphore wait node waiting for a semaphore signaled by an external process.
  • a semaphore wait node may be one of a plurality of semaphore wait nodes waiting for a single semaphore signaled by an external process.
  • an execution graph includes no semaphore wait nodes. In at least one embodiment, an execution graph includes one or more semaphore wait nodes. In at least one embodiment, a semaphore wait node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, and a set of semaphore signal node parameters. In at least one embodiment, an API that adds a semaphore wait node to an execution graph returns an error code to a calling process that indicates a success or failure of an operation to add a semaphore wait node to an execution graph. In at least one embodiment, an API that adds a semaphore wait node to an execution graph stores topology information of an execution graph when adding a semaphore wait node.
  • an execution graph node is host node, which is a node that executes one or more operations on a host CPU.
  • a host node executes a function on a host CPU by adding a function to an execution stream, described herein.
  • a host node executes a function after currently enqueued stream operations complete.
  • a host node blocks subsequently enqueued stream operations until after a function associated with a host node completes.
  • node “D” 212 may, for example, be a host node that executes a function on a host CPU by adding a function to an execution stream.
  • an execution graph includes no host nodes. In at least one embodiment, an execution graph includes one or more host nodes. In at least one embodiment, a host node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, and a set of host node parameters. In at least one embodiment, an API that adds a host node to an execution graph returns an error code to a calling process that indicates a success or failure of an operation to add a host node to an execution graph. In at least one embodiment, an API that adds a host node to an execution graph stores topology information of an execution graph when adding a host node.
  • an execution graph node is kernel node, which is a node that executes one or more operations on a GPU.
  • a kernel node invokes a kernel function on a GPU by executing a kernel function using a thread block, described herein.
  • node “C” 210 may, for example, be a kernel node that invokes a kernel function on a GPU by executing a kernel function using a thread block.
  • an execution graph includes no kernel nodes.
  • an execution graph includes one or more kernel nodes.
  • a kernel node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, and a set of kernel node parameters.
  • an API that adds a kernel node to an execution graph returns an error code to a calling process that indicates a success or failure of an operation to add a kernel node to an execution graph.
  • an API that adds a kernel node to an execution graph stores topology information of an execution graph when adding a kernel node.
  • an execution graph node is a memory allocation node, which is a node that allocates memory for use by GPU operations of an execution graph.
  • an execution graph node is a memory free node, which is a node that frees memory allocated by a memory allocation node.
  • memory allocated by a memory allocation node of an execution graph may be freed by a corresponding memory free node.
  • memory allocated by a memory allocation node may be used until freed by a corresponding memory free node.
  • node “A” 204 is a memory allocation node and node “E” 214 is a corresponding memory free node
  • node “B” 206, node “C” 210, and node “D” 212 may use memory allocated in node “A” 204 and freed in node “E” 214.
  • node “X” 208 may use memory allocated in node “A” 204 if node “X” 208 executes before node “E” 214.
  • node “Y” 216 may also use memory allocated in node “A” 204 if node “Y” 216 executes before node “E” 214.
  • memory allocated with a memory allocation node that is not freed by a corresponding memory free node may be used by any nodes in an execution graph that execute after memory allocation. In at least one embodiment, memory allocated with a memory allocation node that is not freed by a corresponding memory free node may be used by streams outside of an execution graph until freed. In at least one embodiment, memory allocated with a memory allocation node may be freed by an external memory free operation.
  • an execution graph includes no memory allocation nodes. In at least one embodiment, an execution graph includes one or more memory allocation nodes. In at least one embodiment, a memory allocation node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, and a set of memory allocation node parameters. In at least one embodiment, an API that adds a memory allocation node to an execution graph returns an error code to a calling process that indicates a success or failure of an operation to add a memory allocation node to an execution graph. In at least one embodiment, an API that adds a memory allocation node to an execution graph stores topology information of an execution graph when adding a memory allocation node.
  • an execution graph includes no memory free nodes. In at least one embodiment, an execution graph includes one or more memory free nodes. In at least one embodiment, a memory free node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, and a location of memory to free. In at least one embodiment, memory to free may be memory allocated by a memory allocation node. In at least one embodiment, an API that adds a memory free node to an execution graph returns an error code to a calling process that indicates a success or failure of an operation to add a memory free node to an execution graph. In at least one embodiment, an API that adds a memory free node to an execution graph stores topology information of an execution graph when adding a memory free node.
  • a memory management node is a memory copy node, which is a node that copies memory data between GPU objects.
  • a memory copy node may copy memory from a first GPU object such as a texture object to a second GPU object.
  • a memory copy node copies one-dimensional data between GPU objects.
  • a memory copy node copies memory from a location on a GPU specified by a named symbol.
  • a memory copy node copies memory to a location on a GPU specified by a named symbol.
  • a memory management node is a memory set node, which is a node that sets a collection of memory data on a GPU to an initial value and/or updates a collection of memory data on a GPU to an updated value.
  • an execution graph includes no memory copy nodes. In at least one embodiment, an execution graph includes one or more memory copy nodes. In at least one embodiment, a memory copy node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, and a set of memory copy parameters. In at least one embodiment, a memory copy node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, a destination, a source, a size in bytes to copy, and a type of transfer.
  • a memory copy node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, a destination, a device symbol to copy from, a size in bytes to copy, an offset from a start of a device symbol, and a type of transfer.
  • a memory copy node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, a device symbol to copy to, a source, a size in bytes to copy, an offset from a start of a device symbol, and a type of transfer.
  • an API that adds a memory code node to an execution graph returns an error code to a calling process that indicates a success or failure of an operation to add a memory copy node to an execution graph.
  • an API that adds a memory copy node to an execution graph stores topology information of an execution graph when adding a memory copy node.
  • an execution graph includes no memory set nodes. In at least one embodiment, an execution graph includes one or more memory set nodes. In at least one embodiment, a memory set node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, a number of node dependents, and memory set parameters. In at least one embodiment, an API that adds a memory set node to an execution graph returns an error code to a calling process that indicates a success or failure of an operation to add a memory set node to an execution graph. In at least one embodiment, an API that adds a memory set node to an execution graph stores topology information of an execution graph when adding a memory set node.
  • an execution graph node is an empty node, which is a node that has no associated operation.
  • an empty node may be used for graph execution flow control.
  • an empty node may be used to ensure a plurality of operations complete before continuing operation by creating an empty node as a dependent to node representing a plurality of operations.
  • an execution graph includes no empty nodes. In at least one embodiment, an execution graph includes one or more empty nodes. In at least one embodiment, an empty node is added to an execution graph using an API that receives, as inputs, a graph node, an execution graph, a set of node dependents, and a number of node dependents. In at least one embodiment, an API that adds an empty node to an execution graph returns an error code to a calling process that indicates a success or failure of an operation to add an empty node to an execution graph. In at least one embodiment, an API that adds an empty node to an execution graph stores topology information of an execution graph when adding an empty node.
  • FIG. 3 illustrates an example stream diagram 300 of an instantiated execution graph, in accordance with at least one embodiment.
  • an execution graph 302 is an instantiation of execution graph template 202, described herein.
  • an example stream diagram 300 illustrates dependencies of execution graph 302.
  • stream 304 includes a first dependency path of execution graph 302.
  • stream 306 includes a second dependency path of execution graph 302.
  • stream 308 includes a third dependency path of execution graph 302.
  • stream 304 begins with a start node (for example, start node 218) and then executes an operation represented by node “A” 204.
  • stream 306 begins with a wait node 310 because stream 306 may not begin execution until other dependencies from other streams are satisfied.
  • stream 308 begins with a wait node 312 because stream 308 also may not begin execution until other dependencies from other streams are satisfied.
  • a first dependency of node “A” 204 is node “B” 206.
  • an operation represented by node “B” 206 may execute in stream 304 after an operation represented by node “A” 204 completes.
  • a second dependency of node “A” 204 is node “X” 208.
  • an operation represented by node “X” 208 may execute in stream 308 after an operation represented by node “A” 204 completes.
  • wait node 312 of stream 308 receives a completion signal from node “A” 204, allowing an operation represented by node “X” 208 to execute in stream 308.
  • an operation represented by node “Y” 216 executes in stream 308 after an operation represented by node “X” 208 completes.
  • a first dependency of node “B” 206 is node “C” 210.
  • an operation represented by node “C” 210 may execute in stream 304 after an operation represented by node “B” 206 completes.
  • a second dependency of node “B” 206 is node “D” 212.
  • an operation represented by node “D” 212 may execute in stream 306 after an operation represented by node “B” 206 completes.
  • wait node 310 of stream 306 receives a completion signal from node “B” 206, allowing an operation represented by node “D” 212 to execute in stream 306.
  • stream 304 waits for completion of an operation represented by node “D” 212 executing in stream 306.
  • a wait node 314 in stream 304 receives a completion signal from node “D” 212 after completion of an operation represented by node “D” 212.
  • an operation represented by node “E” 214 may execute in stream 304.
  • stream 304 waits for completion of an operation represented by node “Y” 216 executing in stream 308.
  • a wait node 316 in stream 304 receives a completion signal from node “Y” 216 after completion of an operation represented by node “Y” 216.
  • execution of stream 304 completes with an end node (end node 220).
  • execution of stream 306 completes after sending a completion signal to wait node 314.
  • execution of stream 308 ends after sending a completion signal to wait node 316.
  • FIG. 4 illustrates example launch sequences 400 of an instantiated execution graph, in accordance with at least one embodiment.
  • a template execution graph 402 includes a simple graph with four nodes “A”-“D” and a simple dependency path from “A” to “B” to “C” to “D.”
  • a stream 442 shows a launch sequence where operations represented by nodes “A”-“D” are launched sequentially, not using an execution graph.
  • a stream 444 shows a launch sequence where operations represented by nodes “A”-“D” are launched using an execution graph.
  • a command 404 to launch an operation represented by node “A” is issued.
  • an operation 408 represented by node “A” is executed on stream 442.
  • a command 410 to launch an operation represented by node “B” is issued.
  • an operation 412 represented by node “B” is executed on stream 442.
  • operation 412 represented by node “B” may not execute on stream 442 before operation 408 represented by node “A” completes.
  • a command 414 to launch an operation represented by node “C” is issued.
  • an operation 416 represented by node “C” is executed on stream 442. In at least one embodiment, operation 416 represented by node “C” may not execute on stream 442 before operation 412 represented by node “B” completes. In at least one embodiment, a command 418 to launch an operation represented by node “D” is issued. In at least one embodiment, after an execution delay, an operation 420 represented by node “D” is executed on stream 442. In at least one embodiment, operation 420 represented by node “D” may not execute on stream 442 before operation 416 represented by node “C” completes. In at least one embodiment, after command 418 to launch an operation represented by node “D” is issued, a CPU is free 422 to perform other operations while operation 420 represented by node “D” is executing on stream 442.
  • a command 424 to build an execution graph for operations represented by nodes “A”-“D” from an execution graph template is executed.
  • command 424 to build an execution graph for operations represented by nodes “A”-“D” from an execution graph template may be executed previously and/or using a different process, processor, thread, thread group, or stream.
  • command 426 to launch an execution graph for operations represented by nodes “A”-“D” is issued.
  • an operation 430 represented by node “A” is executed on stream 444.
  • an operation 432 represented by node “B” is immediately executed on stream 444.
  • an operation 434 represented by node “C” is immediately executed on stream 444.
  • an operation 436 represented by node “D” is immediately executed on stream 444.
  • a CPU is free 438 to perform other operations while operation 436 represented by node “D” is executing on stream 444.
  • operation 436 represented by node “D” that executes as a result of launching an execution graph for operations represented by nodes “A”-“D” on stream 444 completes sooner than operation 420 represented by node “D” that executes as a result of launching operations represented by nodes “ A”-“D” sequentially on stream 442, resulting in time saved 440.
  • FIG. 5 illustrates an example repeated launch sequence 500 of an instantiated execution graph, in accordance with at least one embodiment.
  • a template execution graph 502 includes a simple graph with four nodes “A”-“D” and a simple dependency path from “A” to “B” to “C” to “D ”
  • an example repeated launch sequence 500 illustrates a stream for a launch sequence where operations represented by nodes “A”-“D” are repeatedly launched using an execution graph.
  • a command 504 to build an execution graph for operations represented by nodes “A”-“D” from an execution graph template is executed.
  • command 504 to build an execution graph for operations represented by nodes “A”-“D” from an execution graph template may be executed previously and/or using a different process, processor, thread, thread group, or stream.
  • a first command 506 to launch an execution graph for operations represented by nodes “A”-“D” is issued.
  • first command 506 to launch an execution graph for operations represented by nodes “A”-“D” may execute in a first stream 508.
  • an operation represented by node “A” is executed on stream 508.
  • an operation represented by node “B” is executed on stream 508.
  • an operation represented by node “C” is executed on stream 508. In at least one embodiment, after completion of an operation represented by node “C” is executed, an operation represented by node “D” is executed on stream 508.
  • a second command 510 to launch an execution graph for operations represented by nodes “A”-“D” is issued.
  • second command 510 to launch an execution graph for operations represented by nodes “A”-“D” may be issued immediately after a first command 506 to launch an execution graph for operations represented by nodes “A”-“D” is issued.
  • second command 510 to launch an execution graph for operations represented by nodes “A”-“D” may be issued simultaneously with issuing first command 506 to launch an execution graph for operations represented by nodes “A”-“D ”
  • second command 510 to launch an execution graph for operations represented by nodes “A”-“D” may be issued in parallel with first command 506 to launch an execution graph for operations represented by nodes “A”-“D ”
  • second command 510 to launch an execution graph for operations represented by nodes “A”-“D” may execute in a second stream 512.
  • operations represented by nodes “A”-“D” may execute in first stream 508 simultaneously with operations represented by nodes “A”-“D” executing in second stream 512.
  • operations represented by nodes “A”-“D” may execute in first stream 508 in parallel with operations represented by nodes “A”-“D” executing in second stream 512.
  • operations represented by node “A” are executed in second stream 512, followed by execution of operations represented by node “B,” followed by execution of operations represented by node “C,” and followed by execution of operations represented by node “D ”
  • a third command 514 to launch an execution graph for operations represented by nodes “A”-“D” may execute in a third stream 516.
  • operations represented by node “A” are executed on third stream 516, followed by execution of operations represented by node “B,” followed by execution of operations represented by node “C,” and followed by execution of operations represented by node “D ”
  • operations represented by nodes “A”-“D” may execute in third stream 516 simultaneously with operations represented by nodes “A”-“D” executing in second stream 512 and/or simultaneously with operations represented by nodes “A”-“D” executing in first stream 508.
  • subsequent commands to launch execution graphs for operations represented by nodes “A”-“D” may execute in additional streams.
  • subsequent commands to launch execution graphs for operations represented by nodes “A”-“D” may execute in stream 508, in stream 512, and/or in stream 516 after completion of previously executed operations represented by nodes “A”-“D ”
  • subsequent commands to launch execution graphs for operations represented by nodes “A”-“D” may execute when stream 508 completes execution of operations represented by node “D”, or when stream 512 execution of operations represented by node “D”, or when stream 516 complete execution of operations represented by node “D ”
  • FIG. 6 illustrates an example process 600 for building and launching an execution graph, in accordance with at least one embodiment.
  • a processor such as processor 102 executes instructions to perform at least a portion of example process 600.
  • a graphics processor such as graphics processor 112 executes instructions to perform at least a portion of example process 600.
  • an execution graph is built using systems and methods such as those described herein.
  • an execution graph is built from an execution graph template.
  • an execution graph is built using a graph construction API.
  • an execution graph is built from an execution stream.
  • execution advances to step 604.
  • step 604 it is determined whether an execution graph is a valid execution graph. In at least one embodiment, at step 604, it is determined whether an execution graph is a valid execution graph by traversing an execution graph to determine whether nodes of an execution graph are valid nodes. In at least one embodiment, it is determined whether an execution graph is a valid execution graph by traversing an execution graph to determine whether dependencies between nodes of an execution graph are valid dependencies. In at least one embodiment, it is determined whether an execution graph is a valid execution graph by evaluating a topology of an execution graph. In at least one embodiment, it is determined whether an execution graph is a valid execution graph by evaluating shape information associated with an execution graph.
  • step 604 if at step 604, it is determined that an execution graph is not a valid execution graph (“NO” branch), execution advances to step 606. In at least one embodiment, if at step 604, it is determined that an execution graph is a valid execution graph (“YES” branch), execution advances to step 608.
  • an error is returned.
  • an error is returned to a calling process.
  • an error is returned using an error reporting API.
  • an error is returned by using a signal.
  • an error is returned by using a semaphore.
  • an error is returned by using a sentinel value.
  • execution of example process 600 terminates.
  • step 608 it is determined whether to update an execution graph using systems and methods such as those described herein. In at least one embodiment, it is determined whether to update an execution graph based on receiving an instruction to update execution graph parameters before launching a graph instance of an execution graph. In at least one embodiment, an instruction to update execution graph parameters is received from a calling process. In at least one embodiment, a calling process that sends an instruction to update execution graph parameters is a process executing on a CPU. In at least one embodiment, a calling process that sends an instruction to update execution graph parameters is a process executing on a GPU.
  • step 608 it is determined whether to update an execution graph when an instruction to update execution graph parameters is received from a calling process. In at least one embodiment, at step 608, it is determined whether to update an execution graph when an instruction to update execution graph parameters is received using an API. In at least one embodiment, an instruction to update execution graph parameters is received using a signal. In at least one embodiment, an instruction to update execution graph parameters is received using a semaphore. In at least one embodiment, an instruction to update execution graph parameters is received using a sentinel value.
  • step 608 it is determined whether to update execution graph parameters based on a parameter associated with an execution graph. In at least one embodiment, at step 608, it is determined whether to update execution graph parameters based on a policy associated with an execution graph. In at least one embodiment, at step 608, it is determined whether to update execution graph parameters based on a flag associated with an execution graph. In at least one embodiment, at step 608, it is determined whether to update execution graph parameters based on receiving an updated template execution graph.
  • an execution graph has parameters set or updated using systems and methods such as those described herein.
  • an execution graph has parameters set or updated using an updated template execution graph.
  • an execution graph has parameters set or updated using a graph update API.
  • execution advances to step 612 to launch an execution graph instance.
  • an execution graph instance is launched from an execution graph.
  • an execution graph instance is launched on a GPU.
  • an execution graph instance is launched as a result of receiving a command to launch an execution graph instance.
  • command to launch an execution graph instance is received from a calling process.
  • a command to launch an execution graph instance is received from a calling process executing on a CPU.
  • a command to launch an execution graph instance is received from a calling process executing on a GPU.
  • a command to launch an execution graph instance is received from a calling process using an API.
  • execution advances to step 614.
  • step 614 it is determined whether to relaunch an execution graph using systems and methods such as those described herein. In at least one embodiment, it is determined whether to relaunch an execution graph based on receiving an instruction to launch a new graph instance of an execution graph. In at least one embodiment, an instruction to relaunch an execution graph is received from a calling process. In at least one embodiment, a calling process that sends an instruction to relaunch an execution graph is a process executing on a CPU. In at least one embodiment, a calling process that sends an instruction to relaunch an execution graph is a process executing on a GPU.
  • an execution graph may be relaunched with unchanged parameters as a result of determining, at step 608, not to update an execution graph.
  • an execution graph may be relaunched after updating graph parameters as a result of determining, at step 608, to update an execution graph.
  • an execution graph may be relaunched with unchanged parameters in some instantiations and may be relaunched with updated parameters in some instantiations.
  • step 616 if at step 614, it is determined to not relaunch an execution graph (“NO” branch), execution advances to step 616.
  • example process 600 returns.
  • an indication of successful completion of process 600 is returned.
  • an indication of successful completion of process 600 is returned to a calling process.
  • an indication of successful completion of process 600 is returned using a reporting API.
  • an indication of successful completion of process 600 is returned using a signal.
  • an indication of successful completion of process 600 is returned using a semaphore.
  • an indication of successful completion of process 600 is returned using a sentinel value.
  • execution of example process 600 terminates.
  • operations of example process 600 illustrated in FIG. 6 are performed in a different order than indicated in FIG. 6. In at least one embodiment, operations of example process 600 illustrated in FIG. 6 are performed simultaneously (or in parallel). In at least one embodiment, operations of example process 600 illustrated in FIG. 6 are performed by a plurality of threads executing on a processor such as processor 102 described herein at least in connection with FIG. 1. In at least one embodiment, operations of example process 600 illustrated in FIG. 6 are performed by a plurality of threads executing on a graphics processor such as graphics processor 112 described herein at least in connection with FIG. 1.
  • FIG. 7 illustrates an example execution graph template 700, in accordance with at least one embodiment.
  • a superset execution graph template 702 includes a start node 704 and one or more graph nodes such as those described herein.
  • superset execution graph template 702 is used to instantiate execution graphs described herein in connection with FIG. 8 to FIG. 12.
  • start node 704 has a single child node, graph node 706 that, as illustrated in FIG. 7, is designated as node A.
  • a child node such as graph node 706 is referred to as a dependent node.
  • a child node such as graph node 706 is referred to as a successor node.
  • graph node 706 has a plurality of child nodes, graph nodes 708.
  • graph node 706 has five child nodes that are designated nodes Bi, B2, B3, B4, and B5.
  • graph node 706 is a graph node of a type including, but not limited to, types of graph nodes such as those described herein.
  • graph node 706 is designated as a parent node of a child node such as node Bi.
  • a parent node such as graph node 706 is referred to as a predecessor node.
  • graph nodes 708 are referred to as descendants of graph node 706.
  • child nodes of graph nodes 708 (as well as child nodes of child nodes of graph nodes 708, and so on) are referred to as descendants of graph node 706.
  • parents of a graph node such as, for example, node Bi (as well as parents of parents of a graph node, and so on) are referred to as ancestors of a graph node.
  • graph nodes 708 represent five possible operations that may be executed after execution of graph node 706.
  • an operation represented by one of graph nodes 708 may be executed after execution of graph node 706 when, for example, a single node of graph nodes 708 is enabled, as described herein.
  • a plurality of operations represented by graph nodes 708 may be executed after execution of graph node 706 when, for example, a plurality of nodes of graph nodes 708 are enabled, as described herein.
  • all operations represented by graph nodes 708 may be executed after execution of graph node 706 when, for example, all nodes of graph nodes 708 are enabled, as described herein.
  • no operations represented by graph nodes 708 may be executed after execution of graph node 706 when, for example, no nodes of graph nodes 708 are enabled, as described herein.
  • graph nodes 708 are graph nodes of types including, but not limited to, types of graph nodes described herein.
  • nodes of graph nodes 708 have a single child node, graph node 710 that, as illustrated in FIG. 7, is designated as node C.
  • graph node 710 represents an operation that processes results from graph nodes 708.
  • graph node 710 represents a wait operation such as those described herein, that waits for execution of all enabled nodes of graph nodes 708 to complete execution.
  • graph node 710 is a graph node of a type including, but not limited to, types of graph nodes described herein.
  • graph node 710 has a single child node, graph node 712 that, as illustrated in FIG. 7, is designated as node D.
  • graph node 712 has a plurality of child nodes, graph nodes 714. In at least one embodiment, for example, graph node 712 has five child nodes that are designated nodes Ei, E2, E3, E4, and E5. In at least one embodiment, graph node 712 represents an operation that prepares data for use by graph nodes 714. In at least one embodiment, graph node 712 is a graph node of a type including, but not limited to, types of graph nodes described herein.
  • graph nodes 714 represent five possible operations that may be executed after execution of graph node 712. In at least one embodiment, for example, no operations may be executed if none of graph nodes 714 are enabled. In at least one embodiment, for example, one operation may be executed if one of graph nodes 714 is enabled. In at least one embodiment, for example, two, three, four, or all five operations may be executed depending on which of graph nodes 714 are enabled. In at least one embodiment, graph nodes 714 are graph nodes of types including, but not limited to, types of graph nodes described herein.
  • graph node 714 has a single child node, graph node 716 that, as illustrated in FIG. 7, is designated as node F.
  • graph node 716 represents an operation that processes results from graph nodes 714.
  • graph node 716 represents a wait operation such as those described herein.
  • graph node 716 is a graph node of a type including, but not limited to, types of graph nodes described herein.
  • graph node 716 has a single child node, graph node 718 that, as illustrated in FIG. 7, is designated as node G. In at least one embodiment, graph node 718 has a single child node, graph node 720 that, as illustrated in FIG. 7, is designated as node H. In at least one embodiment, graph node 720 has a plurality of child nodes, graph nodes 722. In at least one embodiment, for example, graph node 720 has five child nodes that are designated nodes Ii, I2, I3, I4, and Is. In at least one embodiment, graph node 720 represents an operation that prepares data for use by graph nodes 722. In at least one embodiment, graph node 720 is a graph node of a type including, but not limited to, types of graph nodes described herein.
  • graph nodes 722 represent five possible operations that may be executed after execution of graph node 720. In at least one embodiment, for example, no operations may be executed if none of graph nodes 722 are enabled. In at least one embodiment, for example, one operation may be executed if one of graph nodes 722 is enabled. In at least one embodiment, for example, two, three, four, or all five operations may be executed depending on which of graph nodes 722 are enabled. In at least one embodiment, graph nodes 722 are graph nodes of types including, but not limited to, types of graph nodes described herein.
  • graph node 722 has a single child node, graph node 724 that, as illustrated in FIG. 7, is designated as node J.
  • graph node 724 represents an operation that processes results from graph nodes 722.
  • graph node 724 represents a wait operation such as those described herein.
  • graph node 724 is a graph node of a type including, but not limited to, types of graph nodes described herein.
  • graph node 724 has a single child, end node 726.
  • FIG. 8 illustrates an example execution graph 800 where graph nodes are disabled, in accordance with at least one embodiment.
  • superset execution graph template 702 described herein at least in connection with FIG. 7 is used to instantiate an execution graph such as execution graph 802.
  • one or more nodes of execution graph 802 can be enabled or disabled after graph instantiation and/or during graph execution using systems and methods such as those described herein.
  • execution graph 802 represents a state of an instantiation of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • graph nodes 804 are enabled (Bi and B4) and three of graph nodes 804 are disabled (designated as 0).
  • graph nodes 804 are instantiations of graph nodes 708 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • three of graph nodes 806 are enabled (E2, E3, and E4) and two of graph nodes 806 are disabled (designated as 0).
  • graph nodes 806 are instantiations of graph nodes 714 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • FIG. 806 are instantiations of graph nodes 714 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • graph node 808 is disabled (designated as 0).
  • graph node 808 is an instantiation of graph node 718 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • all five of graph nodes 810 are enabled (Ii, h, I3, I4, and I5) and none are disabled.
  • graph nodes 810 are instantiations of graph nodes 722 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • execution graph 802 starts, executes node A, executes nodes Bi and B4, executes node C, executes node D, executes nodes E2, E3, and E4, executes node F, executes node H, executes nodes Ii, I2, I3, I4, and Is, executes node J, and ends.
  • FIG. 9 illustrates an example execution graph 900 where graph nodes are enabled and disabled, in accordance with at least one embodiment.
  • superset execution graph template 702 described herein at least in connection with FIG. 7 is used to instantiate an execution graph such as execution graph 902.
  • one or more nodes of execution graph 902 can be enabled or disabled after graph instantiation and/or during graph execution using systems and methods such as those described herein.
  • execution graph 902 represents a state of an instantiation of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • graph nodes 904 are enabled (B2 and B3) and three of graph nodes 904 are disabled (designated as 0).
  • graph nodes 904 are instantiations of graph nodes 708 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • three of graph nodes 906 are enabled (Ei, E2, and E5) and two of graph nodes 906 are disabled (designated as 0).
  • graph nodes 906 are instantiations of graph nodes 714 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • FIG. 9 two of graph nodes 904 are enabled (B2 and B3) and three of graph nodes 904 are disabled (designated as 0).
  • graph nodes 904 are instantiations of graph nodes 708 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • graph nodes 910 are instantiations of graph nodes 722 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • execution graph 902 starts, executes node A, executes nodes B2 and B3, executes node C, executes node D, executes nodes Ei, E2, and E5, executes node F, executes node G, executes node H, executes nodes I2 and I4, executes node J, and ends.
  • FIG. 10 illustrates an example execution graph 1000 where graph nodes are individually enabled, in accordance with at least one embodiment.
  • superset execution graph template 702 described herein at least in connection with FIG. 7, is used to instantiate an execution graph such as execution graph 1002.
  • execution graph 1002 can be enabled or disabled after graph instantiation and/or during graph execution using systems and methods such as those described herein.
  • execution graph 1002 represents a state of an instantiation of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • one of graph nodes 1004 is enabled (B3) and four of graph nodes 1004 are disabled (designated as 0).
  • graph nodes 1004 are instantiations of graph nodes 708 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • one of graph nodes 1006 is enabled (E5) and four of graph nodes 1006 are disabled (designated as 0).
  • graph nodes 1006 are instantiations of graph nodes 714 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • FIG. 10 one of graph nodes 1004 is enabled (B3) and four of graph nodes 1004 are disabled (designated as 0).
  • graph nodes 1004 are instantiations of graph nodes 708 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • graph nodes 1006 are instantiations of graph nodes 714 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • graph node 1010 one of graph node 1010 is enabled (I2) and four of graph nodes 1010 are disabled designated as 0).
  • graph nodes 1010 are instantiations of graph nodes 722 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • execution graph 1002 starts, executes node A, executes node B3, executes node C, executes node D, executes node E5, executes node F, executes node G, executes node H, executes node I2, executes node J, and ends.
  • execution graph 1002 represents a single option at each node set of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • FIG. 11 illustrates an example execution graph 1100 where graph nodes are individually disabled, in accordance with at least one embodiment.
  • superset execution graph template 702 described herein at least in connection with FIG. 7 is used to instantiate an execution graph such as execution graph 1102.
  • one or more nodes of execution graph 1102 can be enabled or disabled after graph instantiation and/or during graph execution using systems and methods such as those described herein.
  • execution graph 1102 represents a state of an instantiation of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • none of graph nodes 1104 are enabled (all are disabled, designated as 0).
  • graph nodes 1104 are instantiations of graph nodes 708 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • none of graph nodes 1106 are enabled (all are disabled, designated as 0).
  • graph nodes 1106 are instantiations of graph nodes 714 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • none of graph nodes 1110 are enabled (all are disabled, designated as 0).
  • graph nodes 1110 are instantiations of graph nodes 722 of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • execution graph 1102 starts, executes node A, executes node C, executes node D, executes node F, executes node G, executes node H, executes node J, and ends.
  • execution graph 1102 executes no option at each node set of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • FIG. 12 illustrates an example execution graph 1200 where graph nodes are fully disabled, in accordance with at least one embodiment.
  • superset execution graph template 702 described herein at least in connection with FIG. 7 is used to instantiate an execution graph such as execution graph 1202.
  • one or more nodes of execution graph 1202 can be enabled or disabled after graph instantiation and/or during graph execution using systems and methods such as those described herein.
  • execution graph 1202 represents a state of an instantiation of superset execution graph template 702, described herein at least in connection with FIG. 7.
  • no graph nodes are enabled.
  • none of graph nodes 1204 are enabled (all are disabled, designated as 0)
  • none of graph nodes 1206 are enabled (all are disabled, designated as 0)
  • none of graph nodes 1210 are enabled (all are disabled, designated as 0)
  • no other graph nodes are enabled.
  • execution graph 1202 starts, does not execute any nodes, and ends.
  • execution graph 1202 represents a valid, but completely disabled execution graph.
  • all graph nodes may also be enabled.
  • an execution graph may start, execute node A, execute nodes Bi, B2, B3, B4, and B5, execute node C, execute node D, execute nodes Ei, E2, E3, E4, and E5, execute node F, execute node G, execute node H, execute nodes Ii, I2, I3, I4, and Is, execute node J, and end.
  • zero, one, a plurality, or all nodes of an execution graph may be enabled or disabled using systems and methods such as those described herein.
  • FIG. 13 illustrates an example process 1300 for disabling graph nodes of an execution graph, in accordance with at least one embodiment.
  • a processor such as processor 102 executes instructions to perform at least a portion of example process 1300.
  • a graphics processor such as graphics processor 112 executes instructions to perform at least a portion of example process 1300.
  • an execution graph is instantiated using a superset execution graph template such as superset execution graph template 702, described herein at least in connection with FIG. 7, using systems and methods such as those described herein.
  • execution advances to step 1304.
  • step 1304 it is determined whether a command to disable a node has been received. In at least one embodiment, at step 1304, if it is determined that a command to disable a node has been received (“YES” branch) execution of example process 1300 advances to step 1306. In at least one embodiment, at step 1304, if it is determined that a command to disable a node has not been received (“NO” branch) execution of example process 1300 advances to step 1316.
  • step 1306 an attempt is made to locate a node associated with a command to disable a node received in step 1304.
  • an error is returned.
  • an error is returned to a calling process.
  • an error is returned using an error reporting API.
  • an error is returned by using a signal.
  • an error is returned by using a semaphore.
  • an error is returned by using a sentinel value.
  • step 1312 it is determined whether a located node associated with a command to disable a node is currently enabled. In at least one embodiment, at step 1312, if it is determined that a located node associated with a command to disable a node is not currently enabled (“NO” branch), execution of example process advances to step 1316. In at least one embodiment, at step 1312, if it is determined that a located node associated with a command to disable a node is currently enabled (“YES” branch), execution of example process advances to step 1314.
  • an enabled located node associated with a command to disable a node is disabled using systems and methods such as those described herein.
  • a data structure used to describe functionality associated with a node is saved.
  • a data structure used to describe functionality associated with a node is a compute work item data structure, which may be referred to herein as a “QMD.”
  • QMD compute work item data structure
  • a null data structure that indicates that a node does not require computational resources is a null QMD.
  • a null QMD is a QMD with one or more parameters set to zero.
  • a null QMD is a QMD with one or more grid dimensions set to zero.
  • a null kernel is associated with a disabled node.
  • execution of example process 1300 advances to step 1316.
  • example process 1300 returns to step 1304 to disable one or more additional nodes before advancing to step 1316.
  • example process continues at step 1404 of example process 1400, described herein at least in connection with FIG. 14, to enable one or more nodes before advancing to step 1316 and/or before advancing to step 1416 of example process 1400.
  • an instantiated execution graph with disabled and/or enabled nodes is executed using systems and methods such as those described herein.
  • example process 1300 terminates.
  • example process 1300 continues at step 1304, to receive additional commands to disable nodes.
  • example process 1300 continues at step 1404 of example process 1400 to receive commands to enable nodes.
  • example process 1300 and/or example process 1400 may process a plurality of commands to disable nodes and/or process a plurality of commands to enable nodes, before step 1316 execution of instantiated execution graph with disabled and/or enabled nodes.
  • operations of example process 1300 illustrated in FIG. 13 are performed in a different order than indicated in FIG. 13. In at least one embodiment, operations of example process 1300 illustrated in FIG. 13 are performed simultaneously (or in parallel). In at least one embodiment, operations of example process 1300 illustrated in FIG. 13 are performed by a plurality of threads executing on a processor such as processor 102 described herein at least in connection with FIG. 1. In at least one embodiment, operations of example process 1300 illustrated in FIG. 13 are performed by a plurality of threads executing on a graphics processor such as graphics processor 112 described herein at least in connection with FIG. 1.
  • FIG. 14 illustrates an example process 1400 for enabling graph nodes of an execution graph, in accordance with at least one embodiment.
  • a processor such as processor 102 executes instructions to perform at least a portion of example process 1400.
  • a graphics processor such as graphics processor 112 executes instructions to perform at least a portion of example process 1400.
  • an execution graph is instantiated using a superset execution graph template such as superset execution graph template 702, described herein at least in connection with FIG. 7, using systems and methods such as those described herein.
  • execution advances to step 1404.
  • step 1404 it is determined whether a command to enable a node has been received. In at least one embodiment, at step 1404, if it is determined that a command to enable a node has been received (“YES” branch) execution of example process 1400 advances to step 1406. In at least one embodiment, at step 1404, if it is determined that a command to enable a node has not been received (“NO” branch) execution of example process 1400 advances to step 1414.
  • step 1406 an attempt is made to locate a node associated with a command to enable a node received in step 1404.
  • an error is returned.
  • an error is returned to a calling process.
  • an error is returned using an error reporting API.
  • an error is returned by using a signal.
  • an error is returned by using a semaphore.
  • an error is returned by using a sentinel value.
  • example process 1400 advances to step 1416, described below.
  • example process 1400 terminates.
  • step 1412 it is determined whether a located node associated with a command to enable a node is currently disabled. In at least one embodiment, at step 1412, if it is determined that a located node associated with a command to enable a node is not currently disabled (“NO” branch), execution of example process advances to step 1416. In at least one embodiment, at step 1412, if it is determined that a located node associated with a command to enable a node is currently disabled (“YES” branch), execution of example process advances to step 1414. [0161] In at least one embodiment, at step 1414, a disabled located node associated with a command to enable a node is enabled using systems and methods such as those described herein.
  • example process 1400 when a node associated with a command to enable a node is enabled, a data structure used to describe functionality associated with a node is restored. In at least one embodiment, a data structure used to describe functionality associated with a node is a QMD. In at least one embodiment, when a node associated with a command to enable a node is enabled, a functionality previously associated with a node is restored. In at least one embodiment, after step 1414, execution of example process 1400 advances to step 1416. In at least one embodiment, not illustrated in FIG. 14, example process 1400 returns to step 1404 to enable one or more additional nodes before advancing to step 1416. In at least one embodiment, not illustrated in FIG. 14, example process continues at step 1304 of example process 1300, described herein at least in connection with FIG. 13, to disable one or more nodes before advancing to step 1416 and/or before advancing to step 1316 of example process 1300.
  • an instantiated execution graph with disabled and/or enabled nodes is executed using systems and methods such as those described herein.
  • example process 1400 terminates.
  • example process 1400 continues at step 1404, to receive additional commands to enable nodes.
  • example process 1400 continues at step 1304 of example process 1300 to receive commands to disable nodes.
  • example process 1400 and/or example process 1300 may process a plurality of commands to disable nodes and/or process a plurality of commands to enable nodes, before step 1416 execution of instantiated execution graph with disabled and/or enabled nodes.
  • operations of example process 1400 illustrated in FIG. 14 are performed in a different order than indicated in FIG. 14. In at least one embodiment, operations of example process 1400 illustrated in FIG. 14 are performed simultaneously (or in parallel). In at least one embodiment, operations of example process 1400 illustrated in FIG. 14 are performed by a plurality of threads executing on a processor such as processor 102 described herein at least in connection with FIG. 1. In at least one embodiment, operations of example process 1400 illustrated in FIG. 14 are performed by a plurality of threads executing on a graphics processor such as graphics processor 112 described herein at least in connection with FIG. 1.
  • FIG. 15 illustrates an example environment 1500 where disabled graph nodes of an execution graph are updated, in accordance with at least one embodiment.
  • a graph 1502 receives a command 1504 to disable node “C” using systems and methods such as those described herein.
  • a resulting graph 1506 has node “C” replaced with a disabled node (designated as 0).
  • graph 1510 when graph 1510 (which is identical to resulting graph 1506) receives a first update 1508 to disabled node “C”, data for first update 1508 may be stored in memory using systems and methods such as those described herein.
  • graph 1510 receives a second update 1512 to disabled node “C”
  • data for second update 1512 may be stored in memory using systems and methods such as those described herein.
  • graph 1510 receives a command 1514 to enable node “C” using systems and methods such as those described herein.
  • a resulting graph 1516 has disabled node (designated as 0) replaced with restored node “C” using systems and methods such as those described herein.
  • a most recent update may be applied to restored node “C ”
  • second update 1512 may be applied to restored node “C” when node “C” is restored.
  • first update 1508 may first be applied to node “C” when node “C” is restored and then second update 1512 may be applied to restored node “C ”
  • FIG. 16 illustrates an example process 1600 for updating disabled graph nodes of an execution graph, in accordance with at least one embodiment.
  • a processor such as processor 102 executes instructions to perform at least a portion of example process 1600.
  • a graphics processor such as graphics processor 112 executes instructions to perform at least a portion of example process 1600.
  • an execution graph is instantiated using a superset execution graph template such as superset execution graph template 702, described herein at least in connection with FIG. 7, using systems and methods such as those described herein.
  • execution advances to step 1604.
  • a node update is received.
  • a node update includes one or more data items that may be used to update data associated with a node.
  • a node update may include one or more data items that specify parameters for a node.
  • execution of example process 1600 advances to step 1606.
  • step 1606 a search for a node associated with a node update is performed.
  • example process 1600 advances to step 1608.
  • step 1608 it is determined whether a node associated with a node update was found (in step 1606). In at least one embodiment, at step 1608, if it is determined that a node associated with a node update was not found (“NO” branch), execution of example process 1600 advances to step 1610. In at least one embodiment, at step 1608, if it is determined that a node associated with a node update was found (“YES” branch), execution of example process 1600 advances to step 1612.
  • an error is returned.
  • an error is returned to a calling process.
  • an error is returned using an error reporting API.
  • an error is returned by using a signal.
  • an error is returned by using a semaphore.
  • an error is returned by using a sentinel value.
  • example process 1600 terminates. In at least one embodiment, not illustrated in FIG. 16, after step 1610, example process continues at step 1618, described herein.
  • step 1612 it is determined whether a node associated with a node update is enabled. In at least one embodiment, at step 1612, if it is determined that a node associated with a node update is not enabled (“NO” branch), execution of example process 1600 advances to step 1614. In at least one embodiment, at step 1612, if it is determined that a node associated with a node update is enabled (“YES” branch), execution of example process 1600 advances to step 1616.
  • node data associated with a node update to a node that is not enabled is stored for later enablement.
  • node data associated with a node update to a node that is not enabled is stored for later enablement in memory such as processor memory 104, described herein at least in connection with FIG. 1.
  • node data associated with a node update to a node that is not enabled is stored for later enablement in memory such as graphics processor memory 114, described herein at least in connection with FIG. 1.
  • example process 1600 advances to step 1618.
  • node data stored for later enablement stored in memory may be used to update a later enabled node, as described herein at least in connection with FIG. 15.
  • step 1616 node data associated with a node update to a node that is enabled is used to update a node associated with a node update using systems and methods such as those described herein.
  • example process 1600 advances to step 1618.
  • step 1618 it is determined whether a next update may be performed. In at least one embodiment, at step 1618, if it is determined that a next update may not be performed (“NO” branch), execution of example process 1600 advances to step 1620. In at least one embodiment, at step 1618, if it is determined that a next update may be performed (“YES” branch), execution of example process 1600 continues at step 1604, to receive a next node update.
  • an indication of successful completion of process 1600 is returned.
  • an indication of successful completion of process 1600 is returned to a calling process.
  • an indication of successful completion of process 1600 is returned using a reporting API.
  • an indication of successful completion of process 1600 is returned using a signal.
  • an indication of successful completion of process 1600 is returned using a semaphore.
  • an indication of successful completion of process 1600 is returned using a sentinel value.
  • example process 1600 terminates.
  • example process 1600 continues at step 1604, to receive a next node update.
  • operations of example process 1600 illustrated in FIG. 16 are performed in a different order than indicated in FIG. 16. In at least one embodiment, operations of example process 1600 illustrated in FIG. 16 are performed simultaneously (or in parallel). In at least one embodiment, operations of example process 1600 illustrated in FIG. 16 are performed by a plurality of threads executing on a processor such as processor 102 described herein at least in connection with FIG. 1. In at least one embodiment, operations of example process 1600 illustrated in FIG. 16 are performed by a plurality of threads executing on a graphics processor such as graphics processor 112 described herein at least in connection with FIG. 1.
  • FIG. 17 illustrates an example software stack 1700 where application processing interface calls to are processed, in accordance with at least one embodiment.
  • an application 1702 executes a command to determine if a feature 1704 is supported.
  • an application 1702 executes a command to determine if feature 1704 to enable and/or disable graph nodes is supported.
  • application 1702 executes a command to determine if feature 1704 to control which of one or more portions of graph code are to be performed is supported.
  • application 1702 executes a command to determine if feature 1704 to indicate which of one or more portions of graph code are to be performed is supported.
  • application 1702 uses 1706 one or more runtime APIs 1708 to determine if feature 1704 to enable and/or disable graph nodes is supported. In at least one embodiment, application 1702 uses 1706 one or more runtime APIs 1708 to determine if feature 1704 to control which of one or more portions of graph code are to be performed is supported. In at least one embodiment, application 1702 uses 1706 one or more runtime APIs 1708 to determine if feature 1704 to indicate which of one or more portions of graph code are to be performed is supported.
  • runtime APIs 1708 use 1710 one or more driver APIs 1712 to determine if feature 1704 to enable and/or disable graph nodes is supported. In at least one embodiment, runtime APIs 1708 use 1710 one or more driver APIs 1712 to determine if feature 1704 to control which of one or more portions of graph code are to be performed is supported. In at least one embodiment, runtime APIs 1708 use 1710 one or more driver APIs 1712 to determine if feature 1704 to indicate which of one or more portions of graph code are to be performed is supported. [0181] In at least one embodiment, not shown in FIG. 17, application 1702 uses one or more driver APIs 1712 to determine if feature 1704 to enable and/or disable graph nodes is supported.
  • application 1702 uses one or more driver APIs 1712 to determine if feature 1704 to control which of one or more portions of graph code are to be performed is supported. In at least one embodiment, not shown in FIG. 17, application 1702 uses one or more driver APIs 1712 to determine if feature 1704 to indicate which of one or more portions of graph code are to be performed is supported.
  • driver APIs 1712 query 1714 computer system hardware 1716 to determine if feature 1704 to enable and/or disable graph nodes is supported. In at least one embodiment, driver APIs 1712 query 1714 computer system hardware 1716 to determine if feature 1704 to control which of one or more portions of graph code are to be performed is supported. In at least one embodiment, driver APIs 1712 query 1714 computer system hardware 1716 to determine if feature 1704 indicate which of one or more portions of graph code are to be performed is supported.
  • computer system hardware 1716 determines if feature 1704 to enable and/or disable graph nodes is supported by a processor 1734, by querying a set of capabilities associated with processor 1734. In at least one embodiment, computer system hardware 1716 determines if feature 1704 to control which of one or more portions of graph code are to be performed is supported by a processor 1734, by querying a set of capabilities associated with processor 1734. In at least one embodiment, computer system hardware 1716 determines if feature 1704 to indicate which of one or more portions of graph code are to be performed is supported by a processor 1734, by querying a set of capabilities associated with processor 1734.
  • computer system hardware 1716 determines if a feature 1704 to enable and/or disable graph nodes is supported by processor 1734, using an operating system of processor 1734. In at least one embodiment, computer system hardware 1716 determines if feature 1704 to control which of one or more portions of graph code are to be performed is supported by a processor 1734, using an operating system of processor 1734. In at least one embodiment, computer system hardware 1716 determines if feature 1704 to indicate which of one or more portions of graph code are to be performed is supported by a processor 1734, using an operating system of processor 1734.
  • computer system hardware 1716 determines if feature 1704 to enable and/or disable graph nodes is supported by a graphics processor 1736 by querying a set of capabilities associated with graphics processor 1736. In at least one embodiment, computer system hardware 1716 determines if feature 1704 to control which of one or more portions of graph code are to be performed is supported by a graphics processor 1736, by querying a set of capabilities associated with graphics processor 1736. In at least one embodiment, computer system hardware 1716 determines if feature 1704 to indicate which of one or more portions of graph code are to be performed is supported by a graphics processor 1736, by querying a set of capabilities associated with graphics processor 1736.
  • computer system hardware 1716 determines if feature 1704 to enable and/or disable graph nodes is supported by graphics processor 1736 using an operating system of processor 1734. In at least one embodiment, computer system hardware 1716 determines if feature 1704 to control which of one or more portions of graph code are to be performed is supported by graphics processor 1736, using an operating system of processor 1734. In at least one embodiment, computer system hardware 1716 determines if feature 1704 to control which of one or more portions of graph code are to be performed is supported by graphics processor 1736, using an operating system of processor 1734.
  • computer system hardware 1716 determines if feature 1704 to enable and/or disable graph nodes is supported by graphics processor 1736, using an operating system of graphics processor 1736. In at least one embodiment, computer system hardware 1716 determines if feature 1704 to control which of one or more portions of graph code are to be performed is supported by graphics processor 1736, using an operating system of graphics processor 1736. In at least one embodiment, computer system hardware 1716 determines if feature 1704 to indicate which of one or more portions of graph code are to be performed is supported by graphics processor 1736, using an operating system of graphics processor 1736.
  • computer system hardware 1716 determines whether feature 1704 to enable and/or disable graph nodes is supported, computer system hardware 1716 returns 1718 a determination result using driver APIs 1712, which may return 1720 a determination result using runtime APIs 1708, which may return 1722 a determination result to application 1702. In at least one embodiment, after computer system hardware 1716 determines whether feature 1704 to control which of one or more portions of graph code are to be performed is supported, computer system hardware 1716 returns 1718 a determination result using driver APIs 1712, which may return 1720 a determination result using runtime APIs 1708, which may return 1722 a determination result to application 1702.
  • computer system hardware 1716 determines whether feature 1704 to indicate which of one or more portions of graph code are to be performed is supported, computer system hardware 1716 returns 1718 a determination result using driver APIs 1712, which return 1720 a determination result using runtime APIs 1708, which return 1722 a determination result to application 1702.
  • application 1702 if application 1702 receives a determination result that indicates that feature 1704 to enable and/or disable graph nodes is supported 1724, application 1702 enables and/or disables 1726 graph nodes using systems and methods such as those described herein. In at least one embodiment, if application 1702 receives a determination result that indicates that feature 1704 to control which of one or more portions of graph code are to be performed is supported 1724, application 1702 enables and/or disables 1726 graph nodes using systems and methods such as those described herein.
  • application 1702 if application 1702 receives a determination result that indicates that feature 1704 to indicate which of one or more portions of graph code are to be performed is supported 1724, application 1702 enables and/or disables 1726 graph nodes using systems and methods such as those described herein.
  • application 1702 enables and/or disables 1726 graph nodes using 1728 runtime APIs 1708.
  • runtime APIs 1708 enable and/or disable 1726 graph nodes using 1730 driver APIs 1712.
  • driver APIs 1712 enable and/or disable 1726 graph nodes using 1732 computer system hardware 1716.
  • FIG. 18 illustrates an exemplary data center 1800, in accordance with at least one embodiment.
  • data center 1800 includes, without limitation, a data center infrastructure layer 1810, a framework layer 1820, a software layer 1830 and an application layer 1840.
  • data center infrastructure layer 1810 may include a resource orchestrator 1812, grouped computing resources 1814, and node computing resources (“node C.R.s”) 1816(1)- 1816(N), where “N” represents any whole, positive integer.
  • node C.R.s 1816(1)-1816(N) may include, but are not limited to, any number of central processing units (“CPUs”) or other processors (including accelerators, field programmable gate arrays (“FPGAs”), data processing units (“DPUs”) in network devices, graphics processors, etc.), memory devices (e.g., dynamic read-only memory), storage devices (e.g., solid state or disk drives), network input/output (“NW VO”) devices, network switches, virtual machines (“VMs”), power modules, and cooling modules, etc.
  • one or more node C.R.s from among node C.R.s 1816(1)- 1816(N) may be a server having one or more of above-mentioned computing resources.
  • grouped computing resources 1814 may include separate groupings of node C.R.s housed within one or more racks (not shown), or many racks housed in data centers at various geographical locations (also not shown). Separate groupings of node C.R.s within grouped computing resources 1814 may include grouped compute, network, memory or storage resources that may be configured or allocated to support one or more workloads. In at least one embodiment, several node C.R.s including CPUs or processors may grouped within one or more racks to provide compute resources to support one or more workloads. In at least one embodiment, one or more racks may also include any number of power modules, cooling modules, and network switches, in any combination.
  • resource orchestrator 1812 may configure or otherwise control one or more node C.R.s 1816(1)-1816(N) and/or grouped computing resources 1814.
  • resource orchestrator 1812 may include a software design infrastructure (“SDI”) management entity for data center 1800.
  • SDI software design infrastructure
  • resource orchestrator 1812 may include hardware, software or some combination thereof.
  • framework layer 1820 includes, without limitation, a job scheduler 1832, a configuration manager 1834, a resource manager 1836 and a distributed file system 1838.
  • framework layer 1820 may include a framework to support software 1852 of software layer 1830 and/or one or more application(s) 1842 of application layer 1840.
  • software 1852 or application(s) 1842 may respectively include web-based service software or applications, such as those provided by Amazon Web Services, Google Cloud and Microsoft Azure.
  • framework layer 1820 may be, but is not limited to, a type of free and open-source software web application framework such as Apache SparkTM (hereinafter “Spark”) that may utilize distributed file system 1838 for large-scale data processing (e.g., "big data”).
  • Spark Apache SparkTM
  • job scheduler 1832 may include a Spark driver to facilitate scheduling of workloads supported by various layers of data center 1800.
  • configuration manager 1834 may be capable of configuring different layers such as software layer 1830 and framework layer 1820, including Spark and distributed file system 1838 for supporting large-scale data processing.
  • resource manager 1836 may be capable of managing clustered or grouped computing resources mapped to or allocated for support of distributed file system 1838 and job scheduler 1832.
  • clustered or grouped computing resources may include grouped computing resource 1814 at data center infrastructure layer 1810.
  • resource manager 1836 may coordinate with resource orchestrator 1812 to manage these mapped or allocated computing resources.
  • software 1852 included in software layer 1830 may include software used by at least portions of node C.R.s 1816(1)- 1816(N), grouped computing resources 1814, and/or distributed file system 1838 of framework layer 1820.
  • One or more types of software may include, but are not limited to, Internet web page search software, e-mail virus scan software, database software, and streaming video content software.
  • application(s) 1842 included in application layer 1840 may include one or more types of applications used by at least portions of node C.R.s 1816(1)- 1816(N), grouped computing resources 1814, and/or distributed file system 1838 of framework layer 1820.
  • applications may include, without limitation, CUDA applications.
  • self-modifying actions may relieve a data center operator of data center 1800 from making possibly bad configuration decisions and possibly avoiding underutilized and/or poor performing portions of a data center.
  • At least one component shown or described with respect to FIG. 18 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one of grouped computing resources 1814 and node C.R. 1816(1-N) is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one of grouped computing resources 1814 and node C.R. 1816(1-N) is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • 1816(1-N) is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 19 illustrates a processing system 1900, in accordance with at least one embodiment.
  • processing system 1900 includes one or more processors 1902 and one or more graphics processors 1908, and may be a single processor desktop system, a multiprocessor workstation system, or a server system having a large number of processors 1902 or processor cores 1907.
  • processing system 1900 is a processing platform incorporated within a system-on-a-chip (“SoC”) integrated circuit for use in mobile, handheld, or embedded devices.
  • SoC system-on-a-chip
  • processing system 1900 can include, or be incorporated within a server-based gaming platform, a game console, a media console, a mobile gaming console, a handheld game console, or an online game console.
  • processing system 1900 is a mobile phone, smart phone, tablet computing device or mobile Internet device.
  • processing system 1900 can also include, couple with, or be integrated within a wearable device, such as a smart watch wearable device, smart eyewear device, augmented reality device, or virtual reality device.
  • processing system 1900 is a television or set top box device having one or more processors 1902 and a graphical interface generated by one or more graphics processors 1908.
  • one or more processors 1902 each include one or more processor cores 1907 to process instructions which, when executed, perform operations for system and user software.
  • each of one or more processor cores 1907 is configured to process a specific instruction set 1909.
  • instruction set 1909 may facilitate Complex Instruction Set Computing (“CISC”), Reduced Instruction Set Computing (“RISC”), or computing via a Very Long Instruction Word (“VLIW”).
  • processor cores 1907 may each process a different instruction set 1909, which may include instructions to facilitate emulation of other instruction sets.
  • processor core 1907 may also include other processing devices, such as a digital signal processor (“DSP”).
  • DSP digital signal processor
  • processor 1902 includes cache memory (‘cache”) 1904.
  • processor 1902 can have a single internal cache or multiple levels of internal cache.
  • cache memory is shared among various components of processor 1902.
  • processor 1902 also uses an external cache (e.g., a Level 3 (“L3”) cache or Last Level Cache (“LLC”)) (not shown), which may be shared among processor cores 1907 using known cache coherency techniques.
  • L3 Level 3
  • LLC Last Level Cache
  • register file 1906 is additionally included in processor 1902 which may include different types of registers for storing different types of data (e.g., integer registers, floating point registers, status registers, and an instruction pointer register).
  • register file 1906 may include general -purpose registers or other registers.
  • one or more processor(s) 1902 are coupled with one or more interface bus(es) 1910 to transmit communication signals such as address, data, or control signals between processor 1902 and other components in processing system 1900.
  • interface bus 1910 in one embodiment, can be a processor bus, such as a version of a Direct Media Interface (“DMI”) bus.
  • DMI Direct Media Interface
  • interface bus 1910 is not limited to a DMI bus, and may include one or more Peripheral Component Interconnect buses (e.g., “PCI,” PCI Express (“PCIe”)), memory buses, or other types of interface buses.
  • processor(s) 1902 include an integrated memory controller 1916 and a platform controller hub 1930.
  • memory controller 1916 facilitates communication between a memory device and other components of processing system 1900, while platform controller hub (“PCH”) 1930 provides connections to Input/Output (“I/O”) devices via a local VO bus.
  • PCH platform controller hub
  • memory device 1920 can be a dynamic random access memory (“DRAM”) device, a static random access memory (“SRAM”) device, flash memory device, phase-change memory device, or some other memory device having suitable performance to serve as processor memory.
  • memory device 1920 can operate as system memory for processing system 1900, to store data 1922 and instructions 1921 for use when one or more processors 1902 executes an application or process.
  • memory controller 1916 also couples with an optional external graphics processor 1912, which may communicate with one or more graphics processors 1908 in processors 1902 to perform graphics and media operations.
  • a display device 1911 can connect to processor(s) 1902.
  • display device 1911 can include one or more of an internal display device, as in a mobile electronic device or a laptop device or an external display device attached via a display interface (e.g., DisplayPort, etc.).
  • display device 1911 can include a head mounted display (“HMD”) such as a stereoscopic display device for use in virtual reality (“VR”) applications or augmented reality (“AR”) applications.
  • HMD head mounted display
  • VR virtual reality
  • AR augmented reality
  • platform controller hub 1930 enables peripherals to connect to memory device 1920 and processor 1902 via a high-speed I/O bus.
  • I/O peripherals include, but are not limited to, an audio controller 1946, a network controller 1934, a firmware interface 1928, a wireless transceiver 1926, touch sensors 1925, a data storage device 1924 (e.g., hard disk drive, flash memory, etc.).
  • data storage device 1924 can connect via a storage interface (e.g., SATA) or via a peripheral bus, such as PCI, or PCIe.
  • touch sensors 1925 can include touch screen sensors, pressure sensors, or fingerprint sensors.
  • wireless transceiver 1926 can be a Wi-Fi transceiver, a Bluetooth transceiver, or a mobile network transceiver such as a 3G, 4G, or Long Term Evolution (“LTE”) transceiver.
  • firmware interface 1928 enables communication with system firmware, and can be, for example, a unified extensible firmware interface (“UEFI”).
  • network controller 1934 can enable a network connection to a wired network.
  • a high-performance network controller (not shown) couples with interface bus 1910.
  • audio controller 1946 is a multichannel high definition audio controller.
  • processing system 1900 includes an optional legacy I/O controller 1940 for coupling legacy (e.g., Personal System 2 (“PS/2”)) devices to processing system 1900.
  • platform controller hub 1930 can also connect to one or more Universal Serial Bus (“USB”) controllers 1942 connect input devices, such as keyboard and mouse 1943 combinations, a camera 1944, or other USB input devices.
  • USB Universal Serial Bus
  • an instance of memory controller 1916 and platform controller hub 1930 may be integrated into a discreet external graphics processor, such as external graphics processor 1912.
  • platform controller hub 1930 and/or memory controller 1916 may be external to one or more processor(s) 1902.
  • processing system 1900 can include an external memory controller 1916 and platform controller hub 1930, which may be configured as a memory controller hub and peripheral controller hub within a system chipset that is in communication with processor(s) 1902.
  • At least one component shown or described with respect to FIG. 19 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one of processor(s) 1902 or external graphics processor 1912 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one of processor(s) 1902 or external graphics processor 1912 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • processor(s) 1902 or external graphics processor 1912 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 20 illustrates a computer system 2000, in accordance with at least one embodiment.
  • computer system 2000 may be a system with interconnected devices and components, an SOC, or some combination.
  • computer system 2000 is formed with a processor 2002 that may include execution units to execute an instruction.
  • computer system 2000 may include, without limitation, a component, such as processor 2002 to employ execution units including logic to perform algorithms for processing data.
  • computer system 2000 may include processors, such as PENTIUM® Processor family, XeonTM, Itanium®, XScaleTM and/or StrongARMTM, Intel® CoreTM, or Intel® NervanaTM microprocessors available from Intel Corporation of Santa Clara, California, although other systems (including PCs having other microprocessors, engineering workstations, set-top boxes and like) may also be used.
  • processors such as PENTIUM® Processor family, XeonTM, Itanium®, XScaleTM and/or StrongARMTM, Intel® CoreTM, or Intel® NervanaTM microprocessors available from Intel Corporation of Santa Clara, California, although other systems (including PCs having other microprocessors, engineering workstations, set-top boxes and like) may also be used.
  • computer system 2000 may execute a version of WINDOWS’ operating system available from Microsoft Corporation of Redmond, Wash., although other operating systems (UNIX and Linux for example), embedded software, and/or graphical user interfaces, may also be
  • computer system 2000 may be used in other devices such as handheld devices and embedded applications.
  • handheld devices include cellular phones, Internet Protocol devices, digital cameras, personal digital assistants (“PDAs”), and handheld PCs.
  • embedded applications may include a microcontroller, a digital signal processor (DSP), an SoC, network computers (“NetPCs”), set-top boxes, network hubs, wide area network (“WAN”) switches, or any other system that may perform one or more instructions.
  • DSP digital signal processor
  • NetPCs network computers
  • WAN wide area network
  • computer system 2000 may include, without limitation, processor 2002 that may include, without limitation, one or more execution units 2008 that may be configured to execute a Compute Unified Device Architecture (“CUD A”) (CUD A® is developed by NVIDIA Corporation of Santa Clara, CA) program.
  • CCD A Compute Unified Device Architecture
  • a CUDA program is at least a portion of a software application written in a CUDA programming language.
  • computer system 2000 is a single processor desktop or server system.
  • computer system 2000 may be a multiprocessor system.
  • processor 2002 may include, without limitation, a CISC microprocessor, a RISC microprocessor, a VLIW microprocessor, a processor implementing a combination of instruction sets, or any other processor device, such as a digital signal processor, for example.
  • processor 2002 may be coupled to a processor bus 2010 that may transmit data signals between processor 2002 and other components in computer system 2000.
  • processor 2002 may include, without limitation, a Level 1 (“LI”) internal cache memory (“cache”) 2004.
  • processor 2002 may have a single internal cache or multiple levels of internal cache.
  • cache memory may reside external to processor 2002.
  • processor 2002 may also include a combination of both internal and external caches.
  • a register file 2006 may store different types of data in various registers including, without limitation, integer registers, floating point registers, status registers, and instruction pointer register.
  • execution unit 2008 including, without limitation, logic to perform integer and floating point operations, also resides in processor 2002.
  • Processor 2002 may also include a microcode (“ucode”) read only memory (“ROM”) that stores microcode for certain macro instructions.
  • ucode microcode
  • ROM read only memory
  • execution unit 2008 may include logic to handle a packed instruction set 2009. In at least one embodiment, by including packed instruction set 2009 in an instruction set of a general-purpose processor 2002, along with associated circuitry to execute instructions, operations used by many multimedia applications may be performed using packed data in a general-purpose processor 2002.
  • many multimedia applications may be accelerated and executed more efficiently by using full width of a processor's data bus for performing operations on packed data, which may eliminate a need to transfer smaller units of data across a processor's data bus to perform one or more operations one data element at a time.
  • execution unit 2008 may also be used in microcontrollers, embedded processors, graphics devices, DSPs, and other types of logic circuits.
  • computer system 2000 may include, without limitation, a memory 2020.
  • memory 2020 may be implemented as a DRAM device, an SRAM device, flash memory device, or other memory device.
  • Memory 2020 may store instruction(s) 2019 and/or data 2021 represented by data signals that may be executed by processor 2002.
  • a system logic chip may be coupled to processor bus 2010 and memory 2020.
  • the system logic chip may include, without limitation, a memory controller hub (“MCH”) 2016, and processor 2002 may communicate with MCH 2016 via processor bus 2010.
  • MCH 2016 may provide a high bandwidth memory path 2018 to memory 2020 for instruction and data storage and for storage of graphics commands, data and textures.
  • MCH 2016 may direct data signals between processor 2002, memory 2020, and other components in computer system 2000 and to bridge data signals between processor bus 2010, memory 2020, and a system I/O 2022.
  • system logic chip may provide a graphics port for coupling to a graphics controller.
  • MCH 2016 may be coupled to memory 2020 through high bandwidth memory path 2018 and graphics/video card 2012 may be coupled to MCH 2016 through an Accelerated Graphics Port (“AGP”) interconnect 2014.
  • AGP Accelerated Graphics Port
  • computer system 2000 may use system I/O 2022 that is a proprietary hub interface bus to couple MCH 2016 to I/O controller hub (“ICH”) 2030.
  • ICH 2030 may provide direct connections to some I/O devices via a local I/O bus.
  • local I/O bus may include, without limitation, a high-speed I/O bus for connecting peripherals to memory 2020, a chipset, and processor 2002.
  • Examples may include, without limitation, an audio controller 2029, a firmware hub (“flash BIOS”) 2028, a wireless transceiver 2026, a data storage 2024, a legacy VO controller 2023 containing a user input interface 2025 and a keyboard interface, a serial expansion port 2027, such as a USB, and a network controller 2034.
  • Data storage 2024 may comprise a hard disk drive, a floppy disk drive, a CD-ROM device, a flash memory device, or other mass storage device.
  • FIG. 20 illustrates a system, which includes interconnected hardware devices or “chips.”
  • FIG. 20 may illustrate an exemplary SoC.
  • devices illustrated in FIG. 20 may be interconnected with proprietary interconnects, standardized interconnects (e.g., PCIe), or some combination thereof.
  • one or more components of system 2000 are interconnected using compute express link (“CXL”) interconnects.
  • CXL compute express link
  • processor 2002 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • processor 2002 is used to perform an API to indicate which of one or more portions of graph code are to be performed. In at least one embodiment, processor 2002 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 21 illustrates a system 2100, in accordance with at least one embodiment.
  • system 2100 is an electronic device that utilizes a processor 2110.
  • system 2100 may be, for example and without limitation, a notebook, a tower server, a rack server, a blade server, an edge device communicatively coupled to one or more on-premise or cloud service providers, a laptop, a desktop, a tablet, a mobile device, a phone, an embedded computer, or any other suitable electronic device.
  • system 2100 may include, without limitation, processor 2110 communicatively coupled to any suitable number or kind of components, peripherals, modules, or devices.
  • processor 2110 is coupled using a bus or interface, such as an I 2 C bus, a System Management Bus (“SMBus”), a Low Pin Count (“LPC”) bus, a Serial Peripheral Interface (“SPI”), a High Definition Audio (“HD A”) bus, a Serial Advance Technology Attachment (“SATA”) bus, a USB (versions 1, 2, 3), or a Universal Asynchronous Receiver/Transmitter (“UART”) bus.
  • FIG. 21 illustrates a system which includes interconnected hardware devices or “chips.”
  • FIG. 21 may illustrate an exemplary SoC.
  • devices illustrated in FIG. 21 may be interconnected with proprietary interconnects, standardized interconnects (e.g., PCIe) or some combination thereof.
  • PCIe standardized interconnects
  • one or more components of FIG. 21 are interconnected using CXL interconnects.
  • FIG 21 may include a display 2124, a touch screen 2125, a touch pad 2130, a Near Field Communications unit (“NFC”) 2145, a sensor hub 2140, a thermal sensor 2146, an Express Chipset (“EC”) 2135, a Trusted Platform Module (“TPM”) 2138, BlOS/firmware/flash memory (“BIOS, FW Flash”) 2122, a DSP 2160, a Solid State Disk (“SSD”) or Hard Disk Drive (“HDD”) 2120, a wireless local area network unit (“WLAN”) 2150, a Bluetooth unit 2152, a Wireless Wide Area Network unit (“WWAN”) 2156, a Global Positioning System (“GPS”) 2155, a camera (“USB 3.0 camera”) 2154 such as a USB 3.0 camera, or a Low Power Double Data Rate (“LPDDR”) memory unit (“LPDDR3”) 2115 implemented in, for example, LPDDR3 standard.
  • NFC Near Field Communications unit
  • EC Express Chipset
  • TPM Trusted Platform Module
  • processor 2110 may be communicatively coupled to processor 2110 through components discussed above.
  • an accelerometer 2141 may be communicatively coupled to sensor hub 2140.
  • ALS Ambient Light Sensor
  • a thermal sensor 2139, a fan 2137, a keyboard 2136, and a touch pad 2130 may be communicatively coupled to EC 2135.
  • a speaker 2163, a headphones 2164, and a microphone (“mic”) 2165 may be communicatively coupled to an audio unit (“audio codec and class d amp”) 2162, which may in turn be communicatively coupled to DSP 2160.
  • audio unit 2162 may include, for example and without limitation, an audio coder/decoder (“codec”) and a class D amplifier.
  • codec audio coder/decoder
  • SIM SIM card
  • WWAN unit 2156 WWAN unit 2156.
  • components such as WLAN unit 2150 and Bluetooth unit 2152, as well as WWAN unit 2156 may be implemented in a Next Generation Form Factor (“NGFF”).
  • NGFF Next Generation Form Factor
  • processor 2110 is used to perform an API to control which of one or more portions of graph code are to be performed. In at least one embodiment, processor 2110 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • processor 2110 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 22 illustrates an exemplary integrated circuit 2200, in accordance with at least one embodiment.
  • exemplary integrated circuit 2200 is an SoC that may be fabricated using one or more IP cores.
  • integrated circuit 2200 includes one or more application processor(s) 2205 (e.g., CPUs, DPUs), at least one graphics processor 2210, and may additionally include an image processor 2215 and/or a video processor 2220, any of which may be a modular IP core.
  • integrated circuit 2200 includes peripheral or bus logic including a USB controller 2225, a UART controller 2230, an SPI/SDIO controller 2235, and an I 2 S/I 2 C controller 2240.
  • integrated circuit 2200 can include a display device 2245 coupled to one or more of a high-definition multimedia interface (“HDMI”) controller 2250 and a mobile industry processor interface (“MIPI”) display interface 2255.
  • HDMI high-definition multimedia interface
  • MIPI mobile industry processor interface
  • storage may be provided by a flash memory subsystem 2260 including flash memory and a flash memory controller.
  • a memory interface may be provided via a memory controller 2265 for access to SDRAM or SRAM memory devices.
  • some integrated circuits additionally include an embedded security engine 2270.
  • At least one component shown or described with respect to FIG. 22 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one of application processor 2205, graphics processor 2210, image processor 2215, or video processor 2220 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one of application processor 2205, graphics processor 2210, image processor 2215, or video processor 2220 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one of application processor 2205, graphics processor 2210, image processor 2215, or video processor 2220 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 23 illustrates a computing system 2300, according to at least one embodiment;
  • computing system 2300 includes a processing subsystem 2301 having one or more processor(s) 2302 and a system memory 2304 communicating via an interconnection path that may include a memory hub 2305.
  • memory hub 2305 may be a separate component within a chipset component or may be integrated within one or more processor(s) 2302.
  • memory hub 2305 couples with an I/O subsystem 2311 via a communication link 2306.
  • I/O subsystem 2311 includes an I/O hub 2307 that can enable computing system 2300 to receive input from one or more input device(s) 2308.
  • I/O hub 2307 can enable a display controller, which may be included in one or more processor(s) 2302, to provide outputs to one or more display device(s) 2310A.
  • one or more display device(s) 2310A coupled with I/O hub 2307 can include a local, internal, or embedded display device.
  • processing subsystem 2301 includes one or more parallel processor(s) 2312 coupled to memory hub 2305 via a bus or other communication link 2313.
  • communication link 2313 may be one of any number of standards based communication link technologies or protocols, such as, but not limited to PCIe, or may be a vendor specific communications interface or communications fabric.
  • one or more parallel processor(s) 2312 form a computationally focused parallel or vector processing system that can include a large number of processing cores and/or processing clusters, such as a many integrated core processor.
  • one or more parallel processor(s) 2312 form a graphics processing subsystem that can output pixels to one of one or more display device(s) 2310A coupled via I/O Hub 2307.
  • one or more parallel processor(s) 2312 can also include a display controller and display interface (not shown) to enable a direct connection to one or more display device(s) 2310B.
  • a system storage unit 2314 can connect to I/O hub 2307 to provide a storage mechanism for computing system 2300.
  • an I/O switch 2316 can be used to provide an interface mechanism to enable connections between I/O hub 2307 and other components, such as a network adapter 2318 and/or wireless network adapter 2319 that may be integrated into a platform, and various other devices that can be added via one or more add-in device(s) 2320.
  • network adapter 2318 can be an Ethernet adapter or another wired network adapter.
  • wireless network adapter 2319 can include one or more of a Wi-Fi, Bluetooth, NFC, or other network device that includes one or more wireless radios.
  • computing system 2300 can include other components not explicitly shown, including USB or other port connections, optical storage drives, video capture devices, and the like, that may also be connected to I/O hub 2307.
  • communication paths interconnecting various components in FIG. 23 may be implemented using any suitable protocols, such as PCI based protocols (e.g., PCIe), or other bus or point-to-point communication interfaces and/or protocol(s), such as NVLink highspeed interconnect, or interconnect protocols.
  • PCI based protocols e.g., PCIe
  • NVLink highspeed interconnect, or interconnect protocols.
  • one or more parallel processor(s) 2312 incorporate circuitry optimized for graphics and video processing, including, for example, video output circuitry, and constitutes a graphics processing unit (“GPU”). In at least one embodiment, one or more parallel processor(s) 2312 incorporate circuitry optimized for general purpose processing. In at least embodiment, components of computing system 2300 may be integrated with one or more other system elements on a single integrated circuit. For example, in at least one embodiment, one or more parallel processor(s) 2312, memory hub 2305, processor(s) 2302, and VO hub 2307 can be integrated into an SoC integrated circuit. In at least one embodiment, components of computing system 2300 can be integrated into a single package to form a system in package (“SIP”) configuration.
  • SIP system in package
  • At least a portion of the components of computing system 2300 can be integrated into a multi-chip module (“MCM”), which can be interconnected with other multi-chip modules into a modular computing system.
  • MCM multi-chip module
  • VO subsystem 2311 and display devices 2310B are omitted from computing system 2300.
  • at least one component shown or described with respect to FIG. 23 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one of processor(s) 2302 or parallel processor(s) 2312 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • processor(s) 2302 or parallel processor(s) 2312 is used to perform an API to indicate which of one or more portions of graph code are to be performed. In at least one embodiment, at least one of processor(s) 2302 or parallel processor(s) 2312 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 24 illustrates an accelerated processing unit (“APU”) 2400, in accordance with at least one embodiment.
  • APU 2400 is developed by AMD Corporation of Santa Clara, CA.
  • APU 2400 can be configured to execute an application program, such as a CUDA program.
  • APU 2400 includes, without limitation, a core complex 2410, a graphics complex 2440, fabric 2460, I/O interfaces 2470, memory controllers 2480, a display controller 2492, and a multimedia engine 2494.
  • APU 2400 may include, without limitation, any number of core complexes 2410, any number of graphics complexes 2450, any number of display controllers 2492, and any number of multimedia engines 2494 in any combination.
  • core complexes 2410 any number of graphics complexes 2450
  • display controllers 2492 any number of multimedia engines 2494 in any combination.
  • multimedia engines 2494 any number of multimedia engines 2494 in any combination.
  • multiple instances of like objects are denoted herein with reference numbers identifying the object and parenthetical numbers identifying the instance where needed.
  • core complex 2410 is a CPU
  • graphics complex 2440 is a GPU
  • APU 2400 is a processing unit that integrates, without limitation, 2410 and 2440 onto a single chip.
  • some tasks may be assigned to core complex 2410 and other tasks may be assigned to graphics complex 2440.
  • core complex 2410 is configured to execute main control software associated with APU 2400, such as an operating system.
  • core complex 2410 is the master processor of APU 2400, controlling and coordinating operations of other processors.
  • core complex 2410 issues commands that control the operation of graphics complex 2440.
  • core complex 2410 can be configured to execute host executable code derived from CUDA source code
  • graphics complex 2440 can be configured to execute device executable code derived from CUDA source code.
  • core complex 2410 includes, without limitation, cores 2420(1 )-2420(4) and an L3 cache 2430.
  • core complex 2410 may include, without limitation, any number of cores 2420 and any number and type of caches in any combination.
  • cores 2420 are configured to execute instructions of a particular instruction set architecture (“ISA”).
  • ISA instruction set architecture
  • each core 2420 is a CPU core.
  • each core 2420 includes, without limitation, a fetch/decode unit 2422, an integer execution engine 2424, a floating point execution engine 2426, and an L2 cache 2428.
  • fetch/decode unit 2422 fetches instructions, decodes such instructions, generates micro-operations, and dispatches separate micro-instructions to integer execution engine 2424 and floating point execution engine 2426.
  • fetch/decode unit 2422 can concurrently dispatch one microinstruction to integer execution engine 2424 and another micro-instruction to floating point execution engine 2426.
  • integer execution engine 2424 executes, without limitation, integer and memory operations.
  • floating point engine 2426 executes, without limitation, floating point and vector operations.
  • fetch-decode unit 2422 dispatches micro-instructions to a single execution engine that replaces both integer execution engine 2424 and floating point execution engine 2426.
  • each core 2420(i), where i is an integer representing a particular instance of core 2420 may access L2 cache 2428(i) included in core 2420(i).
  • each core 2420 included in core complex 2410(j), where j is an integer representing a particular instance of core complex 2410 is connected to other cores 2420 included in core complex 2410(j ) via L3 cache 2430(j) included in core complex 2410(j).
  • cores 2420 included in core complex 2410(j), where j is an integer representing a particular instance of core complex 2410 can access all of L3 cache 2430(j) included in core complex 2410(j ).
  • L3 cache 2430 may include, without limitation, any number of slices.
  • graphics complex 2440 can be configured to perform compute operations in a highly-parallel fashion. In at least one embodiment, graphics complex 2440 is configured to execute graphics pipeline operations such as draw commands, pixel operations, geometric computations, and other operations associated with rendering an image to a display. In at least one embodiment, graphics complex 2440 is configured to execute operations unrelated to graphics. In at least one embodiment, graphics complex 2440 is configured to execute both operations related to graphics and operations unrelated to graphics.
  • graphics complex 2440 includes, without limitation, any number of compute units 2450 and an L2 cache 2442. In at least one embodiment, compute units 2450 share L2 cache 2442. In at least one embodiment, L2 cache 2442 is partitioned. In at least one embodiment, graphics complex 2440 includes, without limitation, any number of compute units 2450 and any number (including zero) and type of caches. In at least one embodiment, graphics complex 2440 includes, without limitation, any amount of dedicated graphics hardware.
  • each compute unit 2450 includes, without limitation, any number of SIMD units 2452 and a shared memory 2454.
  • each SIMD unit 2452 implements a SIMD architecture and is configured to perform operations in parallel.
  • each compute unit 2450 may execute any number of thread blocks, but each thread block executes on a single compute unit 2450.
  • a thread block includes, without limitation, any number of threads of execution.
  • a workgroup is a thread block.
  • each SIMD unit 2452 executes a different warp.
  • a warp is a group of threads (e.g., 16 threads), where each thread in the warp belongs to a single thread block and is configured to process a different set of data based on a single set of instructions.
  • predication can be used to disable one or more threads in a warp.
  • a lane is a thread.
  • a work item is a thread.
  • a wavefront is a warp.
  • different wavefronts in a thread block may synchronize together and communicate via shared memory 2454.
  • fabric 2460 is a system interconnect that facilitates data and control transmissions across core complex 2410, graphics complex 2440, I/O interfaces 2470, memory controllers 2480, display controller 2492, and multimedia engine 2494.
  • APU 2400 may include, without limitation, any amount and type of system interconnect in addition to or instead of fabric 2460 that facilitates data and control transmissions across any number and type of directly or indirectly linked components that may be internal or external to APU 2400.
  • I/O interfaces 2470 are representative of any number and type of I/O interfaces (e.g., PCI , PCI- Extended (“PCI-X”), PCIe, gigabit Ethernet (“GBE”), USB, etc.).
  • peripheral devices are coupled to I/O interfaces 2470
  • peripheral devices that are coupled to I/O interfaces 2470 may include, without limitation, keyboards, mice, printers, scanners, joysticks or other types of game controllers, media recording devices, external storage devices, network interface cards, and so forth.
  • display controller AMD92 displays images on one or more display device(s), such as a liquid crystal display (“LCD”) device.
  • multimedia engine 2494 includes, without limitation, any amount and type of circuitry that is related to multimedia, such as a video decoder, a video encoder, an image signal processor, etc.
  • memory controllers 2480 facilitate data transfers between APU 2400 and a unified system memory 2490.
  • core complex 2410 and graphics complex 2440 share unified system memory 2490.
  • APU 2400 implements a memory subsystem that includes, without limitation, any amount and type of memory controllers 2480 and memory devices (e.g., shared memory 2454) that may be dedicated to one component or shared among multiple components.
  • APU 2400 implements a cache subsystem that includes, without limitation, one or more cache memories (e.g., L2 caches 2528, L3 cache 2430, and L2 cache 2442) that may each be private to or shared between any number of components (e.g., cores 2420, core complex 2410, SIMD units 2452, compute units 2450, and graphics complex 2440).
  • At least one component shown or described with respect to FIG. 24 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one element of core complex 2410 or graphics complex 2440 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one element of core complex 2410 or graphics complex 2440 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one element of core complex 2410 or graphics complex 2440 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 25 illustrates a CPU 2500, in accordance with at least one embodiment.
  • CPU 2500 is developed by AMD Corporation of Santa Clara, CA.
  • CPU 2500 can be configured to execute an application program.
  • CPU 2500 is configured to execute main control software, such as an operating system.
  • CPU 2500 issues commands that control the operation of an external GPU (not shown).
  • CPU 2500 can be configured to execute host executable code derived from CUDA source code, and an external GPU can be configured to execute device executable code derived from such CUDA source code.
  • CPU 2500 includes, without limitation, any number of core complexes 2510, fabric 2560, I/O interfaces 2570, and memory controllers 2580.
  • core complex 2510 includes, without limitation, cores 2520(1 )-2520(4) and an L3 cache 2530.
  • core complex 2510 may include, without limitation, any number of cores 2520 and any number and type of caches in any combination.
  • cores 2520 are configured to execute instructions of a particular ISA.
  • each core 2520 is a CPU core.
  • each core 2520 includes, without limitation, a fetch/decode unit 2522, an integer execution engine 2524, a floating point execution engine 2526, and an L2 cache 2528.
  • fetch/decode unit 2522 fetches instructions, decodes such instructions, generates micro-operations, and dispatches separate micro-instructions to integer execution engine 2524 and floating point execution engine 2526. In at least one embodiment, fetch/decode unit 2522 can concurrently dispatch one microinstruction to integer execution engine 2524 and another micro-instruction to floating point execution engine 2526. In at least one embodiment, integer execution engine 2524 executes, without limitation, integer and memory operations. In at least one embodiment, floating point engine 2526 executes, without limitation, floating point and vector operations. In at least one embodiment, fetch-decode unit 2522 dispatches micro-instructions to a single execution engine that replaces both integer execution engine 2524 and floating point execution engine 2526.
  • each core 2520(i), where i is an integer representing a particular instance of core 2520 may access L2 cache 2528(i) included in core 2520(i).
  • each core 2520 included in core complex 2510(j), where j is an integer representing a particular instance of core complex 2510 is connected to other cores 2520 in core complex 2510(j) via L3 cache 2530(j) included in core complex 2510(j).
  • cores 2520 included in core complex 2510(j), where j is an integer representing a particular instance of core complex 2510 can access all of L3 cache 2530(j) included in core complex 2510(j).
  • L3 cache 2530 may include, without limitation, any number of slices.
  • fabric 2560 is a system interconnect that facilitates data and control transmissions across core complexes 2510(l)-2510(N) (where N is an integer greater than zero), I/O interfaces 2570, and memory controllers 2580.
  • CPU 2500 may include, without limitation, any amount and type of system interconnect in addition to or instead of fabric 2560 that facilitates data and control transmissions across any number and type of directly or indirectly linked components that may be internal or external to CPU 2500.
  • I/O interfaces 2570 are representative of any number and type of I/O interfaces (e.g., PCI , PCI-X, PCIe, GBE, USB, etc.).
  • peripheral devices are coupled to I/O interfaces 2570
  • peripheral devices that are coupled to I/O interfaces 2570 may include, without limitation, displays, keyboards, mice, printers, scanners, joysticks or other types of game controllers, media recording devices, external storage devices, network interface cards, and so forth.
  • memory controllers 2580 facilitate data transfers between CPU 2500 and a system memory 2590.
  • core complex 2510 and graphics complex 2540 share system memory 2590.
  • CPU 2500 implements a memory subsystem that includes, without limitation, any amount and type of memory controllers 2580 and memory devices that may be dedicated to one component or shared among multiple components.
  • CPU 2500 implements a cache subsystem that includes, without limitation, one or more cache memories (e.g., L2 caches 2528 and L3 caches 2530) that may each be private to or shared between any number of components (e.g., cores 2520 and core complexes 2510).
  • cache memories e.g., L2 caches 2528 and L3 caches 2530
  • At least one component shown or described with respect to FIG. 25 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one element of core complex 2510(1)- 2510(n) is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one element of core complex 2510(1)- 2510(n) is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one element of core complex 2510(1)- 2510(n) is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 26 illustrates an exemplary accelerator integration slice 2690, in accordance with at least one embodiment.
  • a “slice” comprises a specified portion of processing resources of an accelerator integration circuit.
  • the accelerator integration circuit provides cache management, memory access, context management, and interrupt management services on behalf of multiple graphics processing engines included in a graphics acceleration module.
  • the graphics processing engines may each comprise a separate GPU.
  • the graphics processing engines may comprise different types of graphics processing engines within a GPU such as graphics execution units, media processing engines (e.g., video encoders/decoders), samplers, and blit engines.
  • the graphics acceleration module may be a GPU with multiple graphics processing engines.
  • the graphics processing engines may be individual GPUs integrated on a common package, line card, or chip.
  • An application effective address space 2682 within system memory 2614 stores process elements 2683.
  • process elements 2683 are stored in response to GPU invocations 2681 from applications 2680 executed on processor 2607.
  • a process element 2683 contains process state for corresponding application 2680.
  • a work descriptor (“WD”) 2684 contained in process element 2683 can be a single job requested by an application or may contain a pointer to a queue of jobs. In at least one embodiment, WD 2684 is a pointer to a job request queue in application effective address space 2682.
  • Graphics acceleration module 2646 and/or individual graphics processing engines can be shared by all or a subset of processes in a system.
  • an infrastructure for setting up process state and sending WD 2684 to graphics acceleration module 2646 to start a job in a virtualized environment may be included.
  • a dedicated-process programming model is implementation-specific.
  • a single process owns graphics acceleration module 2646 or an individual graphics processing engine. Because graphics acceleration module 2646 is owned by a single process, a hypervisor initializes an accelerator integration circuit for an owning partition and an operating system initializes accelerator integration circuit for an owning process when graphics acceleration module 2646 is assigned.
  • a WD fetch unit 2691 in accelerator integration slice 2690 fetches next WD 2684 which includes an indication of work to be done by one or more graphics processing engines of graphics acceleration module 2646.
  • Data from WD 2684 may be stored in registers 2645 and used by a memory management unit (“MMU”) 2639, interrupt management circuit 2647 and/or context management circuit 2648 as illustrated.
  • MMU 2639 includes segment/page walk circuitry for accessing segment/page tables 2686 within OS virtual address space 2685.
  • Interrupt management circuit 2647 may process interrupt events (“INT”) 2692 received from graphics acceleration module 2646.
  • INT interrupt events
  • a same set of registers 2645 are duplicated for each graphics processing engine and/or graphics acceleration module 2646 and may be initialized by a hypervisor or operating system. Each of these duplicated registers may be included in accelerator integration slice 2690. Exemplary registers that may be initialized by a hypervisor are shown in Table 1.
  • each WD 2684 is specific to a particular graphics acceleration module 2646 and/or a particular graphics processing engine. It contains all information required by a graphics processing engine to do work or it can be a pointer to a memory location where an application has set up a command queue of work to be completed.
  • processor 2607 is used to perform an API to control which of one or more portions of graph code are to be performed. In at least one embodiment, processor 2607 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • processor 2607 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIGS. 27A-27B illustrate exemplary graphics processors, in accordance with at least one embodiment.
  • any of the exemplary graphics processors may be fabricated using one or more IP cores.
  • other logic and circuits may be included in at least one embodiment, including additional graphics processors/cores, peripheral interface controllers, or general-purpose processor cores.
  • the exemplary graphics processors are for use within an SoC.
  • FIG. 27 A illustrates an exemplary graphics processor 2710 of an SoC integrated circuit that may be fabricated using one or more IP cores, in accordance with at least one embodiment.
  • FIG. 27B illustrates an additional exemplary graphics processor 2740 of an SoC integrated circuit that may be fabricated using one or more IP cores, in accordance with at least one embodiment.
  • graphics processor 2710 of FIG. 27A is a low power graphics processor core.
  • graphics processor 2740 of FIG. 27B is a higher performance graphics processor core.
  • each of graphics processors 2710, 2740 can be variants of graphics processor 2210 of FIG. 22.
  • graphics processor 2710 includes a vertex processor 2705 and one or more fragment processor(s) 2715A-2715N (e.g., 2715 A, 2715B, 2715C, 2715D, through 2715N-1, and 2715N).
  • graphics processor 2710 can execute different shader programs via separate logic, such that vertex processor 2705 is optimized to execute operations for vertex shader programs, while one or more fragment processor(s) 2715A-2715N execute fragment (e.g., pixel) shading operations for fragment or pixel shader programs.
  • vertex processor 2705 performs a vertex processing stage of a 3D graphics pipeline and generates primitives and vertex data.
  • fragment processor(s) 2715A-2715N use primitive and vertex data generated by vertex processor 2705 to produce a framebuffer that is displayed on a display device.
  • fragment processor(s) 2715A-2715N are optimized to execute fragment shader programs as provided for in an OpenGL API, which may be used to perform similar operations as a pixel shader program as provided for in a Direct 3D API.
  • graphics processor 2710 additionally includes one or more MMU(s) 2720A-2720B, cache(s) 2725A-2725B, and circuit interconnect(s) 2730A- 2730B.
  • one or more MMU(s) 2720A-2720B provide for virtual to physical address mapping for graphics processor 2710, including for vertex processor 2705 and/or fragment processor(s) 2715A-2715N, which may reference vertex or image/texture data stored in memory, in addition to vertex or image/texture data stored in one or more cache(s) 2725A-2725B.
  • one or more MMU(s) 2720A-2720B may be synchronized with other MMUs within a system, including one or more MMUs associated with one or more application processor(s) 2205, image processors 2215, and/or video processors 2220 of FIG. 22, such that each processor 2205-2220 can participate in a shared or unified virtual memory system.
  • one or more circuit interconnect s) 2730A-2730B enable graphics processor 2710 to interface with other IP cores within an SoC, either via an internal bus of the SoC or via a direct connection.
  • graphics processor 2740 includes one or more MMU(s) 2720A-2720B, caches 2725A-2725B, and circuit interconnects 2730A-2730B of graphics processor 2710 of FIG. 27 A.
  • graphics processor 2740 includes one or more shader core(s) 2755A-2755N (e.g., 2755A, 2755B, 2755C, 2755D, 2755E, 2755F, through 2755N-1, and 2755N), which provides for a unified shader core architecture in which a single core or type or core can execute all types of programmable shader code, including shader program code to implement vertex shaders, fragment shaders, and/or compute shaders.
  • graphics processor 2740 includes an inter-core task manager 2745, which acts as a thread dispatcher to dispatch execution threads to one or more shader cores 2755A-2755N and a tiling unit 2758 to accelerate tiling operations for tile-based rendering, in which rendering operations for a scene are subdivided in image space, for example to exploit local spatial coherence within a scene or to optimize use of internal caches.
  • inter-core task manager 2745 acts as a thread dispatcher to dispatch execution threads to one or more shader cores 2755A-2755N and a tiling unit 2758 to accelerate tiling operations for tile-based rendering, in which rendering operations for a scene are subdivided in image space, for example to exploit local spatial coherence within a scene or to optimize use of internal caches.
  • At least one component shown or described with respect to FIGS. 27A-27B is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one of graphics processor 2710 or graphics processor 2740 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one of graphics processor 2710 or graphics processor 2740 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one of graphics processor 2710 or graphics processor 2740 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 28A illustrates a graphics core 2800, in accordance with at least one embodiment.
  • graphics core 2800 may be included within graphics processor 2210 of FIG. 22.
  • graphics core 2800 may be a unified shader core 2755A-2755N as in FIG. 27B.
  • graphics core 2800 includes a shared instruction cache 2802, a texture unit 2818, and a cache/shared memory 2820 that are common to execution resources within graphics core 2800.
  • graphics core 2800 can include multiple slices 2801 A-2801N or partition for each core, and a graphics processor can include multiple instances of graphics core 2800.
  • Slices 2801 A-2801N can include support logic including a local instruction cache 2804 A- 2804N, a thread scheduler 2806A-2806N, a thread dispatcher 2808A-2808N, and a set of registers 2810A-2810N.
  • slices 2801A-2801N can include a set of additional function units (“AFUs”) 2812A-2812N, floating-point units (“FPUs”) 2814A- 2814N, integer arithmetic logic units (“ALUs”) 2816-2816N, address computational units (“ACUs”) 2813A-2813N, double-precision floating-point units (“DPFPUs”) 2815A-2815N, and matrix processing units (“MPUs”) 2817A-2817N.
  • AFUs additional function units
  • FPUs floating-point units
  • ALUs integer arithmetic logic units
  • ACUs address computational units
  • DPFPUs double-precision floating-point units
  • MPUs matrix processing units
  • FPUs 2814A-2814N can perform single-precision (32-bit) and half-precision (16-bit) floating point operations, while DPFPUs 2815A-2815N perform double precision (64-bit) floating point operations.
  • ALUs 2816A-2816N can perform variable precision integer operations at 8-bit, 16-bit, and 32-bit precision, and can be configured for mixed precision operations.
  • MPUs 2817A-2817N can also be configured for mixed precision matrix operations, including half-precision floating point and 8-bit integer operations.
  • MPUs 2817-2817N can perform a variety of matrix operations to accelerate CUDA programs, including enabling support for accelerated general matrix to matrix multiplication (“GEMM”).
  • GEMM general matrix to matrix multiplication
  • AFUs 2812A-2812N can perform additional logic operations not supported by floating-point or integer units, including trigonometric operations (e.g., Sine, Cosine, etc.).
  • At least one component shown or described with respect to FIG. 28A is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • graphics core 2800 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • graphics core 2800 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • graphics core 2800 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 28B illustrates a general-purpose graphics processing unit (“GPGPU”) 2830, in accordance with at least one embodiment.
  • GPGPU 2830 is highly-parallel and suitable for deployment on a multi-chip module.
  • GPGPU 2830 can be configured to enable highly-parallel compute operations to be performed by an array of GPUs.
  • GPGPU 2830 can be linked directly to other instances of GPGPU 2830 to create a multi-GPU cluster to improve execution time for CUD A programs.
  • GPGPU 2830 includes a host interface 2832 to enable a connection with a host processor.
  • host interface 2832 is a PCIe interface.
  • host interface 2832 can be a vendor specific communications interface or communications fabric.
  • GPGPU 2830 receives commands from a host processor and uses a global scheduler 2834 to distribute execution threads associated with those commands to a set of compute clusters 2836A-2836H.
  • compute clusters 2836A-2836H share a cache memory 2838.
  • cache memory 2838 can serve as a higher-level cache for cache memories within compute clusters 2836A-2836H.
  • GPGPU 2830 includes memory 2844A-2844B coupled with compute clusters 2836A-2836H via a set of memory controllers 2842A-2842B.
  • memory 2844A-2844B can include various types of memory devices including DRAM or graphics random access memory, such as synchronous graphics random access memory (“SGRAM”), including graphics double data rate (“GDDR”) memory.
  • SGRAM synchronous graphics random access memory
  • GDDR graphics double data rate
  • compute clusters 2836A-2836H each include a set of graphics cores, such as graphics core 2800 of FIG. 28 A, which can include multiple types of integer and floating point logic units that can perform computational operations at a range of precisions including suited for computations associated with CUDA programs.
  • graphics cores such as graphics core 2800 of FIG. 28 A
  • at least a subset of floating point units in each of compute clusters 2836A-2836H can be configured to perform 16-bit or 32-bit floating point operations, while a different subset of floating point units can be configured to perform 64-bit floating point operations.
  • multiple instances of GPGPU 2830 can be configured to operate as a compute cluster. Compute clusters 2836A-2836H may implement any technically feasible communication techniques for synchronization and data exchange. In at least one embodiment, multiple instances of GPGPU 2830 communicate over host interface 2832. In at least one embodiment, GPGPU 2830 includes an I/O hub 2839 that couples GPGPU 2830 with a GPU link 2840 that enables a direct connection to other instances of GPGPU 2830. In at least one embodiment, GPU link 2840 is coupled to a dedicated GPU-to- GPU bridge that enables communication and synchronization between multiple instances of GPGPU 2830.
  • GPU link 2840 couples with a high speed interconnect to transmit and receive data to other GPGPUs 2830 or parallel processors.
  • multiple instances of GPGPU 2830 are located in separate data processing systems and communicate via a network device that is accessible via host interface 2832.
  • GPU link 2840 can be configured to enable a connection to a host processor in addition to or as an alternative to host interface 2832.
  • GPGPU 2830 can be configured to execute a CUDA program.
  • At least one component shown or described with respect to FIG. 28B is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • general-purpose graphics processing unit (“GPGPU”) 2830 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • general-purpose graphics processing unit (“GPGPU”) 2830 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • GPGPU 2830 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 29A illustrates a parallel processor 2900, in accordance with at least one embodiment.
  • various components of parallel processor 2900 may be implemented using one or more integrated circuit devices, such as programmable processors, application specific integrated circuits (“ASICs”), or FPGAs.
  • ASICs application specific integrated circuits
  • parallel processor 2900 includes a parallel processing unit 2902.
  • parallel processing unit 2902 includes an I/O unit 2904 that enables communication with other devices, including other instances of parallel processing unit 2902.
  • I/O unit 2904 may be directly connected to other devices.
  • VO unit 2904 connects with other devices via use of a hub or switch interface, such as memory hub 2905.
  • connections between memory hub 2905 and I/O unit 2904 form a communication link.
  • I/O unit 2904 connects with a host interface 2906 and a memory crossbar 2916, where host interface 2906 receives commands directed to performing processing operations and memory crossbar 2916 receives commands directed to performing memory operations.
  • host interface 2906 when host interface 2906 receives a command buffer via I/O unit 2904, host interface 2906 can direct work operations to perform those commands to a front end 2908.
  • front end 2908 couples with a scheduler 2910, which is configured to distribute commands or other work items to a processing array 2912.
  • scheduler 2910 ensures that processing array 2912 is properly configured and in a valid state before tasks are distributed to processing array 2912.
  • scheduler 2910 is implemented via firmware logic executing on a microcontroller.
  • microcontroller implemented scheduler 2910 is configurable to perform complex scheduling and work distribution operations at coarse and fine granularity, enabling rapid preemption and context switching of threads executing on processing array 2912.
  • host software can prove workloads for scheduling on processing array 2912 via one of multiple graphics processing doorbells.
  • workloads can then be automatically distributed across processing array 2912 by scheduler 2910 logic within a microcontroller including scheduler 2910.
  • processing array 2912 can include up to “N” clusters (e.g., cluster 2914A, cluster 2914B, through cluster 2914N).
  • each cluster 2914A-2914N of processing array 2912 can execute a large number of concurrent threads.
  • scheduler 2910 can allocate work to clusters 2914A- 2914N of processing array 2912 using various scheduling and/or work distribution algorithms, which may vary depending on the workload arising for each type of program or computation.
  • scheduling can be handled dynamically by scheduler 2910, or can be assisted in part by compiler logic during compilation of program logic configured for execution by processing array 2912.
  • different clusters 2914A-2914N of processing array 2912 can be allocated for processing different types of programs or for performing different types of computations.
  • processing array 2912 can be configured to perform various types of parallel processing operations.
  • processing array 2912 is configured to perform general-purpose parallel compute operations.
  • processing array 2912 can include logic to execute processing tasks including filtering of video and/or audio data, performing modeling operations, including physics operations, and performing data transformations.
  • processing array 2912 is configured to perform parallel graphics processing operations.
  • processing array 2912 can include additional logic to support execution of such graphics processing operations, including, but not limited to texture sampling logic to perform texture operations, as well as tessellation logic and other vertex processing logic.
  • processing array 2912 can be configured to execute graphics processing related shader programs such as, but not limited to vertex shaders, tessellation shaders, geometry shaders, and pixel shaders.
  • parallel processing unit 2902 can transfer data from system memory via I/O unit 2904 for processing.
  • transferred data can be stored to on-chip memory (e.g., a parallel processor memory 2922) during processing, then written back to system memory.
  • scheduler 2910 can be configured to divide a processing workload into approximately equal sized tasks, to better enable distribution of graphics processing operations to multiple clusters 2914A-2914N of processing array 2912.
  • portions of processing array 2912 can be configured to perform different types of processing. For example, in at least one embodiment, a first portion may be configured to perform vertex shading and topology generation, a second portion may be configured to perform tessellation and geometry shading, and a third portion may be configured to perform pixel shading or other screen space operations, to produce a rendered image for display.
  • intermediate data produced by one or more of clusters 2914A-2914N may be stored in buffers to allow intermediate data to be transmitted between clusters 2914A- 2914N for further processing.
  • processing array 2912 can receive processing tasks to be executed via scheduler 2910, which receives commands defining processing tasks from front end 2908.
  • processing tasks can include indices of data to be processed, e.g., surface (patch) data, primitive data, vertex data, and/or pixel data, as well as state parameters and commands defining how data is to be processed (e.g., what program is to be executed).
  • scheduler 2910 may be configured to fetch indices corresponding to tasks or may receive indices from front end 2908.
  • front end 2908 can be configured to ensure processing array 2912 is configured to a valid state before a workload specified by incoming command buffers (e.g., batchbuffers, push buffers, etc.) is initiated.
  • incoming command buffers e.g., batchbuffers, push buffers, etc.
  • each of one or more instances of parallel processing unit 2902 can couple with parallel processor memory 2922.
  • parallel processor memory 2922 can be accessed via memory crossbar 2916, which can receive memory requests from processing array 2912 as well as I/O unit 2904.
  • memory crossbar 2916 can access parallel processor memory 2922 via a memory interface 2918.
  • memory interface 2918 can include multiple partition units (e.g., a partition unit 2920A, partition unit 2920B, through partition unit 2920N) that can each couple to a portion (e.g., memory unit) of parallel processor memory 2922.
  • a number of partition units 2920A-2920N is configured to be equal to a number of memory units, such that a first partition unit 2920A has a corresponding first memory unit 2924A, a second partition unit 2920B has a corresponding memory unit 2924B, and an Nth partition unit 2920N has a corresponding Nth memory unit 2924N. In at least one embodiment, a number of partition units 2920A-2920N may not be equal to a number of memory devices.
  • memory units 2924A-2924N can include various types of memory devices, including DRAM or graphics random access memory, such as SGRAM, including GDDR memory.
  • memory units 2924A- 2924N may also include 3D stacked memory, including but not limited to high bandwidth memory (“HBM”).
  • render targets such as frame buffers or texture maps may be stored across memory units 2924A-2924N, allowing partition units 2920A-2920N to write portions of each render target in parallel to efficiently use available bandwidth of parallel processor memory 2922.
  • a local instance of parallel processor memory 2922 may be excluded in favor of a unified memory design that utilizes system memory in conjunction with local cache memory.
  • any one of clusters 2914A-2914N of processing array 2912 can process data that will be written to any of memory units 2924A-2924N within parallel processor memory 2922.
  • memory crossbar 2916 can be configured to transfer an output of each cluster 2914A-2914N to any partition unit 2920 A- 2920N or to another cluster 2914A-2914N, which can perform additional processing operations on an output.
  • each cluster 2914A-2914N can communicate with memory interface 2918 through memory crossbar 2916 to read from or write to various external memory devices.
  • memory crossbar 2916 has a connection to memory interface 2918 to communicate with I/O unit 2904, as well as a connection to a local instance of parallel processor memory 2922, enabling processing units within different clusters 2914A-2914N to communicate with system memory or other memory that is not local to parallel processing unit 2902.
  • memory crossbar 2916 can use virtual channels to separate traffic streams between clusters 2914A-2914N and partition units 2920A-2920N.
  • multiple instances of parallel processing unit 2902 can be provided on a single add-in card, or multiple add-in cards can be interconnected.
  • different instances of parallel processing unit 2902 can be configured to inter-operate even if different instances have different numbers of processing cores, different amounts of local parallel processor memory, and/or other configuration differences.
  • some instances of parallel processing unit 2902 can include higher precision floating point units relative to other instances.
  • systems incorporating one or more instances of parallel processing unit 2902 or parallel processor 2900 can be implemented in a variety of configurations and form factors, including but not limited to desktop, laptop, or handheld personal computers, servers, workstations, game consoles, and/or embedded systems.
  • At least one component shown or described with respect to FIGS. 29A is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • parallel processor 2900 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • parallel processor 2900 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • parallel processor 2900 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 29B illustrates a processing cluster 2994, in accordance with at least one embodiment.
  • processing cluster 2994 is included within a parallel processing unit.
  • processing cluster 2994 is one of processing clusters 2914A-2914N of FIG. 29.
  • processing cluster 2994 can be configured to execute many threads in parallel, where the term “thread” refers to an instance of a particular program executing on a particular set of input data.
  • SIMD single instruction, multiple data
  • SIMT single instruction, multiple thread
  • SIMT single instruction, multiple thread
  • operation of processing cluster 2994 can be controlled via a pipeline manager 2932 that distributes processing tasks to SIMT parallel processors.
  • pipeline manager 2932 receives instructions from scheduler 2910 of FIG. 29 and manages execution of those instructions via a graphics multiprocessor 2934 and/or a texture unit 2936.
  • graphics multiprocessor 2934 is an exemplary instance of a SIMT parallel processor.
  • various types of SIMT parallel processors of differing architectures may be included within processing cluster 2994.
  • one or more instances of graphics multiprocessor 2934 can be included within processing cluster 2994.
  • graphics multiprocessor 2934 can process data and a data crossbar 2940 can be used to distribute processed data to one of multiple possible destinations, including other shader units.
  • pipeline manager 2932 can facilitate distribution of processed data by specifying destinations for processed data to be distributed via data crossbar 2940.
  • each graphics multiprocessor 2934 within processing cluster 2994 can include an identical set of functional execution logic (e.g., arithmetic logic units, load/store units (“LSUs”), etc.).
  • functional execution logic can be configured in a pipelined manner in which new instructions can be issued before previous instructions are complete.
  • functional execution logic supports a variety of operations including integer and floating point arithmetic, comparison operations, Boolean operations, bit-shifting, and computation of various algebraic functions.
  • same functional-unit hardware can be leveraged to perform different operations and any combination of functional units may be present.
  • instructions transmitted to processing cluster 2994 constitute a thread.
  • a set of threads executing across a set of parallel processing engines is a thread group.
  • a thread group executes a program on different input data.
  • each thread within a thread group can be assigned to a different processing engine within graphics multiprocessor 2934.
  • a thread group may include fewer threads than a number of processing engines within graphics multiprocessor 2934.
  • one or more of the processing engines may be idle during cycles in which that thread group is being processed.
  • a thread group may also include more threads than a number of processing engines within graphics multiprocessor 2934. In at least one embodiment, when a thread group includes more threads than the number of processing engines within graphics multiprocessor 2934, processing can be performed over consecutive clock cycles. In at least one embodiment, multiple thread groups can be executed concurrently on graphics multiprocessor 2934.
  • graphics multiprocessor 2934 includes an internal cache memory to perform load and store operations. In at least one embodiment, graphics multiprocessor 2934 can forego an internal cache and use a cache memory (e.g., LI cache 2948) within processing cluster 2994.
  • each graphics multiprocessor 2934 also has access to Level 2 (“L2”) caches within partition units (e.g., partition units 2920A-2920N of FIG. 29 A) that are shared among all processing clusters 2994 and may be used to transfer data between threads.
  • L2 Level 2
  • graphics multiprocessor 2934 may also access off-chip global memory, which can include one or more of local parallel processor memory and/or system memory.
  • any memory external to parallel processing unit 2902 may be used as global memory.
  • processing cluster 2994 includes multiple instances of graphics multiprocessor 2934 that can share common instructions and data, which may be stored in LI cache 2948.
  • each processing cluster 2994 may include an MMU 2945 that is configured to map virtual addresses into physical addresses.
  • MMU 2945 includes a set of page table entries (“PTEs”) used to map a virtual address to a physical address of a tile and optionally a cache line index.
  • PTEs page table entries
  • MMU 2945 may include address translation lookaside buffers (“TLBs”) or caches that may reside within graphics multiprocessor 2934 or LI cache 2948 or processing cluster 2994.
  • TLBs address translation lookaside buffers
  • a physical address is processed to distribute surface data access locality to allow efficient request interleaving among partition units.
  • a cache line index may be used to determine whether a request for a cache line is a hit or miss.
  • processing cluster 2994 may be configured such that each graphics multiprocessor 2934 is coupled to a texture unit 2936 for performing texture mapping operations, e.g., determining texture sample positions, reading texture data, and filtering texture data.
  • texture data is read from an internal texture LI cache (not shown) or from an LI cache within graphics multiprocessor 2934 and is fetched from an L2 cache, local parallel processor memory, or system memory, as needed.
  • each graphics multiprocessor 2934 outputs a processed task to data crossbar 2940 to provide the processed task to another processing cluster 2994 for further processing or to store the processed task in an L2 cache, a local parallel processor memory, or a system memory via memory crossbar 2916.
  • a pre-raster operations unit (“preROP”) 2942 is configured to receive data from graphics multiprocessor 2934, direct data to ROP units, which may be located with partition units as described herein (e.g., partition units 2920A-2920N of FIG. 29).
  • PreROP 2942 can perform optimizations for color blending, organize pixel color data, and perform address translations.
  • At least one component shown or described with respect to FIGS. 29B is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • graphics multiprocessor 2934 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • graphics multiprocessor 2934 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • graphics multiprocessor 2934 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 29C illustrates a graphics multiprocessor 2996, in accordance with at least one embodiment.
  • graphics multiprocessor 2996 is graphics multiprocessor 2934 of FIG. 29B.
  • graphics multiprocessor 2996 couples with pipeline manager 2932 of processing cluster 2994.
  • graphics multiprocessor 2996 has an execution pipeline including but not limited to an instruction cache 2952, an instruction unit 2954, an address mapping unit 2956, a register file 2958, one or more GPGPU cores 2962, and one or more LSUs 2966.
  • GPGPU cores 2962 and LSUs 2966 are coupled with cache memory 2972 and shared memory 2970 via a memory and cache interconnect 2968.
  • instruction cache 2952 receives a stream of instructions to execute from pipeline manager 2932.
  • instructions are cached in instruction cache 2952 and dispatched for execution by instruction unit 2954.
  • instruction unit 2954 can dispatch instructions as thread groups (e.g., warps), with each thread of a thread group assigned to a different execution unit within GPGPU core 2962.
  • an instruction can access any of a local, shared, or global address space by specifying an address within a unified address space.
  • address mapping unit 2956 can be used to translate addresses in a unified address space into a distinct memory address that can be accessed by LSUs 2966.
  • register file 2958 provides a set of registers for functional units of graphics multiprocessor 2996.
  • register file 2958 provides temporary storage for operands connected to data paths of functional units (e.g., GPGPU cores 2962, LSUs 2966) of graphics multiprocessor 2996.
  • register file 2958 is divided between each of functional units such that each functional unit is allocated a dedicated portion of register file 2958.
  • register file 2958 is divided between different thread groups being executed by graphics multiprocessor 2996.
  • GPGPU cores 2962 can each include FPUs and/or integer ALUs that are used to execute instructions of graphics multiprocessor 2996.
  • GPGPU cores 2962 can be similar in architecture or can differ in architecture.
  • a first portion of GPGPU cores 2962 include a single precision FPU and an integer ALU while a second portion of GPGPU cores 2962 include a double precision FPU.
  • FPUs can implement IEEE 754-2008 standard for floating point arithmetic or enable variable precision floating point arithmetic.
  • graphics multiprocessor 2996 can additionally include one or more fixed function or special function units to perform specific functions such as copy rectangle or pixel blending operations.
  • one or more of GPGPU cores 2962 can also include fixed or special function logic.
  • GPGPU cores 2962 include SIMD logic capable of performing a single instruction on multiple sets of data.
  • GPGPU cores 2962 can physically execute SIMD4, SIMD8, and SIMD 16 instructions and logically execute SIMD1, SIMD2, and SIMD32 instructions.
  • SIMD instructions for GPGPU cores 2962 can be generated at compile time by a shader compiler or automatically generated when executing programs written and compiled for single program multiple data (“SPMD”) or SIMT architectures.
  • multiple threads of a program configured for an SIMT execution model can executed via a single SIMD instruction. For example, in at least one embodiment, eight SIMT threads that perform the same or similar operations can be executed in parallel via a single SIMD8 logic unit.
  • memory and cache interconnect 2968 is an interconnect network that connects each functional unit of graphics multiprocessor 2996 to register file 2958 and to shared memory 2970.
  • memory and cache interconnect 2968 is a crossbar interconnect that allows LSU 2966 to implement load and store operations between shared memory 2970 and register file 2958.
  • register file 2958 can operate at a same frequency as GPGPU cores 2962, thus data transfer between GPGPU cores 2962 and register file 2958 is very low latency.
  • shared memory 2970 can be used to enable communication between threads that execute on functional units within graphics multiprocessor 2996.
  • cache memory 2972 can be used as a data cache for example, to cache texture data communicated between functional units and texture unit 2936.
  • shared memory 2970 can also be used as a program managed cached.
  • threads executing on GPGPU cores 2962 can programmatically store data within shared memory in addition to automatically cached data that is stored within cache memory 2972.
  • a parallel processor or GPGPU as described herein is communicatively coupled to host/processor cores to accelerate graphics operations, machinelearning operations, pattern analysis operations, and various general purpose GPU (GPGPU) functions.
  • a GPU may be communicatively coupled to host processor/cores over a bus or other interconnect (e.g., a high speed interconnect such as PCIe or NVLink).
  • a GPU may be integrated on the same package or chip as cores and communicatively coupled to cores over a processor bus/interconnect that is internal to a package or a chip.
  • processor cores may allocate work to the GPU in the form of sequences of commands/instructions contained in a WD.
  • the GPU then uses dedicated circuitry /logic for efficiently processing these commands/instructions.
  • At least one component shown or described with respect to FIGS. 29C is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • graphics multiprocessor 2996 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • graphics multiprocessor 2996 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • graphics multiprocessor 2996 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 30 illustrates a graphics processor 3000, in accordance with at least one embodiment.
  • graphics processor 3000 includes a ring interconnect 3002, a pipeline front-end 3004, a media engine 3037, and graphics cores 3080A-3080N.
  • ring interconnect 3002 couples graphics processor 3000 to other processing units, including other graphics processors or one or more general-purpose processor cores.
  • graphics processor 3000 is one of many processors integrated within a multi-core processing system.
  • graphics processor 3000 receives batches of commands via ring interconnect 3002. In at least one embodiment, incoming commands are interpreted by a command streamer 3003 in pipeline front-end 3004. In at least one embodiment, graphics processor 3000 includes scalable execution logic to perform 3D geometry processing and media processing via graphics core(s) 3080A-3080N. In at least one embodiment, for 3D geometry processing commands, command streamer 3003 supplies commands to geometry pipeline 3036. In at least one embodiment, for at least some media processing commands, command streamer 3003 supplies commands to a video front end 3034, which couples with a media engine 3037.
  • media engine 3037 includes a Video Quality Engine (“VQE”) 3030 for video and image post-processing and a multi-format encode/decode (“MFX”) engine 3033 to provide hardware-accelerated media data encode and decode.
  • VQE Video Quality Engine
  • MFX multi-format encode/decode
  • geometry pipeline3036 and media engine3037 each generate execution threads for thread execution resources provided by at least one graphics core 3080 A.
  • graphics processor 3000 includes scalable thread execution resources featuring modular graphics cores 3080A-3080N (sometimes referred to as core slices), each having multiple sub-cores 3050A-550N, 3060A-3060N (sometimes referred to as core sub-slices).
  • graphics processor 3000 can have any number of graphics cores 3080 A through 3080N.
  • graphics processor 3000 includes a graphics core 3080A having at least a first sub-core 3050A and a second sub-core 3060A.
  • graphics processor 3000 is a low power processor with a single sub-core (e.g., sub-core 3050A).
  • graphics processor 3000 includes multiple graphics cores 3080A-3080N, each including a set of first sub-cores 3050A-3050N and a set of second sub-cores 3060A-3060N.
  • each sub-core in first sub-cores 3050A-3050N includes at least a first set of execution units (“EUs”) 3052A-3052N and media/texture samplers 3054A-3054N.
  • each sub-core in second sub-cores 3060A-3060N includes at least a second set of execution units 3062A-3062N and samplers 3064A-3064N.
  • each sub-core 3050A-3050N, 3060A-3060N shares a set of shared resources 3070A-3070N.
  • shared resources 3070 include shared cache memory and pixel operation logic.
  • At least one component shown or described with respect to FIG. 30 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • graphics processor 3000 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • graphics processor 3000 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • graphics processor 3000 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 31 illustrates a processor 3100, in accordance with at least one embodiment.
  • processor 3100 may include, without limitation, logic circuits to perform instructions.
  • processor 3100 may perform instructions, including x86 instructions, ARM instructions, specialized instructions for ASICs, etc.
  • processor 3110 may include registers to store packed data, such as 64- bit wide MMXTM registers in microprocessors enabled with MMX technology from Intel Corporation of Santa Clara, Calif.
  • MMX registers available in both integer and floating point forms, may operate with packed data elements that accompany SIMD and streaming SIMD extensions (“SSE”) instructions.
  • SIMD and streaming SIMD extensions (“SSE”) instructions may hold such packed data operands.
  • processors 3110 may perform instructions to accelerate CUD A programs.
  • processor 3100 includes an in-order front end (“front end”) 3101 to fetch instructions to be executed and prepare instructions to be used later in processor pipeline.
  • front end 3101 may include several units.
  • an instruction pref etcher 3126 fetches instructions from memory and feeds instructions to an instruction decoder 3128 which in turn decodes or interprets instructions.
  • instruction decoder 3128 decodes a received instruction into one or more operations called “micro-instructions” or “microoperations” (also called “micro ops” or “uops”) for execution.
  • instruction decoder 3128 parses instruction into an opcode and corresponding data and control fields that may be used by micro-architecture to perform operations.
  • a trace cache 3130 may assemble decoded uops into program ordered sequences or traces in a uop queue 3134 for execution.
  • a microcode ROM 3132 provides uops needed to complete an operation.
  • some instructions may be converted into a single micro-op, whereas others need several micro-ops to complete full operation.
  • instruction decoder 3128 may access microcode ROM 3132 to perform instruction.
  • an instruction may be decoded into a small number of micro-ops for processing at instruction decoder 3128.
  • an instruction may be stored within microcode ROM 3132 should a number of micro-ops be needed to accomplish operation.
  • trace cache 3130 refers to an entry point programmable logic array (“PLA”) to determine a correct micro-instruction pointer for reading microcode sequences to complete one or more instructions from microcode ROM 3132.
  • PLA entry point programmable logic array
  • front end 3101 of machine may resume fetching micro-ops from trace cache 3130.
  • out-of-order execution engine (“out of order engine”) 3103 may prepare instructions for execution.
  • out-of-order execution logic has a number of buffers to smooth out and re-order the flow of instructions to optimize performance as they go down a pipeline and get scheduled for execution.
  • Out-of- order execution engine 3103 includes, without limitation, an allocator/register renamer 3140, a memory uop queue 3142, an integer/floating point uop queue 3144, a memory scheduler 3146, a fast scheduler 3102, a slow/general floating point scheduler (“slow/general FP scheduler”) 3104, and a simple floating point scheduler (“simple FP scheduler”) 3106.
  • fast schedule 3102, slow/general floating point scheduler 3104, and simple floating point scheduler 3106 are also collectively referred to herein as “uop schedulers 3102, 3104, 3106.”
  • Allocator/register renamer 3140 allocates machine buffers and resources that each uop needs in order to execute.
  • allocator/register renamer 3140 renames logic registers onto entries in a register file.
  • allocator/register renamer 3140 also allocates an entry for each uop in one of two uop queues, memory uop queue 3142 for memory operations and integer/floating point uop queue 3144 for non-memory operations, in front of memory scheduler 3146 and uop schedulers 3102, 3104, 3106.
  • uop schedulers 3102, 3104, 3106 determine when a uop is ready to execute based on readiness of their dependent input register operand sources and availability of execution resources uops need to complete their operation.
  • fast scheduler 3102 of at least one embodiment may schedule on each half of main clock cycle while slow/general floating point scheduler 3104 and simple floating point scheduler 3106 may schedule once per main processor clock cycle.
  • uop schedulers 3102, 3104, 3106 arbitrate for dispatch ports to schedule uops for execution.
  • execution block 3111 includes, without limitation, an integer register file/bypass network 3108, a floating point register file/bypass network (“FP register file/bypass network”) 3110, address generation units (“AGUs”) 3112 and 3114, fast ALUs 3116 and 3118, a slow ALU 3120, a floating point ALU (“FP”) 3122, and a floating point move unit (“FP move”) 3124.
  • FP register file/bypass network floating point register file/bypass network
  • AGUs address generation units
  • integer register file/bypass network 3108 and floating point register file/bypass network 3110 are also referred to herein as “register files 3108, 3110.”
  • AGUSs 3112 and 3114, fast ALUs 3116 and 3118, slow ALU 3120, floating point ALU 3122, and floating point move unit 3124 are also referred to herein as “execution units 3112, 3114, 3116, 3118, 3120, 3122, and 3124.”
  • an execution block may include, without limitation, any number (including zero) and type of register files, bypass networks, address generation units, and execution units, in any combination.
  • register files 3108, 3110 may be arranged between uop schedulers 3102, 3104, 3106, and execution units 3112, 3114, 3116, 3118, 3120, 3122, and 3124.
  • integer register file/bypass network 3108 performs integer operations.
  • floating point register file/bypass network 3110 performs floating point operations.
  • each of register files 3108, 3110 may include, without limitation, a bypass network that may bypass or forward just completed results that have not yet been written into register file to new dependent uops.
  • register files 3108, 3110 may communicate data with each other.
  • integer register file/bypass network 3108 may include, without limitation, two separate register files, one register file for low-order thirty-two bits of data and a second register file for high order thirty-two bits of data.
  • floating point register file/bypass network 3110 may include, without limitation, 128-bit wide entries because floating point instructions typically have operands from 64 to 128 bits in width.
  • execution units 3112, 3114, 3116, 3118, 3120, 3122, 3124 may execute instructions.
  • register files 3108, 3110 store integer and floating point data operand values that micro-instructions need to execute.
  • processor 3100 may include, without limitation, any number and combination of execution units 3112, 3114, 3116, 3118, 3120, 3122, 3124.
  • floating point ALU 3122 and floating point move unit 3124 may execute floating point, MMX, SIMD, AVX and SSE, or other operations.
  • floating point ALU 3122 may include, without limitation, a 64-bit by 64-bit floating point divider to execute divide, square root, and remainder micro ops.
  • instructions involving a floating point value may be handled with floating point hardware.
  • ALU operations may be passed to fast ALUs 3116, 3118.
  • fast ALUS 3116, 3118 may execute fast operations with an effective latency of half a clock cycle.
  • most complex integer operations go to slow ALU 3120 as slow ALU 3120 may include, without limitation, integer execution hardware for long-latency type of operations, such as a multiplier, shifts, flag logic, and branch processing.
  • memory load/store operations may be executed by AGUs 3112, 3114.
  • fast ALU 3116, fast ALU 3118, and slow ALU 3120 may perform integer operations on 64-bit data operands.
  • fast ALU 3116, fast ALU 3118, and slow ALU 3120 may be implemented to support a variety of data bit sizes including sixteen, thirty-two, 128, 256, etc.
  • floating point ALU 3122 and floating point move unit 3124 may be implemented to support a range of operands having bits of various widths.
  • floating point ALU 3122 and floating point move unit 3124 may operate on 128-bit wide packed data operands in conjunction with SIMD and multimedia instructions.
  • uop schedulers 3102, 3104, 3106 dispatch dependent operations before parent load has finished executing.
  • processor 3100 may also include logic to handle memory misses.
  • a data load misses in a data cache there may be dependent operations in flight in pipeline that have left a scheduler with temporarily incorrect data.
  • a replay mechanism tracks and re-executes instructions that use incorrect data.
  • dependent operations might need to be replayed and independent ones may be allowed to complete.
  • schedulers and replay mechanisms of at least one embodiment of a processor may also be designed to catch instruction sequences for text string comparison operations.
  • registers may refer to on-board processor storage locations that may be used as part of instructions to identify operands.
  • registers may be those that may be usable from outside of a processor (from a programmer's perspective).
  • registers might not be limited to a particular type of circuit. Rather, in at least one embodiment, a register may store data, provide data, and perform functions described herein.
  • registers described herein may be implemented by circuitry within a processor using any number of different techniques, such as dedicated physical registers, dynamically allocated physical registers using register renaming, combinations of dedicated and dynamically allocated physical registers, etc.
  • integer registers store 32-bit integer data.
  • a register file of at least one embodiment also contains eight multimedia SIMD registers for packed data.
  • processor 3100 is used to perform an API to control which of one or more portions of graph code are to be performed. In at least one embodiment, processor 3100 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • processor 3100 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 32 illustrates a processor 3200, in accordance with at least one embodiment.
  • processor 3200 includes, without limitation, one or more processor cores (“cores”) 3202A-3202N, an integrated memory controller 3214, and an integrated graphics processor 3208.
  • processor 3200 can include additional cores up to and including additional processor core 3202N represented by dashed lined boxes.
  • each of processor cores 3202A-3202N includes one or more internal cache units 3204A-3204N.
  • each processor core also has access to one or more shared cached units 3206.
  • internal cache units 3204A-3204N and shared cache units 3206 represent a cache memory hierarchy within processor 3200.
  • cache memory units 3204A-3204N may include at least one level of instruction and data cache within each processor core and one or more levels of shared mid-level cache, such as an L2, L3, Level 4 (“L4”), or other levels of cache, where a highest level of cache before external memory is classified as an LLC.
  • L4 Level 4
  • cache coherency logic maintains coherency between various cache units 3206 and 3204A-3204N.
  • processor 3200 may also include a set of one or more bus controller units 3216 and a system agent core 3210.
  • one or more bus controller units 3216 manage a set of peripheral buses, such as one or more PCI or PCI express buses.
  • system agent core 3210 provides management functionality for various processor components.
  • system agent core 3210 includes one or more integrated memory controllers 3214 to manage access to various external memory devices (not shown).
  • processor cores 3202A-3202N include support for simultaneous multi-threading.
  • system agent core 3210 includes components for coordinating and operating processor cores 3202A-3202N during multi -threaded processing.
  • system agent core 3210 may additionally include a power control unit (“PCU”), which includes logic and components to regulate one or more power states of processor cores 3202A-3202N and graphics processor 3208.
  • PCU power control unit
  • processor 3200 additionally includes graphics processor 3208 to execute graphics processing operations.
  • graphics processor 3208 couples with shared cache units 3206, and system agent core 3210, including one or more integrated memory controllers 3214.
  • system agent core 3210 also includes a display controller 3211 to drive graphics processor output to one or more coupled displays.
  • display controller 3211 may also be a separate module coupled with graphics processor 3208 via at least one interconnect, or may be integrated within graphics processor 3208.
  • a ring based interconnect unit 3212 is used to couple internal components of processor 3200.
  • an alternative interconnect unit may be used, such as a point-to-point interconnect, a switched interconnect, or other techniques.
  • graphics processor 3208 couples with ring interconnect 3212 via an I/O link 3213.
  • I/O link 3213 represents at least one of multiple varieties of I/O interconnects, including an on package I/O interconnect which facilitates communication between various processor components and a high-performance embedded memory module 3218, such as an eDRAM module.
  • each of processor cores 3202A-3202N and graphics processor 3208 use embedded memory modules 3218 as a shared LLC.
  • processor cores 3202A-3202N are homogeneous cores executing a common instruction set architecture.
  • processor cores 3202A-3202N are heterogeneous in terms of ISA, where one or more of processor cores 3202A-3202N execute a common instruction set, while one or more other cores of processor cores 3202A-32-02N executes a subset of a common instruction set or a different instruction set.
  • processor cores 3202A-3202N are heterogeneous in terms of microarchitecture, where one or more cores having a relatively higher power consumption couple with one or more cores having a lower power consumption.
  • processor 3200 can be implemented on one or more chips or as an SoC integrated circuit.
  • At least one component shown or described with respect to FIG. 32 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one of processor 3200 or graphics processor 3208 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one of processor 3200 or graphics processor 3208 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • processor 3200 or graphics processor 3208 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 33 illustrates a graphics processor core 3300, in accordance with at least one embodiment described.
  • graphics processor core 3300 is included within a graphics core array.
  • graphics processor core 3300 sometimes referred to as a core slice, can be one or multiple graphics cores within a modular graphics processor.
  • graphics processor core 3300 is exemplary of one graphics core slice, and a graphics processor as described herein may include multiple graphics core slices based on target power and performance envelopes.
  • each graphics core 3300 can include a fixed function block 3330 coupled with multiple sub-cores 3301 A-3301F, also referred to as sub-slices, that include modular blocks of general-purpose and fixed function logic.
  • fixed function block 3330 includes a geometry/fixed function pipeline 3336 that can be shared by all sub-cores in graphics processor 3300, for example, in lower performance and/or lower power graphics processor implementations.
  • geometry/fixed function pipeline 3336 includes a 3D fixed function pipeline, a video front-end unit, a thread spawner and thread dispatcher, and a unified return buffer manager, which manages unified return buffers.
  • fixed function block 3330 also includes a graphics SoC interface 3337, a graphics microcontroller 3338, and a media pipeline 3339.
  • Graphics SoC interface 3337 provides an interface between graphics core 3300 and other processor cores within an SoC integrated circuit.
  • graphics microcontroller 3338 is a programmable sub-processor that is configurable to manage various functions of graphics processor 3300, including thread dispatch, scheduling, and pre-emption.
  • media pipeline 3339 includes logic to facilitate decoding, encoding, preprocessing, and/or post-processing of multimedia data, including image and video data.
  • media pipeline 3339 implements media operations via requests to compute or sampling logic within sub-cores 3301-3301F.
  • SoC interface 3337 enables graphics core 3300 to communicate with general-purpose application processor cores (e.g., CPUs) and/or other components within an SoC, including memory hierarchy elements such as a shared LLC memory, system RAM, and/or embedded on-chip or on-package DRAM.
  • SoC interface 3337 can also enable communication with fixed function devices within an SoC, such as camera imaging pipelines, and enables use of and/or implements global memory atomics that may be shared between graphics core 3300 and CPUs within an SoC.
  • SoC interface 3337 can also implement power management controls for graphics core 3300 and enable an interface between a clock domain of graphic core 3300 and other clock domains within an SoC.
  • SoC interface 3337 enables receipt of command buffers from a command streamer and global thread dispatcher that are configured to provide commands and instructions to each of one or more graphics cores within a graphics processor.
  • commands and instructions can be dispatched to media pipeline 3339, when media operations are to be performed, or a geometry and fixed function pipeline (e.g., geometry and fixed function pipeline 3336, geometry and fixed function pipeline 3314) when graphics processing operations are to be performed.
  • graphics microcontroller 3338 can be configured to perform various scheduling and management tasks for graphics core 3300.
  • graphics microcontroller 3338 can perform graphics and/or compute workload scheduling on various graphics parallel engines within execution unit (EU) arrays 3302A- 3302F, 3304A-3304F within sub-cores 3301 A-3301F.
  • EU execution unit
  • host software executing on a CPU core of an SoC including graphics core 3300 can submit workloads one of multiple graphic processor doorbells, which invokes a scheduling operation on an appropriate graphics engine.
  • scheduling operations include determining which workload to run next, submitting a workload to a command streamer, preempting existing workloads running on an engine, monitoring progress of a workload, and notifying host software when a workload is complete.
  • graphics microcontroller 3338 can also facilitate low-power or idle states for graphics core 3300, providing graphics core 3300 with an ability to save and restore registers within graphics core 3300 across low-power state transitions independently from an operating system and/or graphics driver software on a system.
  • graphics core 3300 may have greater than or fewer than illustrated sub-cores 3301 A-3301F, up to N modular sub-cores.
  • graphics core 3300 can also include shared function logic 3310, shared and/or cache memory 3312, a geometry/fixed function pipeline 3314, as well as additional fixed function logic 3316 to accelerate various graphics and compute processing operations.
  • shared function logic 3310 can include logic units (e.g., sampler, math, and/or inter-thread communication logic) that can be shared by each N sub-cores within graphics core 3300.
  • Shared and/or cache memory 3312 can be an LLC for N sub-cores 3301A-3301F within graphics core 3300 and can also serve as shared memory that is accessible by multiple sub-cores.
  • geometry/fixed function pipeline 3314 can be included instead of geometry/fixed function pipeline 3336 within fixed function block 3330 and can include same or similar logic units.
  • graphics core 3300 includes additional fixed function logic 3316 that can include various fixed function acceleration logic for use by graphics core 3300.
  • additional fixed function logic 3316 includes an additional geometry pipeline for use in position only shading. In position-only shading, at least two geometry pipelines exist, whereas in a full geometry pipeline within geometry/fixed function pipeline 3316, 3336, and a cull pipeline, which is an additional geometry pipeline which may be included within additional fixed function logic 3316.
  • cull pipeline is a trimmed down version of a full geometry pipeline.
  • a full pipeline and a cull pipeline can execute different instances of an application, each instance having a separate context.
  • position only shading can hide long cull runs of discarded triangles, enabling shading to be completed earlier in some instances.
  • cull pipeline logic within additional fixed function logic 3316 can execute position shaders in parallel with a main application and generally generates critical results faster than a full pipeline, as a cull pipeline fetches and shades position attribute of vertices, without performing rasterization and rendering of pixels to a frame buffer.
  • a cull pipeline can use generated critical results to compute visibility information for all triangles without regard to whether those triangles are culled.
  • a full pipeline (which in this instance may be referred to as a replay pipeline) can consume visibility information to skip culled triangles to shade only visible triangles that are finally passed to a rasterization phase.
  • additional fixed function logic 3316 can also include general purpose processing acceleration logic, such as fixed function matrix multiplication logic, for accelerating CUDA programs.
  • each graphics sub-core 3301A-3301F includes a set of execution resources that may be used to perform graphics, media, and compute operations in response to requests by graphics pipeline, media pipeline, or shader programs.
  • graphics sub-cores 3301A-3301F include multiple EU arrays 3302A-3302F, 3304A-3304F, thread dispatch and inter-thread communication (“TD/IC”) logic 3303 A- 3303F, a 3D (e.g., texture) sampler 3305A-3305F, a media sampler 3306A-3306F, a shader processor 3307A-3307F, and shared local memory (“SLM”) 3308A-3308F.
  • TD/IC thread dispatch and inter-thread communication
  • EU arrays 3302A-3302F, 3304A-3304F each include multiple execution units, which are GPGPUs capable of performing floating-point and integer/fixed-point logic operations in service of a graphics, media, or compute operation, including graphics, media, or compute shader programs.
  • TD/IC logic 3303A-3303F performs local thread dispatch and thread control operations for execution units within a sub-core and facilitate communication between threads executing on execution units of a sub-core.
  • 3D sampler 3305A-3305F can read texture or other 3D graphics related data into memory.
  • 3D sampler can read texture data differently based on a configured sample state and texture format associated with a given texture.
  • media sampler 3306A-3306F can perform similar read operations based on a type and format associated with media data.
  • each graphics subcore 3301 A-3301F can alternately include a unified 3D and media sampler.
  • threads executing on execution units within each of sub-cores 3301 A-3301F can make use of shared local memory 3308A-3308F within each sub-core, to enable threads executing within a thread group to execute using a common pool of on-chip memory.
  • At least one component shown or described with respect to FIG. 33 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one element of graphics processor core 3300 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one element of graphics processor core 3300 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one element of graphics processor core 3300 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 34 illustrates a parallel processing unit (“PPU”) 3400, in accordance with at least one embodiment.
  • PPU parallel processing unit
  • PPU 3400 is configured with machine- readable code that, if executed by PPU 3400, causes PPU 3400 to perform some or all of processes and techniques described herein.
  • PPU 3400 is a multithreaded processor that is implemented on one or more integrated circuit devices and that utilizes multithreading as a latency-hiding technique designed to process computer-readable instructions (also referred to as machine-readable instructions or simply instructions) on multiple threads in parallel.
  • a thread refers to a thread of execution and is an instantiation of a set of instructions configured to be executed by PPU 3400.
  • PPU 3400 is a GPU configured to implement a graphics rendering pipeline for processing three-dimensional (“3D”) graphics data in order to generate two-dimensional (“2D”) image data for display on a display device such as an LCD device.
  • PPU 3400 is utilized to perform computations such as linear algebra operations and machine-learning operations.
  • FIG. 34 illustrates an example parallel processor for illustrative purposes only and should be construed as a non-limiting example of a processor architecture that may be implemented in at least one embodiment.
  • one or more PPUs 3400 are configured to accelerate High Performance Computing (“HPC”), data center, and machine learning applications.
  • one or more PPUs 3400 are configured to accelerate CUDA programs.
  • PPU 3400 includes, without limitation, an I/O unit 3406, a frontend unit 3410, a scheduler unit 3412, a work distribution unit 3414, a hub 3416, a crossbar (“Xbar”) 3420, one or more general processing clusters (“GPCs”) 3418, and one or more partition units (“memory partition units”) 3422.
  • PPU 3400 is connected to a host processor or other PPUs 3400 via one or more high-speed GPU interconnects (“GPU interconnects”) 3408. In at least one embodiment, PPU 3400 is connected to a host processor or other peripheral devices via a system bus or interconnect 3402. In at least one embodiment, PPU 3400 is connected to a local memory comprising one or more memory devices (“memory”) 3404. In at least one embodiment, memory devices 3404 include, without limitation, one or more dynamic random access memory (DRAM) devices. In at least one embodiment, one or more DRAM devices are configured and/or configurable as high-bandwidth memory (“HBM”) subsystems, with multiple DRAM dies stacked within each device.
  • DRAM dynamic random access memory
  • high-speed GPU interconnect 3408 may refer to a wire-based multi-lane communications link that is used by systems to scale and include one or more PPUs 3400 combined with one or more CPUs, supports cache coherence between PPUs 3400 and CPUs, and CPU mastering.
  • data and/or commands are transmitted by high-speed GPU interconnect 3408 through hub 3416 to/from other units of PPU 3400 such as one or more copy engines, video encoders, video decoders, power management units, and other components which may not be explicitly illustrated in FIG. 34.
  • I/O unit 3406 is configured to transmit and receive communications (e.g., commands, data) from a host processor (not illustrated in FIG. 34) over system bus 3402.
  • I/O unit 3406 communicates with host processor directly via system bus 3402 or through one or more intermediate devices such as a memory bridge.
  • VO unit 3406 may communicate with one or more other processors, such as one or more of PPUs 3400 via system bus 3402.
  • I/O unit 3406 implements a PCIe interface for communications over a PCIe bus.
  • I/O unit 3406 implements interfaces for communicating with external devices.
  • I/O unit 3406 decodes packets received via system bus 3402. In at least one embodiment, at least some packets represent commands configured to cause PPU 3400 to perform various operations. In at least one embodiment, I/O unit 3406 transmits decoded commands to various other units of PPU 3400 as specified by commands. In at least one embodiment, commands are transmitted to front-end unit 3410 and/or transmitted to hub 3416 or other units of PPU 3400 such as one or more copy engines, a video encoder, a video decoder, a power management unit, etc. (not explicitly illustrated in FIG. 34). In at least one embodiment, I/O unit 3406 is configured to route communications between and among various logical units of PPU 3400.
  • a program executed by host processor encodes a command stream in a buffer that provides workloads to PPU 3400 for processing.
  • a workload comprises instructions and data to be processed by those instructions.
  • buffer is a region in a memory that is accessible (e.g., read/write) by both a host processor and PPU 3400 — a host interface unit may be configured to access buffer in a system memory connected to system bus 3402 via memory requests transmitted over system bus 3402 by I/O unit 3406.
  • a host processor writes a command stream to a buffer and then transmits a pointer to the start of the command stream to PPU 3400 such that front-end unit 3410 receives pointers to one or more command streams and manages one or more command streams, reading commands from command streams and forwarding commands to various units of PPU 3400.
  • front-end unit 3410 is coupled to scheduler unit 3412 that configures various GPCs 3418 to process tasks defined by one or more command streams.
  • scheduler unit 3412 is configured to track state information related to various tasks managed by scheduler unit 3412 where state information may indicate which of GPCs 3418 a task is assigned to, whether task is active or inactive, a priority level associated with task, and so forth.
  • scheduler unit 3412 manages execution of a plurality of tasks on one or more of GPCs 3418.
  • scheduler unit 3412 is coupled to work distribution unit 3414 that is configured to dispatch tasks for execution on GPCs 3418.
  • work distribution unit 3414 tracks a number of scheduled tasks received from scheduler unit 3412 and work distribution unit 3414 manages a pending task pool and an active task pool for each of GPCs 3418.
  • pending task pool comprises a number of slots (e.g., 32 slots) that contain tasks assigned to be processed by a particular GPC 3418; active task pool may comprise a number of slots (e.g., 4 slots) for tasks that are actively being processed by GPCs 3418 such that as one of GPCs 3418 completes execution of a task, that task is evicted from active task pool for GPC 3418 and one of other tasks from pending task pool is selected and scheduled for execution on GPC 3418.
  • slots e.g., 32 slots
  • active task pool may comprise a number of slots (e.g., 4 slots) for tasks that are actively being processed by GPCs 3418 such that as one of GPCs 3418 completes execution of a task, that task is evicted from active task pool for GPC 3418 and one of other tasks from pending task pool is selected and scheduled for execution on GPC 3418.
  • an active task is idle on GPC 3418, such as while waiting for a data dependency to be resolved, then the active task is evicted from GPC 3418 and returned to a pending task pool while another task in the pending task pool is selected and scheduled for execution on GPC 3418.
  • work distribution unit 3414 communicates with one or more GPCs 3418 via XBar 3420.
  • XBar 3420 is an interconnect network that couples many units of PPU 3400 to other units of PPU 3400 and can be configured to couple work distribution unit 3414 to a particular GPC 3418.
  • one or more other units of PPU 3400 may also be connected to XBar 3420 via hub 3416.
  • tasks are managed by scheduler unit 3412 and dispatched to one of GPCs 3418 by work distribution unit 3414.
  • GPC 3418 is configured to process task and generate results.
  • results may be consumed by other tasks within GPC 3418, routed to a different GPC 3418 via XBar 3420, or stored in memory 3404.
  • results can be written to memory 3404 via partition units 3422, which implement a memory interface for reading and writing data to/from memory 3404.
  • results can be transmitted to another PPU 3404 or CPU via high-speed GPU interconnect 3408.
  • PPU 3400 includes, without limitation, a number U of partition units 3422 that is equal to number of separate and distinct memory devices 3404 coupled to PPU 3400.
  • a host processor executes a driver kernel that implements an application programming interface (“API”) that enables one or more applications executing on host processor to schedule operations for execution on PPU 3400.
  • API application programming interface
  • multiple compute applications are simultaneously executed by PPU 3400 and PPU 3400 provides isolation, quality of service (“QoS”), and independent address spaces for multiple compute applications.
  • an application generates instructions (e.g., in the form of API calls) that cause a driver kernel to generate one or more tasks for execution by PPU 3400 and the driver kernel outputs tasks to one or more streams being processed by PPU 3400.
  • each task comprises one or more groups of related threads, which may be referred to as a warp.
  • a warp comprises a plurality of related threads (e.g., 32 threads) that can be executed in parallel.
  • cooperating threads can refer to a plurality of threads including instructions to perform a task and that exchange data through shared memory.
  • At least one component shown or described with respect to FIG. 34 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • parallel processing unit 3400 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • parallel processing unit 3400 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • parallel processing unit 3400 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 35 illustrates a GPC 3500, in accordance with at least one embodiment.
  • GPC 3500 is GPC 3418 of FIG. 34.
  • each GPC 3500 includes, without limitation, a number of hardware units for processing tasks and each GPC 3500 includes, without limitation, a pipeline manager 3502, a pre-raster operations unit (“PROP”) 3504, a raster engine 3508, a work distribution crossbar (“WDX”) 3516, an MMU 3518, one or more Data Processing Clusters (“DPCs”) 3506, and any suitable combination of parts.
  • PROP pre-raster operations unit
  • WDX work distribution crossbar
  • MMU 3518 one or more Data Processing Clusters
  • DPCs Data Processing Clusters
  • operation of GPC 3500 is controlled by pipeline manager 3502.
  • pipeline manager 3502 manages configuration of one or more DPCs 3506 for processing tasks allocated to GPC 3500.
  • pipeline manager 3502 configures at least one of one or more DPCs 3506 to implement at least a portion of a graphics rendering pipeline.
  • DPC 3506 is configured to execute a vertex shader program on a programmable streaming multiprocessor (“SM”) 3514.
  • SM programmable streaming multiprocessor
  • pipeline manager 3502 is configured to route packets received from a work distribution unit to appropriate logical units within GPC 3500 and, in at least one embodiment, some packets may be routed to fixed function hardware units in PROP 3504 and/or raster engine 3508 while other packets may be routed to DPCs 3506 for processing by a primitive engine 3512 or SM 3514. In at least one embodiment, pipeline manager 3502 configures at least one of DPCs 3506 to implement a computing pipeline. In at least one embodiment, pipeline manager 3502 configures at least one of DPCs 3506 to execute at least a portion of a CUDA program.
  • PROP unit 3504 is configured to route data generated by raster engine 3508 and DPCs 3506 to a Raster Operations (“ROP”) unit in a partition unit, such as memory partition unit 3422 described in more detail above in conjunction with FIG. 34.
  • PROP unit 3504 is configured to perform optimizations for color blending, organize pixel data, perform address translations, and more.
  • raster engine 3508 includes, without limitation, a number of fixed function hardware units configured to perform various raster operations and, in at least one embodiment, raster engine 3508 includes, without limitation, a setup engine, a coarse raster engine, a culling engine, a clipping engine, a fine raster engine, a tile coalescing engine, and any suitable combination thereof.
  • a setup engine receives transformed vertices and generates plane equations associated with geometric primitive defined by vertices; plane equations are transmitted to a coarse raster engine to generate coverage information (e.g., an x, y coverage mask for a tile) for a primitive; the output of the coarse raster engine is transmitted to a culling engine where fragments associated with a primitive that fail a z-test are culled, and transmitted to a clipping engine where fragments lying outside a viewing frustum are clipped.
  • fragments that survive clipping and culling are passed to a fine raster engine to generate attributes for pixel fragments based on plane equations generated by a setup engine.
  • the output of raster engine 3508 comprises fragments to be processed by any suitable entity such as by a fragment shader implemented within DPC 3506.
  • each DPC 3506 included in GPC 3500 comprise, without limitation, an M-Pipe Controller (“MPC”) 3510; primitive engine 3512; one or more SMs 3514; and any suitable combination thereof.
  • MPC 3510 controls operation of DPC 3506, routing packets received from pipeline manager 3502 to appropriate units in DPC 3506.
  • packets associated with a vertex are routed to primitive engine 3512, which is configured to fetch vertex attributes associated with vertex from memory; in contrast, packets associated with a shader program may be transmitted to SM 3514.
  • SM 3514 comprises, without limitation, a programmable streaming processor that is configured to process tasks represented by a number of threads.
  • SM 3514 is multi-threaded and configured to execute a plurality of threads (e.g., 32 threads) from a particular group of threads concurrently and implements a SIMD architecture where each thread in a group of threads (e.g., a warp) is configured to process a different set of data based on same set of instructions.
  • all threads in group of threads execute same instructions.
  • SM 3514 implements a SIMT architecture wherein each thread in a group of threads is configured to process a different set of data based on same set of instructions, but where individual threads in group of threads are allowed to diverge during execution.
  • a program counter, a call stack, and an execution state is maintained for each warp, enabling concurrency between warps and serial execution within warps when threads within a warp diverge.
  • a program counter, a call stack, and an execution state is maintained for each individual thread, enabling equal concurrency between all threads, within and between warps.
  • an execution state is maintained for each individual thread and threads executing the same instructions may be converged and executed in parallel for better efficiency. At least one embodiment of SM 3514 is described in more detail in conjunction with FIG. 36.
  • MMU 3518 provides an interface between GPC 3500 and a memory partition unit (e.g., partition unit 3422 of FIG. 34) and MMU 3518 provides translation of virtual addresses into physical addresses, memory protection, and arbitration of memory requests.
  • MMU 3518 provides one or more translation lookaside buffers (TLBs) for performing translation of virtual addresses into physical addresses in memory.
  • TLBs translation lookaside buffers
  • At least one component shown or described with respect to FIG. 35 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • general processing cluster 3500 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • general processing cluster 3500 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • general processing cluster 3500 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 36 illustrates a streaming multiprocessor (“SM”) 3600, in accordance with at least one embodiment.
  • SM 3600 is SM 3514 of FIG. 35.
  • SM 3600 includes, without limitation, an instruction cache 3602; one or more scheduler units 3604; a register file 3608; one or more processing cores (“cores”) 3610; one or more special function units (“SFUs”) 3612; one or more LSUs 3614; an interconnect network 3616; a shared memory /LI cache 3618; and any suitable combination thereof.
  • cores processing cores
  • SFUs special function units
  • a work distribution unit dispatches tasks for execution on GPCs of parallel processing units (PPUs) and each task is allocated to a particular Data Processing Cluster (DPC) within a GPC and, if a task is associated with a shader program, then the task is allocated to one of SMs 3600.
  • scheduler unit 3604 receives tasks from a work distribution unit and manages instruction scheduling for one or more thread blocks assigned to SM 3600.
  • scheduler unit 3604 schedules thread blocks for execution as warps of parallel threads, wherein each thread block is allocated at least one warp. In at least one embodiment, each warp executes threads.
  • scheduler unit 3604 manages a plurality of different thread blocks, allocating warps to different thread blocks and then dispatching instructions from a plurality of different cooperative groups to various functional units (e.g., processing cores 3610, SFUs 3612, and LSUs 3614) during each clock cycle.
  • various functional units e.g., processing cores 3610, SFUs 3612, and LSUs 3614
  • “cooperative groups” may refer to a programming model for organizing groups of communicating threads that allows developers to express granularity at which threads are communicating, enabling expression of richer, more efficient parallel decompositions.
  • cooperative launch APIs support synchronization amongst thread blocks for execution of parallel algorithms.
  • APIs of conventional programming models provide a single, simple construct for synchronizing cooperating threads: a barrier across all threads of a thread block (e.g., syncthreads( ) function).
  • programmers may define groups of threads at smaller than thread block granularities and synchronize within defined groups to enable greater performance, design flexibility, and software reuse in the form of collective group-wide function interfaces.
  • cooperative groups enable programmers to define groups of threads explicitly at sub-block and multi-block granularities, and to perform collective operations such as synchronization on threads in a cooperative group.
  • a sub-block granularity is as small as a single thread.
  • a programming model supports clean composition across software boundaries, so that libraries and utility functions can synchronize safely within their local context without having to make assumptions about convergence.
  • cooperative group primitives enable new patterns of cooperative parallelism, including, without limitation, producer-consumer parallelism, opportunistic parallelism, and global synchronization across an entire grid of thread blocks.
  • a dispatch unit 3606 is configured to transmit instructions to one or more of functional units and scheduler unit 3604 includes, without limitation, two dispatch units 3606 that enable two different instructions from same warp to be dispatched during each clock cycle.
  • each scheduler unit 3604 includes a single dispatch unit 3606 or additional dispatch units 3606.
  • each SM 3600 in at least one embodiment, includes, without limitation, register file 3608 that provides a set of registers for functional units of SM 3600. In at least one embodiment, register file 3608 is divided between each of the functional units such that each functional unit is allocated a dedicated portion of register file 3608. In at least one embodiment, register file 3608 is divided between different warps being executed by SM 3600 and register file 3608 provides temporary storage for operands connected to data paths of functional units. In at least one embodiment, each SM 3600 comprises, without limitation, a plurality of L processing cores 3610. In at least one embodiment, SM 3600 includes, without limitation, a large number (e.g., 128 or more) of distinct processing cores 3610.
  • each processing core 3610 includes, without limitation, a fully-pipelined, single-precision, double-precision, and/or mixed precision processing unit that includes, without limitation, a floating point arithmetic logic unit and an integer arithmetic logic unit.
  • floating point arithmetic logic units implement IEEE 754-2008 standard for floating point arithmetic.
  • processing cores 3610 include, without limitation, 64 single-precision (32-bit) floating point cores, 64 integer cores, 32 double-precision (64-bit) floating point cores, and 8 tensor cores.
  • matrix multiply inputs A and B are 16-bit floating point matrices and accumulation matrices C and D arel6-bit floating point or 32-bit floating point matrices.
  • tensor cores operate on 16-bit floating point input data with 32-bit floating point accumulation.
  • 16-bit floating point multiply uses 64 operations and results in a full precision product that is then accumulated using 32-bit floating point addition with other intermediate products for a 4x4x4 matrix multiply.
  • Tensor cores are used to perform much larger two-dimensional or higher dimensional matrix operations, built up from these smaller elements, in at least one embodiment.
  • an API such as a CUDA-C++ API, exposes specialized matrix load, matrix multiply and accumulate, and matrix store operations to efficiently use tensor cores from a CUDA-C++ program.
  • a warp-level interface assumes 16x16 size matrices spanning all 32 threads of a warp.
  • each SM 3600 comprises, without limitation, M SFUs 3612 that perform special functions (e.g., attribute evaluation, reciprocal square root, and like).
  • SFUs 3612 include, without limitation, a tree traversal unit configured to traverse a hierarchical tree data structure.
  • SFUs 3612 include, without limitation, a texture unit configured to perform texture map filtering operations.
  • texture units are configured to load texture maps (e.g., a 2D array of texels) from memory and sample texture maps to produce sampled texture values for use in shader programs executed by SM 3600.
  • texture maps are stored in shared memory /LI cache 3618.
  • texture units implement texture operations such as filtering operations using mip-maps (e.g., texture maps of varying levels of detail).
  • each SM 3600 includes, without limitation, two texture units.
  • each SM 3600 comprises, without limitation, N LSUs 3614 that implement load and store operations between shared memory /LI cache 3618 and register file 3608.
  • each SM 3600 includes, without limitation, interconnect network 3616 that connects each of the functional units to register file 3608 and LSU 3614 to register file 3608 and shared memory/ LI cache 3618.
  • interconnect network 3616 is a crossbar that can be configured to connect any of the functional units to any of the registers in register file 3608 and connect LSUs 3614 to register file 3608 and memory locations in shared memory /LI cache 3618.
  • shared memory /LI cache 3618 is an array of on-chip memory that allows for data storage and communication between SM 3600 and a primitive engine and between threads in SM 3600.
  • shared memory /LI cache 3618 comprises, without limitation, 128KB of storage capacity and is in a path from SM 3600 to a partition unit.
  • shared memory /LI cache 3618 is used to cache reads and writes.
  • one or more of shared memory /LI cache 3618, L2 cache, and memory are backing stores.
  • combining data cache and shared memory functionality into a single memory block provides improved performance for both types of memory accesses.
  • capacity is used or is usable as a cache by programs that do not use shared memory, such as if shared memory is configured to use half of capacity, texture and load/store operations can use remaining capacity.
  • integration within shared memory /LI cache 3618 enables shared memory /LI cache 3618 to function as a high-throughput conduit for streaming data while simultaneously providing high-bandwidth and low-latency access to frequently reused data.
  • a simpler configuration can be used compared with graphics processing.
  • a work distribution unit assigns and distributes blocks of threads directly to DPCs.
  • threads in a block execute the same program, using a unique thread ID in a calculation to ensure each thread generates unique results, using SM 3600 to execute a program and perform calculations, shared memory /LI cache 3618 to communicate between threads, and LSU 3614 to read and write global memory through shared memory /LI cache 3618 and a memory partition unit.
  • SM 3600 when configured for general purpose parallel computation, SM 3600 writes commands that scheduler unit 3604 can use to launch new work on DPCs.
  • PPU is included in or coupled to a desktop computer, a laptop computer, a tablet computer, servers, supercomputers, a smart-phone (e.g., a wireless, hand-held device), a PDA, a digital camera, a vehicle, a head mounted display, a hand-held electronic device, and more.
  • PPU is embodied on a single semiconductor substrate.
  • PPU is included in an SoC along with one or more other devices such as additional PPUs, memory, a RISC CPU, an MMU, a digital-to-analog converter (“DAC”), and like.
  • PPU may be included on a graphics card that includes one or more memory devices.
  • a graphics card may be configured to interface with a PCIe slot on a motherboard of a desktop computer.
  • PPU may be an integrated GPU (“iGPU”) included in chipset of motherboard.
  • streaming multiprocessor 3600 is used to perform an API to control which of one or more portions of graph code are to be performed. In at least one embodiment, streaming multiprocessor 3600 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • streaming multiprocessor 3600 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 37 illustrates a software stack of a programming platform, in accordance with at least one embodiment.
  • a programming platform is a platform for leveraging hardware on a computing system to accelerate computational tasks.
  • a programming platform may be accessible to software developers through libraries, compiler directives, and/or extensions to programming languages, in at least one embodiment.
  • a programming platform may be, but is not limited to, CUD A, Radeon Open Compute Platform (“ROCm”), OpenCL (OpenCLTM is developed by Khronos group), SYCL, or Intel One API.
  • a software stack 3700 of a programming platform provides an execution environment for an application 3701.
  • application 3701 may include any computer software capable of being launched on software stack 3700.
  • application 3701 may include, but is not limited to, an artificial intelligence (“AI”)/machine learning (“ML”) application, a high performance computing (“HPC”) application, a virtual desktop infrastructure (“VDI”), or a data center workload.
  • AI artificial intelligence
  • ML machine learning
  • HPC high performance computing
  • VDI virtual desktop infrastructure
  • application 3701 and software stack 3700 run on hardware 3707.
  • Hardware 3707 may include one or more GPUs, CPUs, FPGAs, Al engines, and/or other types of compute devices that support a programming platform, in at least one embodiment.
  • software stack 3700 may be vendor specific and compatible with only devices from particular vendor(s).
  • software stack 3700 may be used with devices from different vendors.
  • hardware 3707 includes a host connected to one more devices that can be accessed to perform computational tasks via application programming interface (“API”) calls.
  • API application programming interface
  • a device within hardware 3707 may include, but is not limited to, a GPU, FPGA, Al engine, or other compute device (but may also include a CPU) and its memory, as opposed to a host within hardware 3707 that may include, but is not limited to, a CPU (but may also include a compute device) and its memory, in at least one embodiment.
  • libraries 3703 may include data and programming code that can be used by computer programs and leveraged during software development, in at least one embodiment.
  • libraries 3703 may include, but are not limited to, pre-written code and subroutines, classes, values, type specifications, configuration data, documentation, help data, and/or message templates.
  • libraries 3703 include functions that are optimized for execution on one or more types of devices.
  • libraries 3703 may include, but are not limited to, functions for performing mathematical, deep learning, and/or other types of operations on devices.
  • libraries 3703 are associated with corresponding APIs 3702, which may include one or more APIs, that expose functions implemented in libraries 3703.
  • application 3701 is written as source code that is compiled into executable code, as discussed in greater detail below in conjunction with FIGS. 42 - 44.
  • Executable code of application 3701 may run, at least in part, on an execution environment provided by software stack 3700, in at least one embodiment.
  • code may be reached that needs to run on a device, as opposed to a host.
  • runtime 3705 may be called to load and launch requisite code on the device, in at least one embodiment.
  • runtime may be called to load and launch requisite code on the device, in at least one embodiment.
  • 3705 may include any technically feasible runtime system that is able to support execution of application SOI .
  • runtime 3705 is implemented as one or more runtime libraries associated with corresponding APIs, which are shown as API(s) 3704.
  • runtime libraries may include, without limitation, functions for memory management, execution control, device management, error handling, and/or synchronization, among other things, in at least one embodiment.
  • memory management functions may include, but are not limited to, functions to allocate, deallocate, and copy device memory, as well as transfer data between host memory and device memory.
  • execution control functions may include, but are not limited to, functions to launch a function (sometimes referred to as a “kernel” when a function is a global function callable from a host) on a device and set attribute values in a buffer maintained by a runtime library for a given function to be executed on a device.
  • a function sometimes referred to as a “kernel” when a function is a global function callable from a host
  • Runtime libraries and corresponding API(s) 3704 may be implemented in any technically feasible manner, in at least one embodiment.
  • one (or any number of) API may expose a low-level set of functions for fine-grained control of a device, while another (or any number of) API may expose a higher-level set of such functions.
  • a high-level runtime API may be built on top of a low- level API.
  • one or more of runtime APIs may be languagespecific APIs that are layered on top of a language-independent runtime API.
  • device kernel driver 3706 is configured to facilitate communication with an underlying device. In at least one embodiment, device kernel driver
  • device kernel driver 3706 may provide low-level functionalities upon which APIs, such as API(s) 3704, and/or other software relies.
  • device kernel driver 3706 may be configured to compile intermediate representation (“IR”) code into binary code at runtime.
  • IR intermediate representation
  • device kernel driver 3706 may compile Parallel Thread Execution (“PTX”) IR code that is not hardware specific into binary code for a specific target device at runtime (with caching of compiled binary code), which is also sometimes referred to as “finalizing”
  • device source code may be compiled into binary code offline, without requiring device kernel driver 3706 to compile IR code at runtime.
  • At least one component shown or described with respect to FIG. 37 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one element of software stack 3700 of a programming platform is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one element of software stack 3700 of a programming platform is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one element of software stack 3700 of a programming platform is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 38 illustrates a CUDA implementation of software stack 3700 of FIG. 37, in accordance with at least one embodiment.
  • a CUDA software stack 3800 on which an application 3801 may be launched, includes CUDA libraries 3803, a CUDA runtime 3805, a CUDA driver 3807, and a device kernel driver 3808.
  • CUDA software stack 3800 executes on hardware 3809, which may include a GPU that supports CUDA and is developed by NVIDIA Corporation of Santa Clara, CA.
  • application 3801, CUDA runtime 3805, and device kernel driver 3808 may perform similar functionalities as application 3701, runtime 3705, and device kernel driver 3706, respectively, which are described above in conjunction with FIG. 37.
  • CUDA driver 3807 includes a library (libcuda.so) that implements a CUDA driver API 3806. Similar to a CUDA runtime API 3804 implemented by a CUDA runtime library (cudart), CUDA driver API 3806 may, without limitation, expose functions for memory management, execution control, device management, error handling, synchronization, and/or graphics interoperability, among other things, in at least one embodiment.
  • CUD A driver API 3806 differs from CUD A runtime API 3804 in that CUD A runtime API 3804 simplifies device code management by providing implicit initialization, context (analogous to a process) management, and module (analogous to dynamically loaded libraries) management.
  • CUD A runtime API 3804 simplifies device code management by providing implicit initialization, context (analogous to a process) management, and module (analogous to dynamically loaded libraries) management.
  • CUDA driver API 3806 is a low-level API providing more fine-grained control of the device, particularly with respect to contexts and module loading, in at least one embodiment.
  • CUDA driver API 3806 may expose functions for context management that are not exposed by CUDA runtime API 3804.
  • CUDA driver API 3806 is also language-independent and supports, e.g., OpenCL in addition to CUDA runtime API 3804. Further, in at least one embodiment, development libraries, including CUDA runtime 3805, may be considered as separate from driver components, including user-mode CUDA driver 3807 and kernel -mode device driver 3808 (also sometimes referred to as a “display” driver).
  • CUDA libraries 3803 may include, but are not limited to, mathematical libraries, deep learning libraries, parallel algorithm libraries, and/or signal/image/video processing libraries, which parallel computing applications such as application 3801 may utilize.
  • CUDA libraries 3803 may include mathematical libraries such as a cuBLAS library that is an implementation of Basic Linear Algebra Subprograms (“BLAS”) for performing linear algebra operations, a cuFFT library for computing fast Fourier transforms (“FFTs”), and a cuRAND library for generating random numbers, among others.
  • CUDA libraries 3803 may include deep learning libraries such as a cuDNN library of primitives for deep neural networks and a TensorRT platform for high-performance deep learning inference, among others.
  • At least one component shown or described with respect to FIG. 38 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one element of CUDA software stack 3800 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one element of CUDA software stack 3800 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one element of CUDA software stack 3800 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 39 illustrates a ROCm implementation of software stack 3700 of FIG. 37, in accordance with at least one embodiment.
  • a ROCm software stack 3900 on which an application 3901 may be launched, includes a language runtime 3903, a system runtime 3905, a thunk 3907, and a ROCm kernel driver 3908.
  • ROCm software stack 3900 executes on hardware 3909, which may include a GPU that supports ROCm and is developed by AMD Corporation of Santa Clara, CA.
  • application 3901 may perform similar functionalities as application 3701 discussed above in conjunction with FIG. 37.
  • language runtime 3903 and system runtime 3905 may perform similar functionalities as runtime 3705 discussed above in conjunction with FIG. 37, in at least one embodiment.
  • language runtime 3903 and system runtime 3905 differ in that system runtime 3905 is a language-independent runtime that implements a ROCr system runtime API 3904 and makes use of a Heterogeneous System Architecture (“HSA”) Runtime API.
  • HSA Heterogeneous System Architecture
  • HSA runtime API is a thin, user-mode API that exposes interfaces to access and interact with an AMD GPU, including functions for memory management, execution control via architected dispatch of kernels, error handling, system and agent information, and runtime initialization and shutdown, among other things, in at least one embodiment.
  • language runtime 3903 is an implementation of a language-specific runtime API 3902 layered on top of ROCr system runtime API 3904, in at least one embodiment.
  • language runtime API may include, but is not limited to, a Heterogeneous compute Interface for Portability (“HIP”) language runtime API, a Heterogeneous Compute Compiler (“HCC”) language runtime API, or an OpenCL API, among others.
  • HIP Heterogeneous compute Interface for Portability
  • HCC Heterogeneous Compute Compiler
  • OpenCL API OpenCL API
  • HIP language in particular is an extension of C++ programming language with functionally similar versions of CUDA mechanisms, and, in at least one embodiment, a HIP language runtime API includes functions that are similar to those of CUDA runtime API 3804 discussed above in conjunction with FIG. 38, such as functions for memory management, execution control, device management, error handling, and synchronization, among other things.
  • thunk (ROCt) 3907 is an interface 3906 that can be used to interact with underlying ROCm driver 3908.
  • ROCm driver 3908 is a ROCk driver, which is a combination of an AMDGPU driver and a HSA kernel driver (amdkfd).
  • AMDGPU driver is a device kernel driver for GPUs developed by AMD that performs similar functionalities as device kernel driver 3706 discussed above in conjunction with FIG. 37.
  • HSA kernel driver is a driver permitting different types of processors to share system resources more effectively via hardware features.
  • various libraries may be included in ROCm software stack 3900 above language runtime 3903 and provide functionality similarity to CUDA libraries 3803, discussed above in conjunction with FIG. 38.
  • various libraries may include, but are not limited to, mathematical, deep learning, and/or other libraries such as a hipBLAS library that implements functions similar to those of CUDA cuBLAS, a rocFFT library for computing FFTs that is similar to CUDA cuFFT, among others.
  • At least one component shown or described with respect to FIG. 39 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one element of ROCm software stack 3900 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one element of ROCm software stack 3900 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one element of ROCm software stack 3900 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 40 illustrates an OpenCL implementation of software stack 3700 of FIG. 37, in accordance with at least one embodiment.
  • an OpenCL software stack 4000 on which an application 4001 may be launched, includes an OpenCL framework 4010, an OpenCL runtime 4006, and a driver 4007.
  • OpenCL software stack 4000 executes on hardware 3809 that is not vendor-specific. As OpenCL is supported by devices developed by different vendors, specific OpenCL drivers may be required to interoperate with hardware from such vendors, in at least one embodiment.
  • application 4001, OpenCL runtime 4006, device kernel driver 4007, and hardware 4008 may perform similar functionalities as application 3701, runtime 3705, device kernel driver 3706, and hardware 3707, respectively, that are discussed above in conjunction with FIG. 37.
  • application 4001 further includes an OpenCL kernel 4002 with code that is to be executed on a device.
  • OpenCL defines a “platform” that allows a host to control devices connected to the host.
  • an OpenCL framework provides a platform layer API and a runtime API, shown as platform API 4003 and runtime API 4005.
  • runtime API 4005 uses contexts to manage execution of kernels on devices.
  • each identified device may be associated with a respective context, which runtime API 4005 may use to manage command queues, program objects, and kernel objects, share memory objects, among other things, for that device.
  • platform API 4003 exposes functions that permit device contexts to be used to select and initialize devices, submit work to devices via command queues, and enable data transfer to and from devices, among other things.
  • OpenCL framework provides various built-in functions (not shown), including math functions, relational functions, and image processing functions, among others, in at least one embodiment.
  • a compiler 4004 is also included in OpenCL framework 4010.
  • Source code may be compiled offline prior to executing an application or online during execution of an application, in at least one embodiment.
  • OpenCL applications in at least one embodiment may be compiled online by compiler 4004, which is included to be representative of any number of compilers that may be used to compile source code and/or IR code, such as Standard Portable Intermediate Representation (“SPIR-V”) code, into binary code.
  • SPIR-V Standard Portable Intermediate Representation
  • OpenCL ap-plications may be compiled offline, prior to execution of such applications.
  • At least one component shown or described with respect to FIG. 40 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one element of OpenCL software stack 4000 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one element of OpenCL software stack 4000 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one element of OpenCL software stack 4000 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 41 illustrates software that is supported by a programming platform, in accordance with at least one embodiment.
  • a programming platform 4104 is configured to support various programming models 4103, middlewares and/or libraries 4102, and frameworks 4101 that an application 4100 may rely upon.
  • application 4100 may be an AI/ML application implemented using, for example, a deep learning framework such as MXNet, PyTorch, or TensorFlow, which may rely on libraries such as cuDNN, NVIDIA Collective Communications Library (“NCCL”), and/or NVIDA Developer Data Loading Library (“DALI”) CUDA libraries to provide accelerated computing on underlying hardware.
  • a deep learning framework such as MXNet, PyTorch, or TensorFlow
  • libraries such as cuDNN, NVIDIA Collective Communications Library (“NCCL”), and/or NVIDA Developer Data Loading Library (“DALI”) CUDA libraries to provide accelerated computing on underlying hardware.
  • NCCL NVIDIA Collective Communications Library
  • DALI NVIDA Developer Data
  • programming platform 4104 may be one of a CUDA, ROCm, or OpenCL platform described above in conjunction with FIG. 38, FIG. 39, and FIG. 40, respectively.
  • programming platform 4104 supports multiple programming models 4103, which are abstractions of an underlying computing system permitting expressions of algorithms and data structures.
  • Programming models 4103 may expose features of underlying hardware in order to improve performance, in at least one embodiment.
  • programming models 4103 may include, but are not limited to, CUD A, HIP, OpenCL, C++ Accelerated Massive Parallelism (“C++ AMP”), Open Multi-Processing (“OpenMP”), Open Accelerators (“OpenACC”), and/or Vulcan Compute.
  • libraries and/or middlewares 4102 provide implementations of abstractions of programming models 4104.
  • libraries include data and programming code that may be used by computer programs and leveraged during software development.
  • middlewares include software that provides services to applications beyond those available from programming platform 4104.
  • libraries and/or middlewares 4102 may include, but are not limited to, cuBLAS, cuFFT, cuRAND, and other CUDA libraries, or rocBLAS, rocFFT, rocRAND, and other ROCm libraries.
  • libraries and/or middlewares 4102 may include NCCL and ROCm Communication Collectives Library (“RCCL”) libraries providing communication routines for GPUs, a MIOpen library for deep learning acceleration, and/or an Eigen library for linear algebra, matrix and vector operations, geometrical transformations, numerical solvers, and related algorithms.
  • NCCL NCCL and ROCm Communication Collectives Library
  • MIOpen library MIOpen library for deep learning acceleration
  • Eigen library for linear algebra, matrix and vector operations, geometrical transformations, numerical solvers, and related algorithms.
  • application frameworks 4101 depend on libraries and/or middlewares 4102.
  • each of application frameworks 4101 is a software framework used to implement a standard structure of application software.
  • an AI/ML application may be implemented using a framework such as Caffe, Caffe2, TensorFlow, Keras, PyTorch, or MxNet deep learning frameworks, in at least one embodiment.
  • At least one component shown or described with respect to FIG. 41 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least element of application 4100 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least element of application 4100 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least element of application 4100 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 42 illustrates compiling code to execute on one of programming platforms of FIGS. 37 - 40, in accordance with at least one embodiment.
  • a compiler 4201 receives source code 4200 that includes both host code as well as device code.
  • compiler 4201 is configured to convert source code 4200 into host executable code 4202 for execution on a host and device executable code 4203 for execution on a device.
  • source code 4200 may either be compiled offline prior to execution of an application, or online during execution of an application.
  • source code 4200 may include code in any programming language supported by compiler 4201, such as C++, C, Fortran, etc.
  • source code 4200 may be included in a single-source file having a mixture of host code and device code, with locations of device code being indicated therein.
  • a single-source file may be a .cu file that includes CUDA code or a .hip.cpp file that includes HIP code.
  • source code 4200 may include multiple source code files, rather than a single-source file, into which host code and device code are separated.
  • compiler 4201 is configured to compile source code 4200 into host executable code 4202 for execution on a host and device executable code 4203 for execution on a device.
  • compiler 4201 performs operations including parsing source code 4200 into an abstract system tree (AST), performing optimizations, and generating executable code.
  • AST abstract system tree
  • compiler 4201 may separate device code from host code in such a single-source file, compile device code and host code into device executable code 4203 and host executable code 4202, respectively, and link device executable code 4203 and host executable code 4202 together in a single file, as discussed in greater detail below with respect to FIG. 43.
  • host executable code 4202 and device executable code 4203 may be in any suitable format, such as binary code and/or IR code.
  • host executable code 4202 may include native object code and device executable code 4203 may include code in PTX intermediate representation, in at least one embodiment.
  • device executable code 4203 may include target binary code, in at least one embodiment.
  • At least one component shown or described with respect to FIG. 42 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one of host executable code 4202 or device executable code 4203 specified in source code 4200 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one of host executable code 4202 or device executable code 4203 specified in source code 4200 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one of host executable code 4202 or device executable code 4203 specified in source code 4200 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 43 is a more detailed illustration of compiling code to execute on one of programming platforms of FIGS. 37 - 40, in accordance with at least one embodiment.
  • a compiler 4301 is configured to receive source code 4300, compile source code 4300, and output an executable file 4310.
  • source code 4300 is a single-source file, such as a .cu file, a .hip.cpp file, or a file in another format, that includes both host and device code.
  • compiler 4301 may be, but is not limited to, an NVIDIA CUDA compiler (“NVCC”) for compiling CUDA code in .cu files, or a HCC compiler for compiling HIP code in .hip.cpp files.
  • NVCC NVIDIA CUDA compiler
  • HCC HCA compiler
  • compiler 4301 includes a compiler front end 4302, a host compiler 4305, a device compiler 4306, and a linker 4309.
  • compiler front end 4302 is configured to separate device code 4304 from host code 4303 in source code 4300.
  • Device code 4304 is compiled by device compiler 4306 into device executable code 4308, which as described may include binary code or IR code, in at least one embodiment.
  • host code 4303 is compiled by host compiler 4305 into host executable code 4307, in at least one embodiment.
  • host compiler 4305 may be, but is not limited to, a general purpose C/C++ compiler that outputs native object code
  • device compiler 4306 may be, but is not limited to, a Low Level Virtual Machine (“LLVM”)- based compiler that forks a LLVM compiler infrastructure and outputs PTX code or binary code, in at least one embodiment.
  • LLVM Low Level Virtual Machine
  • both host compiler 4305 and device compiler 4306 may be, but are not limited to, LLVM-based compilers that output target binary code, in at least one embodiment.
  • linker 4309 links host and device executable code 4307 and 4308 together in executable file 4310, in at least one embodiment.
  • native object code for a host and PTX or binary code for a device may be linked together in an Executable and Linkable Format (“ELF”) file, which is a container format used to store object code.
  • ELF Executable and Linkable Format
  • executable file 4310 specified in source code 4300 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • executable file 4310 specified in source code 4300 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • executable file 4310 specified in source code 4300 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 44 illustrates translating source code prior to compiling source code, in accordance with at least one embodiment.
  • source code 4400 is passed through a translation tool 4401, which translates source code 4400 into translated source code 4402.
  • a compiler 4403 is used to compile translated source code 4402 into host executable code 4404 and device executable code 4405 in a process that is similar to compilation of source code 4200 by compiler 4201 into host executable code 4202 and device executable 4203, as discussed above in conjunction with FIG. 42.
  • a translation performed by translation tool 4401 is used to port source 4400 for execution in a different environment than that in which it was originally intended to run.
  • translation tool 4401 may include, but is not limited to, a HIP translator that is used to “hipify” CUDA code intended for a CUDA platform into HIP code that can be compiled and executed on a ROCm platform.
  • translation of source code 4400 may include parsing source code 4400 and converting calls to API(s) provided by one programming model (e.g., CUDA) into corresponding calls to API(s) provided by another programming model (e.g., HIP), as discussed in greater detail below in conjunction with FIGS.
  • calls to CUDA runtime API, CUDA driver API, and/or CUDA libraries may be converted to corresponding HIP API calls, in at least one embodiment.
  • automated translations performed by translation tool 4401 may sometimes be incomplete, requiring additional, manual effort to fully port source code 4400.
  • At least one component shown or described with respect to FIG. 44 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one of host executable code 4404 or device executable code 4405 specified in source code 4400 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one of host executable code 4404 or device executable code 4405 specified in source code 4400 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one of host executable code 4404 or device executable code 4405 specified in source code 4400 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 45 A illustrates a system 45 A00 configured to compile and execute CUDA source code 4510 using different types of processing units, in accordance with at least one embodiment.
  • system 45A00 includes, without limitation, CUDA source code 4510, a CUDA compiler 4550, host executable code 4570(1), host executable code 4570(2), CUDA device executable code 4584, a CPU 4590, a CUDA-enabled GPU 4594, a GPU 4592, a CUDA to HIP translation tool 4520, HIP source code 4530, a HIP compiler driver 4540, an HCC 4560, and HCC device executable code 4582.
  • CUDA source code 4510 is a collection of human- readable code in a CUDA programming language.
  • CUDA code is human-readable code in a CUDA programming language.
  • a CUDA programming language is an extension of the C++ programming language that includes, without limitation, mechanisms to define device code and distinguish between device code and host code.
  • device code is source code that, after compilation, is executable in parallel on a device.
  • a device may be a processor that is optimized for parallel instruction processing, such as CUDA-enabled GPU 4590, GPU 45192, or another GPGPU, etc.
  • host code is source code that, after compilation, is executable on a host.
  • a host is a processor that is optimized for sequential instruction processing, such as CPU 4590.
  • CUDA source code 4510 includes, without limitation, any number (including zero) of global functions 4512, any number (including zero) of device functions 4514, any number (including zero) of host functions 4516, and any number (including zero) of host/device functions 4518.
  • global functions 4512, device functions 4514, host functions 4516, and host/device functions 4518 may be mixed in CUDA source code 4510.
  • each of global functions 4512 is executable on a device and callable from a host.
  • one or more of global functions 4512 may therefore act as entry points to a device.
  • each of global functions 4512 is a kernel.
  • one or more of global functions 4512 defines a kernel that is executable on a device and callable from such a device.
  • a kernel is executed N (where N is any positive integer) times in parallel by N different threads on a device during execution.
  • each of device functions 4514 is executed on a device and callable from such a device only.
  • each of host functions 4516 is executed on a host and callable from such a host only.
  • each of host/device functions 4516 defines both a host version of a function that is executable on a host and callable from such a host only and a device version of the function that is executable on a device and callable from such a device only.
  • CUDA source code 4510 may also include, without limitation, any number of calls to any number of functions that are defined via a CUDA runtime API 4502.
  • CUDA runtime API 4502 may include, without limitation, any number of functions that execute on a host to allocate and deallocate device memory, transfer data between host memory and device memory, manage systems with multiple devices, etc.
  • CUDA source code 4510 may also include any number of calls to any number of functions that are specified in any number of other CUDA APIs.
  • a CUDA API may be any API that is designed for use by CUDA code.
  • CUDA APIs include, without limitation, CUDA runtime API 4502, a CUDA driver API, APIs for any number of CUDA libraries, etc.
  • a CUDA driver API is a lower-level API but provides finer-grained control of a device.
  • examples of CUDA libraries include, without limitation, cuBLAS, cuFFT, cuRAND, cuDNN, etc.
  • CUDA compiler 4550 compiles input CUDA code (e.g., CUDA source code 4510) to generate host executable code 4570(1) and CUDA device executable code 4584.
  • CUDA compiler 4550 is NVCC.
  • host executable code 4570(1) is a compiled version of host code included in input source code that is executable on CPU 4590.
  • CPU 4590 may be any processor that is optimized for sequential instruction processing.
  • CUDA device executable code 4584 is a compiled version of device code included in input source code that is executable on CUDA-enabled GPU 4594.
  • CUDA device executable code 4584 includes, without limitation, binary code.
  • CUDA device executable code 4584 includes, without limitation, IR code, such as PTX code, that is further compiled at runtime into binary code for a specific target device (e.g., CUDA-enabled GPU 4594) by a device driver.
  • CUDA-enabled GPU 4594 may be any processor that is optimized for parallel instruction processing and that supports CUDA.
  • CUDA-enabled GPU 4594 is developed by NVIDIA Corporation of Santa Clara, CA.
  • CUDA to HIP translation tool 4520 is configured to translate CUDA source code 4510 to functionally similar HIP source code 4530.
  • HIP source code 4530 is a collection of human-readable code in a HIP programming language.
  • HIP code is human-readable code in a HIP programming language.
  • a HIP programming language is an extension of the C++ programming language that includes, without limitation, functionally similar versions of CUDA mechanisms to define device code and distinguish between device code and host code.
  • a HIP programming language may include a subset of functionality of a CUDA programming language.
  • a HIP programming language includes, without limitation, mechanism(s) to define global functions 4512, but such a HIP programming language may lack support for dynamic parallelism and therefore global functions 4512 defined in HIP code may be callable from a host only.
  • HIP source code 4530 includes, without limitation, any number (including zero) of global functions 4512, any number (including zero) of device functions 4514, any number (including zero) of host functions 4516, and any number (including zero) of host/device functions 4518. In at least one embodiment, HIP source code 4530 may also include any number of calls to any number of functions that are specified in a HIP runtime API 4532. In at least one embodiment, HIP runtime API 4532 includes, without limitation, functionally similar versions of a subset of functions included in CUDA runtime API 4502. In at least one embodiment, HIP source code 4530 may also include any number of calls to any number of functions that are specified in any number of other HIP APIs.
  • a HIP API may be any API that is designed for use by HIP code and/or ROCm.
  • HIP APIs include, without limitation, HIP runtime API 4532, a HIP driver API, APIs for any number of HIP libraries, APIs for any number of ROCm libraries, etc.
  • CUDA to HIP translation tool 4520 converts each kernel call in CUDA code from a CUDA syntax to a HIP syntax and converts any number of other CUDA calls in CUDA code to any number of other functionally similar HIP calls.
  • a CUDA call is a call to a function specified in a CUDA API
  • a HIP call is a call to a function specified in a HIP API.
  • CUDA to HIP translation tool 4520 converts any number of calls to functions specified in CUDA runtime API 4502 to any number of calls to functions specified in HIP runtime API 4532.
  • CUDA to HIP translation tool 4520 is a tool known as hipify-perl that executes a text-based translation process.
  • CUDA to HIP translation tool 4520 is a tool known as hipify-clang that, relative to hipify-perl, executes a more complex and more robust translation process that involves parsing CUDA code using clang (a compiler front-end) and then translating resulting symbols.
  • properly converting CUDA code to HIP code may require modifications (e.g., manual edits) in addition to those performed by CUDA to HIP translation tool 4520.
  • HIP compiler driver 4540 is a front end that determines a target device 4546 and then configures a compiler that is compatible with target device 4546 to compile HIP source code 4530.
  • target device 4546 is a processor that is optimized for parallel instruction processing.
  • HIP compiler driver 4540 may determine target device 4546 in any technically feasible fashion.
  • HIP compiler driver 4540 if target device 4546 is compatible with CUDA (e.g., CUDA-enabled GPU 4594), then HIP compiler driver 4540 generates a HIP/NVCC compilation command 4542.
  • HIP/NVCC compilation command 4542 configures CUDA compiler 4550 to compile HIP source code 4530 using, without limitation, a HIP to CUDA translation header and a CUDA runtime library.
  • CUDA compiler 4550 generates host executable code 4570(1) and CUDA device executable code 4584.
  • HIP compiler driver 4540 if target device 4546 is not compatible with CUD A, then HIP compiler driver 4540 generates a HIP/HCC compilation command 4544.
  • HIP/HCC compilation command 4544 configures HCC 4560 to compile HIP source code 4530 using, without limitation, an HCC header and a HIP/HCC runtime library.
  • HCC 4560 in response to HIP/HCC compilation command 4544, HCC 4560 generates host executable code 4570(2) and HCC device executable code 4582.
  • HCC device executable code 4582 is a compiled version of device code included in HIP source code 4530 that is executable on GPU 4592.
  • GPU 4592 may be any processor that is optimized for parallel instruction processing, is not compatible with CUD A, and is compatible with HCC. In at least one embodiment, GPU 4592 is developed by AMD Corporation of Santa Clara, CA. In at least one embodiment GPU, 4592 is a non-CUDA-enabled GPU 4592.
  • FIG. 45 A For explanatory purposes only, three different flows that may be implemented in at least one embodiment to compile CUDA source code 4510 for execution on CPU 4590 and different devices are depicted in FIG. 45 A.
  • a direct CUDA flow compiles CUDA source code 4510 for execution on CPU 4590 and CUDA-enabled GPU 4594 without translating CUDA source code 4510 to HIP source code 4530.
  • an indirect CUDA flow translates CUDA source code 4510 to HIP source code 4530 and then compiles HIP source code 4530 for execution on CPU 4590 and CUDA- enabled GPU 4594.
  • a CUDA/HCC flow translates CUDA source code 4510 to HIP source code 4530 and then compiles HIP source code 4530 for execution on CPU 4590 and GPU 4592.
  • a direct CUDA flow that may be implemented in at least one embodiment is depicted via dashed lines and a series of bubbles annotated Al -A3.
  • CUDA compiler 4550 receives CUDA source code 4510 and a CUDA compile command 4548 that configures CUDA compiler 4550 to compile CUDA source code 4510.
  • CUDA source code 4510 used in a direct CUDA flow is written in a CUDA programming language that is based on a programming language other than C++ (e.g., C, Fortran, Python, Java, etc.).
  • CUDA compiler 4550 In at least one embodiment and in response to CUDA compile command 4548, CUDA compiler 4550 generates host executable code 4570(1) and CUDA device executable code 4584 (depicted with bubble annotated A2). In at least one embodiment and as depicted with bubble annotated A3, host executable code 4570(1) and CUDA device executable code 4584 may be executed on, respectively, CPU 4590 and CUDA-enabled GPU 4594. In at least one embodiment, CUDA device executable code 4584 includes, without limitation, binary code. In at least one embodiment, CUDA device executable code 4584 includes, without limitation, PTX code and is further compiled into binary code for a specific target device at runtime.
  • An indirect CUDA flow that may be implemented in at least one embodiment is depicted via dotted lines and a series of bubbles annotated B1-B6.
  • CUDA to HIP translation tool 4520 receives CUDA source code 4510.
  • CUDA to HIP translation tool 4520 translates CUDA source code 4510 to HIP source code 4530.
  • HIP compiler driver 4540 receives HIP source code 4530 and determines that target device 4546 is CUDA-enabled.
  • HIP compiler driver 4540 generates HIP/NVCC compilation command 4542 and transmits both HIP/NVCC compilation command 4542 and HIP source code 4530 to CUDA compiler 4550.
  • HIP/NVCC compilation command 4542 configures CUDA compiler 4550 to compile HIP source code 4530 using, without limitation, a HIP to CUDA translation header and a CUDA runtime library.
  • CUDA compiler 4550 generates host executable code 4570(1) and CUDA device executable code 4584 (depicted with bubble annotated B5).
  • host executable code 4570(1) and CUDA device executable code 4584 may be executed on, respectively, CPU 4590 and CUDA-enabled GPU 4594.
  • CUDA device executable code 4584 includes, without limitation, binary code.
  • CUDA device executable code 4584 includes, without limitation, PTX code and is further compiled into binary code for a specific target device at runtime.
  • a CUDA/HCC flow that may be implemented in at least one embodiment is depicted via solid lines and a series of bubbles annotated C1-C6.
  • CUDA to HIP translation tool 4520 receives CUD A source code 4510.
  • CUD A to HIP translation tool 4520 translates CUD A source code 4510 to HIP source code 4530.
  • HIP compiler driver 4540 receives HIP source code 4530 and determines that target device 4546 is not CUDA-enabled.
  • HIP compiler driver 4540 generates HIP/HCC compilation command 4544 and transmits both HIP/HCC compilation command 4544 and HIP source code 4530 to HCC 4560 (depicted with bubble annotated C4).
  • HIP/HCC compilation command 4544 configures HCC 4560 to compile HIP source code 4530 using, without limitation, an HCC header and a HIP/HCC runtime library.
  • HCC 4560 generates host executable code 4570(2) and HCC device executable code 4582 (depicted with bubble annotated C5).
  • host executable code 4570(2) and HCC device executable code 4582 may be executed on, respectively, CPU 4590 and GPU 4592.
  • HIP compiler driver 4540 may subsequently be used to generate executable code for either CUDA-enabled GPU 4594 or GPU 4592 without re-executing CUDA to HIP translation tool 4520.
  • CUDA to HIP translation tool 4520 translates CUDA source code 4510 to HIP source code 4530 that is then stored in memory.
  • HIP compiler driver 4540 then configures HCC 4560 to generate host executable code 4570(2) and HCC device executable code 4582 based on HIP source code 4530.
  • HIP compiler driver 4540 subsequently configures CUDA compiler 4550 to generate host executable code 4570(1) and CUDA device executable code 4584 based on stored HIP source code 4530.
  • At least one component shown or described with respect to FIG. 45A is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one element of system 4500 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one element of system 4500 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one element of system 4500 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 45B illustrates a system 4504 configured to compile and execute CUDA source code 4510 of FIG. 45 A using CPU 4590 and CUDA-enabled GPU 4594, in accordance with at least one embodiment.
  • system 4504 includes, without limitation, CUDA source code 4510, CUDA to HIP translation tool 4520, HIP source code 4530, HIP compiler driver 4540, CUDA compiler 4550, host executable code 4570(1), CUDA device executable code 4584, CPU 4590, and CUDA-enabled GPU 4594.
  • CUDA source code 4510 includes, without limitation, any number (including zero) of global functions 4512, any number (including zero) of device functions 4514, any number (including zero) of host functions 4516, and any number (including zero) of host/device functions 4518.
  • CUDA source code 4510 also includes, without limitation, any number of calls to any number of functions that are specified in any number of CUDA APIs.
  • CUDA to HIP translation tool 4520 translates CUDA source code 4510 to HIP source code 4530.
  • CUDA to HIP translation tool 4520 converts each kernel call in CUDA source code 4510 from a CUDA syntax to a HIP syntax and converts any number of other CUDA calls in CUDA source code 4510 to any number of other functionally similar HIP calls.
  • HIP compiler driver 4540 determines that target device 4546 is CUDA-enabled and generates HIP/NVCC compilation command 4542. In at least one embodiment, HIP compiler driver 4540 then configures CUDA compiler 4550 via HIP/NVCC compilation command 4542 to compile HIP source code 4530. In at least one embodiment, HIP compiler driver 4540 provides access to a HIP to CUDA translation header
  • HIP to CUDA translation header 4552 translates any number of mechanisms (e.g., functions) specified in any number of HIP APIs to any number of mechanisms specified in any number of CUD A APIs.
  • CUDA compiler 4550 uses HIP to CUDA translation header 4552 in conjunction with a CUDA runtime library 4554 corresponding to CUDA runtime API 4502 to generate host executable code 4570(1) and CUDA device executable code 4584.
  • host executable code 4570(1) and CUDA device executable code 4584 may then be executed on, respectively, CPU 4590 and CUDA-enabled GPU 4594.
  • CUDA device executable code 4584 includes, without limitation, binary code. In at least one embodiment, CUDA device executable code 4584 includes, without limitation, PTX code and is further compiled into binary code for a specific target device at runtime.
  • At least one component shown or described with respect to FIG. 45B is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one element of system 4504 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one element of system 4504 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one element of system 4504 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 45C illustrates a system 4506 configured to compile and execute CUDA source code 4510 of FIG. 45A using CPU 4590 and non-CUDA-enabled GPU 4592, in accordance with at least one embodiment.
  • system 4506 includes, without limitation, CUDA source code 4510, CUDA to HIP translation tool 4520, HIP source code 4530, HIP compiler driver 4540, HCC 4560, host executable code 4570(2), HCC device executable code 4582, CPU 4590, and GPU 4592.
  • CUD A source code 4510 includes, without limitation, any number (including zero) of global functions 4512, any number (including zero) of device functions 4514, any number (including zero) of host functions 4516, and any number (including zero) of host/device functions 4518.
  • CUDA source code 4510 also includes, without limitation, any number of calls to any number of functions that are specified in any number of CUDA APIs.
  • CUDA to HIP translation tool 4520 translates CUDA source code 4510 to HIP source code 4530.
  • CUDA to HIP translation tool 4520 converts each kernel call in CUDA source code 4510 from a CUDA syntax to a HIP syntax and converts any number of other CUDA calls in source code 4510 to any number of other functionally similar HIP calls.
  • HIP compiler driver 4540 subsequently determines that target device 4546 is not CUDA-enabled and generates HIP/HCC compilation command 4544. In at least one embodiment, HIP compiler driver 4540 then configures HCC 4560 to execute HIP/HCC compilation command 4544 to compile HIP source code 4530. In at least one embodiment, HIP/HCC compilation command 4544 configures HCC 4560 to use, without limitation, a HIP/HCC runtime library 4558 and an HCC header 4556 to generate host executable code 4570(2) and HCC device executable code 4582. In at least one embodiment, HIP/HCC runtime library 4558 corresponds to HIP runtime API 4532.
  • HCC header 4556 includes, without limitation, any number and type of interoperability mechanisms for HIP and HCC.
  • host executable code 4570(2) and HCC device executable code 4582 may be executed on, respectively, CPU 4590 and GPU 4592.
  • At least one component shown or described with respect to FIG. 45C is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one element of system 4506 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one element of system 4506 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one element of system 4506 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 46 illustrates an exemplary kernel translated by CUDA-to-HIP translation tool 4520 of FIG. 45C, in accordance with at least one embodiment.
  • CUDA source code 4510 partitions an overall problem that a given kernel is designed to solve into relatively coarse sub-problems that can independently be solved using thread blocks.
  • each thread block includes, without limitation, any number of threads.
  • each sub-problem is partitioned into relatively fine pieces that can be solved cooperatively in parallel by threads within a thread block.
  • threads within a thread block can cooperate by sharing data through shared memory and by synchronizing execution to coordinate memory accesses.
  • CUDA source code 4510 organizes thread blocks associated with a given kernel into a one-dimensional, a two-dimensional, or a three- dimensional grid of thread blocks.
  • each thread block includes, without limitation, any number of threads
  • a grid includes, without limitation, any number of thread blocks.
  • a kernel is a function in device code that is defined using a ” global ” declaration specifier.
  • the dimension of a grid that executes a kernel for a given kernel call and associated streams are specified using a CUDA kernel launch syntax 4610.
  • CUDA kernel launch syntax 4610 is specified as “KernelName « ⁇ GridSize, BlockSize, SharedMemorySize, Stream»>(Kernel Arguments);”.
  • an execution configuration syntax is a “ ⁇ «...»>” construct that is inserted between a kernel name (“KernelName”) and a parenthesized list of kernel arguments (“KemelArguments”).
  • CUDA kernel launch syntax 4610 includes, without limitation, a CUDA launch function syntax instead of an execution configuration syntax.
  • “GridSize” is of a type dim3 and specifies the dimension and size of a grid.
  • type dim3 is a CUDA-defmed structure that includes, without limitation, unsigned integers x, y, and z.
  • z is not specified, then z defaults to one.
  • y is not specified, then y defaults to one.
  • the number of thread blocks in a grid is equal to the product of GridSize. x, GridSize.
  • BlockSize is of type dim3 and specifies the dimension and size of each thread block.
  • the number of threads per thread block is equal to the product of BlockSize.x, BlockSize. y, and BlockSize. z.
  • each thread that executes a kernel is given a unique thread ID that is accessible within the kernel through a built-in variable (e.g., ’’threadldx”).
  • SharedMemorySize is an optional argument that specifies a number of bytes in a shared memory that is dynamically allocated per thread block for a given kernel call in addition to statically allocated memory.
  • SharedMemorySize defaults to zero.
  • Stream is an optional argument that specifies an associated stream and defaults to zero to specify a default stream.
  • a stream is a sequence of commands (possibly issued by different host threads) that execute in order.
  • different streams may execute commands out of order with respect to one another or concurrently.
  • CUDA source code 4510 includes, without limitation, a kernel definition for an exemplary kernel “MatAdd” and a main function.
  • main function is host code that executes on a host and includes, without limitation, a kernel call that causes kernel MatAdd to execute on a device.
  • kernel MatAdd adds two matrices A and B of size NxN, where N is a positive integer, and stores the result in a matrix C.
  • main function defines a threadsPerBlock variable as 16 by 16 and a numBlocks variable as N/16 by N/16.
  • main function then specifies kernel call
  • kernel MatAdd is executed using a grid of thread blocks having a dimension N/16 by N/16, where each thread block has a dimension of 16 by 16.
  • each thread block includes 256 threads, a grid is created with enough blocks to have one thread per matrix element, and each thread in such a grid executes kernel MatAdd to perform one pair-wise addition.
  • CUDA to HIP translation tool 4520 while translating CUD A source code 4510 to HIP source code 4530, CUDA to HIP translation tool 4520 translates each kernel call in CUDA source code 4510 from CUDA kernel launch syntax 4610 to a HIP kernel launch syntax 4620 and converts any number of other CUDA calls in source code 4510 to any number of other functionally similar HIP calls.
  • HIP kernel launch syntax 4620 is specified as “hipLaunchKernelGGL(KernelName, GridSize, BlockSize, SharedMemorySize, Stream, Kernel Arguments);”.
  • each of KernelName, GridSize, BlockSize, ShareMemorySize, Stream, and KemelArguments has the same meaning in HIP kernel launch syntax 4620 as in CUDA kernel launch syntax 4610 (described previously herein).
  • arguments SharedMemorySize and Stream are required in HIP kernel launch syntax 4620 and are optional in CUDA kernel launch syntax 4610.
  • a portion of HIP source code 4530 depicted in FIG. 46 is identical to a portion of CUDA source code 4510 depicted in FIG. 46 except for a kernel call that causes kernel MatAdd to execute on a device.
  • kernel MatAdd is defined in HIP source code 4530 with the same ” global ” declaration specifier with which kernel MatAdd is defined in CUDA source code 4510.
  • a kernel call in HIP source code 4530 is “hipLaunchKernelGGL(MatAdd, numBlocks, threadsPerBlock, 0, 0, A, B, C);”, while a corresponding kernel call in CUDA source code 4510 is “MatAdd « ⁇ numBlocks, threadsPerBlock»>(A, B, C);”.
  • At least one component shown or described with respect to FIG. 46 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one of CUDA Source Code 4610, CUDA to HIP Translation Tool 4620, or HIP Source Code 4630 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one of CUDA Source Code 4610, CUDA to HIP Translation Tool 4620, or HIP Source Code 4630 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one of CUDA Source Code 4610, CUDA to HIP Translation Tool 4620, or HIP Source Code 4630 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 47 illustrates non-CUDA-enabled GPU 4592 of FIG. 45C in greater detail, in accordance with at least one embodiment.
  • GPU 4592 is developed by AMD corporation of Santa Clara.
  • GPU 4592 can be configured to perform compute operations in a highly-parallel fashion.
  • GPU 4592 is configured to execute graphics pipeline operations such as draw commands, pixel operations, geometric computations, and other operations associated with rendering an image to a display.
  • GPU 4592 is configured to execute operations unrelated to graphics.
  • GPU 4592 is configured to execute both operations related to graphics and operations unrelated to graphics.
  • GPU 4592 can be configured to execute device code included in HIP source code 4530.
  • GPU 4592 includes, without limitation, any number of programmable processing units 4720, a command processor 4710, an L2 cache 4722, memory controllers 4770, DMA engines 4780(1), system memory controllers 4782, DMA engines 4780(2), and GPU controllers 4784.
  • each programmable processing unit 4720 includes, without limitation, a workload manager 4730 and any number of compute units 4740.
  • command processor 4710 reads commands from one or more command queues (not shown) and distributes commands to workload managers 4730.
  • associated workload manager 4730 distributes work to compute units 4740 included in programmable processing unit 4720.
  • each compute unit 4740 may execute any number of thread blocks, but each thread block executes on a single compute unit 4740.
  • a workgroup is a thread block.
  • each compute unit 4740 includes, without limitation, any number of SIMD units 4750 and a shared memory 4760.
  • each SIMD unit 4750 implements a SIMD architecture and is configured to perform operations in parallel.
  • each SIMD unit 4750 includes, without limitation, a vector ALU 4752 and a vector register file 4754.
  • each SIMD unit 4750 executes a different warp.
  • a warp is a group of threads (e.g., 16 threads), where each thread in the warp belongs to a single thread block and is configured to process a different set of data based on a single set of instructions.
  • predication can be used to disable one or more threads in a warp.
  • a lane is a thread.
  • a work item is a thread.
  • a wavefront is a warp.
  • different wavefronts in a thread block may synchronize together and communicate via shared memory 4760.
  • programmable processing units 4720 are referred to as “shader engines.” In at least one embodiment, each programmable processing unit 4720 includes, without limitation, any amount of dedicated graphics hardware in addition to compute units 4740. In at least one embodiment, each programmable processing unit 4720 includes, without limitation, any number (including zero) of geometry processors, any number (including zero) of rasterizers, any number (including zero) of render back ends, workload manager 4730, and any number of compute units 4740.
  • compute units 4740 share L2 cache 4722.
  • L2 cache 4722 is partitioned.
  • a GPU memory 4790 is accessible by all compute units 4740 in GPU 4592.
  • memory controllers 4770 and system memory controllers 4782 facilitate data transfers between GPU 4592 and a host, and DMA engines 4780(1) enable asynchronous memory transfers between GPU 4592 and such a host.
  • memory controllers 4770 and GPU controllers 4784 facilitate data transfers between GPU 4592 and other GPUs 4592, and DMA engines 4780(2) enable asynchronous memory transfers between GPU 4592 and other GPUs 4592.
  • GPU 4592 includes, without limitation, any amount and type of system interconnect that facilitates data and control transmissions across any number and type of directly or indirectly linked components that may be internal or external to GPU 4592.
  • GPU 4592 includes, without limitation, any number and type of I/O interfaces (e.g., PCIe) that are coupled to any number and type of peripheral devices.
  • GPU 4592 may include, without limitation, any number (including zero) of display engines and any number (including zero) of multimedia engines.
  • GPU 4592 implements a memory subsystem that includes, without limitation, any amount and type of memory controllers (e.g., memory controllers 4770 and system memory controllers 4782) and memory devices (e.g., shared memories 4760) that may be dedicated to one component or shared among multiple components.
  • GPU 4592 implements a cache subsystem that includes, without limitation, one or more cache memories (e.g., L2 cache 4722) that may each be private to or shared between any number of components (e.g., SIMD units 4750, compute units 4740, and programmable processing units 4720).
  • At least one component shown or described with respect to FIG. 47 is used to implement techniques and/or functions described in connection with FIGS. 1-17. In at least one embodiment, at least one component shown or described with respect to FIG. 47 is used to perform an API to control which of one or more portions of graph code are to be performed. In at least one embodiment, at least one component shown or described with respect to FIG. 47 is used to perform an API to indicate which of one or more portions of graph code are to be performed. In at least one embodiment, at least one component shown or described with respect to FIG.
  • example execution graph template 200 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 48 illustrates how threads of an exemplary CUDA grid 4820 are mapped to different compute units 4740 of FIG. 47, in accordance with at least one embodiment.
  • grid 4820 has a GridSize of BX by BY by 1 and a BlockSize of TX by TY by 1.
  • grid 4820 therefore includes, without limitation, (BX * BY) thread blocks 4830 and each thread block 4830 includes, without limitation, (TX * TY) threads 4840.
  • Threads 4840 are depicted in FIG. 48 as squiggly arrows.
  • grid 4820 is mapped to programmable processing unit 4720(1) that includes, without limitation, compute units 4740(l)-4740(C).
  • (BJ * BY) thread blocks 4830 are mapped to compute unit 4740(1), and the remaining thread blocks 4830 are mapped to compute unit 4740(2).
  • each thread block 4830 may include, without limitation, any number of warps, and each warp is mapped to a different SIMD unit 4750 of FIG. 47.
  • warps in a given thread block 4830 may synchronize together and communicate through shared memory 4760 included in associated compute unit 4740.
  • warps in thread block 4830(BJ,l) can synchronize together and communicate through shared memory 4760(1).
  • warps in thread block 4830(BJ+l,l) can synchronize together and communicate through shared memory 4760(2).
  • At least one component shown or described with respect to FIG. 48 is used to implement techniques and/or functions described in connection with FIGS. 1-17.
  • at least one thread of exemplary CUDA grid 4820 is used to perform an API to control which of one or more portions of graph code are to be performed.
  • at least one thread of exemplary CUDA grid 4820 is used to perform an API to indicate which of one or more portions of graph code are to be performed.
  • At least one thread of exemplary CUDA grid 4820 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • FIG. 49 illustrates how to migrate existing CUDA code to Data Parallel C++ code, in accordance with at least one embodiment.
  • Data Parallel C++ may refer to an open, standards-based alternative to single-architecture proprietary languages that allows developers to reuse code across hardware targets (CPUs and accelerators such as GPUs and FPGAs) and also perform custom tuning for a specific accelerator.
  • DPC++ use similar and/or identical C and C++ constructs in accordance with ISO C++ which developers may be familiar with.
  • DPC++ incorporates standard SYCL from The Khronos Group to support data parallelism and heterogeneous programming.
  • SYCL refers to a cross-platform abstraction layer that builds on underlying concepts, portability and efficiency of OpenCL that enables code for heterogeneous processors to be written in a “single-source” style using standard C++.
  • SYCL may enable single source development where C++ template functions can contain both host and device code to construct complex algorithms that use OpenCL acceleration, and then re-use them throughout their source code on different types of data.
  • a DPC++ compiler is used to compile DPC++ source code which can be deployed across diverse hardware targets.
  • a DPC++ compiler is used to generate DPC++ applications that can be deployed across diverse hardware targets and a DPC++ compatibility tool can be used to migrate CUDA applications to a multiplatform program in DPC++.
  • a DPC++ base tool kit includes a DPC++ compiler to deploy applications across diverse hardware targets; a DPC++ library to increase productivity and performance across CPUs, GPUs, and FPGAs; a DPC++ compatibility tool to migrate CUDA applications to multi-platform applications; and any suitable combination thereof.
  • a DPC++ programming model is utilized to simply one or more aspects relating to programming CPUs and accelerators by using modern C++ features to express parallelism with a programming language called Data Parallel C++.
  • DPC++ programming language may be utilized to code reuse for hosts (e.g., a CPU) and accelerators (e.g., a GPU or FPGA) using a single source language, with execution and memory dependencies being clearly communicated. Mappings within DPC++ code can be used to transition an application to run on a hardware or set of hardware devices that best accelerates a workload.
  • a host may be available to simplify development and debugging of device code, even on platforms that do not have an accelerator available.
  • CUDA source code 4900 is provided as an input to a DPC++ compatibility tool 4902 to generate human readable DPC++ 4904.
  • human readable DPC++ 4904 includes inline comments generated by DPC++ compatibility tool 4902 that guides a developer on how and/or where to modify DPC++ code to complete coding and tuning to desired performance 4906, thereby generating DPC++ source code 4908.
  • CUDA source code 4900 is or includes a collection of human-readable source code in a CUDA programming language.
  • CUDA source code 4900 is human-readable source code in a CUDA programming language.
  • a CUDA programming language is an extension of the C++ programming language that includes, without limitation, mechanisms to define device code and distinguish between device code and host code.
  • device code is source code that, after compilation, is executable on a device (e.g., GPU or FPGA) and may include or more parallelizable workflows that can be executed on one or more processor cores of a device.
  • a device may be a processor that is optimized for parallel instruction processing, such as CUDA-enabled GPU, GPU, or another GPGPU, etc.
  • host code is source code that, after compilation, is executable on a host.
  • host code and device code can be executed in parallel across a CPU and GPU/FPGA.
  • a host is a processor that is optimized for sequential instruction processing, such as CPU.
  • CUDA source code 4900 described in connection with FIG. 49 may be in accordance with those discussed elsewhere in this document.
  • DPC++ compatibility tool 4902 refers to an executable tool, program, application, or any other suitable type of tool that is used to facilitate migration of CUDA source code 4900 to DPC++ source code 4908.
  • DPC++ compatibility tool 4902 is a command-line-based code migration tool available as part of a DPC++ tool kit that is used to port existing CUDA sources to DPC++.
  • DPC++ compatibility tool 4902 converts some or all source code of a CUDA application from CUDA to DPC++ and generates a resulting file that is written at least partially in DPC++, referred to as human readable DPC++ 4904.
  • human readable DPC++ 4904 includes comments that are generated by DPC++ compatibility tool 4902 to indicate where user intervention may be necessary.
  • user intervention is necessary when CUDA source code 4900 calls a CUDA API that has no analogous DPC++ API; other examples where user intervention is required are discussed later in greater detail.
  • a workflow for migrating CUDA source code 4900 includes creating one or more compilation database files; migrating CUDA to DPC++ using a DPC++ compatibility tool4902 ; completing migration and verifying correctness, thereby generating DPC++ source code 4908; and compiling DPC++ source code 4908 with a DPC++ compiler to generate a DPC++ application.
  • a compatibility tool provides a utility that intercepts commands used when Makefile executes and stores them in a compilation database file.
  • a file is stored in JSON format.
  • an intercept-built command converts Makefile command to a DPC compatibility command.
  • intercept-build is a utility script that intercepts a build process to capture compilation options, macro defs, and include paths, and writes this data to a compilation database file.
  • a compilation database file is a JSON file.
  • DPC++ compatibility tool 4902 parses a compilation database and applies options when migrating input sources.
  • use of intercept-build is optional, but highly recommended for Make or CMake based environments.
  • a migration database includes commands, directories, and files: command may include necessary compilation flags; directory may include paths to header files; file may include paths to CUDA files.
  • DPC++ compatibility tool 4902 migrates CUDA code (e.g., applications) written in CUDA to DPC++ by generating DPC++ wherever possible.
  • DPC++ compatibility tool 4902 is available as part of a tool kit.
  • a DPC++ tool kit includes an intercept-build tool.
  • an intercept-built tool creates a compilation database that captures compilation commands to migrate CUDA files.
  • a compilation database generated by an intercept-built tool is used by DPC++ compatibility tool 4902 to migrate CUDA code to DPC++.
  • non-CUDA C++ code and files are migrated as is.
  • DPC++ compatibility tool 4902 generates human readable DPC++ 4904 which may be DPC++ code that, as generated by DPC++ compatibility tool 4902, cannot be compiled by DPC++ compiler and requires additional plumbing for verifying portions of code that were not migrated correctly, and may involve manual intervention, such as by a developer.
  • DPC++ compatibility tool 4902 provides hints or tools embedded in code to help developers manually migrate additional code that could not be migrated automatically.
  • migration is a one-time activity for a source file, project, or application.
  • DPC++ compatibility tool 49002 is able to successfully migrate all portions of CUDA code to DPC++ and there may simply be an optional step for manually verifying and tuning performance of DPC++ source code that was generated.
  • DPC++ compatibility tool 4902 directly generates DPC++ source code 4908 which is compiled by a DPC++ compiler without requiring or utilizing human intervention to modify DPC++ code generated by DPC++ compatibility tool 4902.
  • DPC++ compatibility tool generates compile-able DPC++ code which can be optionally tuned by a developer for performance, readability, maintainability, other various considerations; or any combination thereof.
  • one or more CUDA source files are migrated to DPC++ source files at least partially using DPC++ compatibility tool 4902.
  • CUDA source code includes one or more header files which may include CUDA header files.
  • a CUDA source file includes a ⁇ cuda.h> header file and a ⁇ stdio.h> header file which can be used to print text.
  • a portion of a vector addition kernel CUDA source file may be written as or related to:
  • DPC++ compatibility tool 4902 parses a CUDA source code and replaces header files with appropriate DPC++ and SYCL header files.
  • DPC++ header files includes helper declarations.
  • CUDA there is a concept of a thread ID and correspondingly, in DPC++ or SYCL, for each element there is a local identifier.
  • DPC++ compatibility tool 4902 converts CUDA thread IDs used to index work elements to SYCL standard addressing for work elements via a local ID as part of migrating CUDA code to DPC++ code.
  • DPC++ code generated by DPC++ compatibility tool 4902 can be optimized - for example, by reducing dimensionality of an nd item, thereby increasing memory and/or processor utilization.
  • memory allocation is migrated.
  • cudaMalloc() is migrated to a unified shared memory SYCL call malloc_device() to which a device and context is passed, relying on SYCL concepts such as platform, device, context, and queue.
  • a SYCL platform can have multiple devices (e.g., host and GPU devices); a device may have multiple queues to which jobs can be submitted; each device may have a context; and a context may have multiple devices and manage shared memory objects.
  • a main() function invokes or calls Vector AddKemel() to add two vectors A and B together and store result in vector C.
  • CUDA code to invoke Vector AddKemel() is replaced by DPC++ code to submit a kernel to a command queue for execution.
  • a command group handler cgh passes data, synchronization, and computation that is submitted to the queue, parallel for is called for a number of global elements and a number of work items in that work group where Vector AddKernel() is called.
  • CUDA calls to copy device memory and then free memory for vectors A, B, and C are migrated to corresponding DPC++ calls.
  • C++ code e.g., standard ISO C++ code for printing a vector of floating point variables
  • DPC++ compatibility tool 4902 modify CUD A APIs for memory setup and/or host calls to execute kernel on the acceleration device.
  • a corresponding human readable DPC++ 4904 (e.g., which can be compiled) is written as or related to: include ⁇ CL/sycl.hpp>
  • A[item_ctl.get_local_id(2)] item_ctl.get_local_id(2) + l.Of;
  • d_A (float *)sycl::malloc_device(VECTOR_SIZE * sizeof(float), dpct: :get_current_device(), dpct: :get_default_context());
  • d_B (float *)sycl::malloc_device(VECTOR_SIZE * sizeof(float), dpct: :get_current_device(), dpct: :get_default_context());
  • d_C (float *)sycl::malloc_device(VECTOR_SIZE * sizeof(float), dpct: :get_current_device(), dpct: :get_default_context()); dpct::get_default_queue_wait().submit([&](sycl::handler &cgh
  • human readable DPC++ 4904 refers to output generated by DPC++ compatibility tool 4902 and may be optimized in one manner or another.
  • human readable DPC++ 4904 generated by DPC++ compatibility tool 4902 can be manually edited by a developer after migration to make it more maintainable, performance, or other considerations.
  • DPC++ code generated by DPC++ compatibility tool 49002 such as DPC++ disclosed can be optimized by removing repeat calls to get_current_device() and/or get_default_context() for each malloc_device() call.
  • DPC++ code generated above uses a 3 dimensional nd range which can be refactored to use only a single dimension, thereby reducing memory usage.
  • a developer can manually edit DPC++ code generated by DPC++ compatibility tool 4902 replace uses of unified shared memory with accessors.
  • DPC++ compatibility tool 4902 has an option to change how it migrates CUDA code to DPC++ code.
  • DPC++ compatibility tool 4902 is verbose because it is using a general template to migrate CUDA code to DPC++ code that works for a large number of cases.
  • a CUDA to DPC++ migration workflow includes steps to: prepare for migration using intercept-build script; perform migration of CUDA projects to DPC++ using DPC++ compatibility tool 4902; review and edit migrated source files manually for completion and correctness; and compile final DPC++ code to generate a DPC++ application.
  • manual review of DPC++ source code may be required in one or more scenarios including but not limited to: migrated API does not return error code (CUDA code can return an error code which can then be consumed by the application but SYCL uses exceptions to report errors, and therefore does not use error codes to surface errors); CUDA compute capability dependent logic is not supported by DPC++; statement could not be removed.
  • scenarios in which DPC++ code requires manual intervention may include, without limitation: error code logic replaced with (*,0) code or commented out; equivalent DPC++ API not available; CUDA compute capability-dependent logic; hardware-dependent API (clock()); missing features unsupported API; execution time measurement logic; handling built-in vector type conflicts; migration of cuBLAS API; and more.
  • one or more techniques described herein utilize a oneAPI programming model.
  • a oneAPI programming model refers to a programming model for interacting with various compute accelerator architectures.
  • oneAPI refers to an application programming interface (API) designed to interact with various compute accelerator architectures.
  • a oneAPI programming model utilizes a DPC++ programming language.
  • a DPC++ programming language refers to a high-level language for data parallel programming productivity.
  • a DPC++ programming language is based at least in part on C and/or C++ programming languages.
  • a oneAPI programming model is a programming model such as those developed by Intel Corporation of Santa Clara, CA.
  • oneAPI and/or oneAPI programming model is utilized to interact with various accelerator, GPU, processor, and/or variations thereof, architectures.
  • oneAPI includes a set of libraries that implement various functionalities.
  • oneAPI includes at least a oneAPI DPC++ library, a oneAPI math kernel library, a oneAPI data analytics library, a oneAPI deep neural network library, a oneAPI collective communications library, a oneAPI threading building blocks library, a oneAPI video processing library, and/or variations thereof.
  • a oneAPI DPC++ library also referred to as oneDPL
  • oneDPL is a library that implements algorithms and functions to accelerate DPC++ kernel programming.
  • oneDPL implements one or more standard template library (STL) functions.
  • oneDPL implements one or more parallel STL functions.
  • oneDPL provides a set of library classes and functions such as parallel algorithms, iterators, function object classes, rangebased API, and/or variations thereof.
  • oneDPL implements one or more classes and/or functions of a C++ standard library.
  • oneDPL implements one or more random number generator functions.
  • a oneAPI math kernel library also referred to as oneMKL
  • oneMKL is a library that implements various optimized and parallelized routines for various mathematical functions and/or operations.
  • oneMKL implements one or more basic linear algebra subprograms (BLAS) and/or linear algebra package (LAP ACK) dense linear algebra routines.
  • BLAS basic linear algebra subprograms
  • LAP ACK linear algebra package
  • oneMKL implements one or more sparse BLAS linear algebra routines.
  • oneMKL implements one or more random number generators (RNGs).
  • RNGs random number generators
  • oneMKL implements one or more vector mathematics (VM) routines for mathematical operations on vectors.
  • oneMKL implements one or more Fast Fourier Transform (FFT) functions.
  • FFT Fast Fourier Transform
  • a oneAPI data analytics library also referred to as oneDAL, is a library that implements various data analysis applications and distributed computations.
  • oneDAL implements various algorithms for preprocessing, transformation, analysis, modeling, validation, and decision making for data analytics, in batch, online, and distributed processing modes of computation.
  • oneDAL implements various C++ and/or Java APIs and various connectors to one or more data sources.
  • oneDAL implements DPC++ API extensions to a traditional C++ interface and enables GPU usage for various algorithms.
  • a oneAPI deep neural network library also referred to as oneDNN, is a library that implements various deep learning functions.
  • oneDNN implements various neural network, machine learning, and deep learning functions, algorithms, and/or variations thereof.
  • a oneAPI collective communications library also referred to as oneCCL
  • oneCCL is a library that implements various applications for deep learning and machine learning workloads.
  • oneCCL is built upon lower-level communication middleware, such as message passing interface (MPI) and libfabrics.
  • MPI message passing interface
  • oneCCL enables a set of deep learning specific optimizations, such as prioritization, persistent operations, out of order executions, and/or variations thereof.
  • oneCCL implements various CPU and GPU functions.
  • a oneAPI threading building blocks library also referred to as oneTBB
  • oneTBB is a library that implements various parallelized processes for various applications.
  • oneTBB is utilized for task-based, shared parallel programming on a host.
  • oneTBB implements generic parallel algorithms.
  • oneTBB implements concurrent containers.
  • oneTBB implements a scalable memory allocator.
  • oneTBB implements a work-stealing task scheduler.
  • oneTBB implements low-level synchronization primitives.
  • oneTBB is compiler-independent and usable on various processors, such as GPUs, PPUs, CPUs, and/or variations thereof.
  • a oneAPI video processing library also referred to as oneVPL
  • oneVPL is a library that is utilized for accelerating video processing in one or more applications.
  • oneVPL implements various video decoding, encoding, and processing functions.
  • oneVPL implements various functions for media pipelines on CPUs, GPUs, and other accelerators.
  • oneVPL implements device discovery and selection in media centric and video analytics workloads.
  • oneVPL implements API primitives for zero-copy buffer sharing.
  • a oneAPI programming model utilizes a DPC++ programming language.
  • a DPC++ programming language is a programming language that includes, without limitation, functionally similar versions of CUDA mechanisms to define device code and distinguish between device code and host code.
  • a DPC++ programming language may include a subset of functionality of a CUDA programming language.
  • one or more CUDA programming model operations are performed using a oneAPI programming model using a DPC++ programming language.
  • At least one component shown or described with respect to FIG. 49 is used to implement techniques and/or functions described in connection with FIGS. 1-17. In at least one embodiment, at least one component shown or described with respect to FIG. 49 is used to perform an API to control which of one or more portions of graph code are to be performed. In at least one embodiment, at least one component shown or described with respect to FIG. 49 is used to perform an API to indicate which of one or more portions of graph code are to be performed. In at least one embodiment, at least one component shown or described with respect to FIG.
  • example 49 is used to perform at least one aspect described with respect to example computer system 100, example execution graph template 200, example stream diagram 300, example launch sequences 400, example repeated launch sequence 500, example process 600, example execution graph template 700, example execution graph 800, example execution graph 900, example execution graph 1000, example execution graph 1100, example execution graph 1200, example process 1300, example process 1400, example environment 1500, example process 1600, and/or example software stack 1700.
  • example embodiments described herein may relate to a CUDA programming model
  • techniques described herein can be utilized with any suitable programming model, such HIP, oneAPI, and/or variations thereof.
  • a processor comprising: one or more circuits to perform an application programming interface (“API”) to indicate which of one or more portions of graph code are to be performed.
  • API application programming interface
  • a computer-implemented method comprising: performing an application programming interface (“API”) to indicate which of one or more portions of graph code are to be performed.
  • API application programming interface
  • a computer system comprising: one or more processors and memory storing executable instructions that, as a result of being executed by the one or more processors, cause the one or more processors to perform an application programming interface (“API”) to indicate which of one or more portions of graph code are to be performed.
  • API application programming interface
  • a machine-readable medium having stored thereon a set of instructions, which if performed by one or more processors, cause the one or more processors to perform an application programming interface (“API”) to indicate which of one or more portions of graph code are to be performed.
  • API application programming interface
  • API application programming interface
  • conjunctive phrases “at least one of A, B, and C” and “at least one of A, B and C” refer to any of following sets: ⁇ A ⁇ , ⁇ B ⁇ , ⁇ C ⁇ , ⁇ A, B ⁇ , ⁇ A, C ⁇ , ⁇ B, C ⁇ , ⁇ A, B, C ⁇ .
  • conjunctive language is not generally intended to imply that certain embodiments require at least one of A, at least one of B and at least one of C each to be present.
  • term “plurality” indicates a state of being plural (e.g., “a plurality of items” indicates multiple items). A number of items in a plurality is at least two, but can be more when so indicated either explicitly or by context.
  • phrase “based on” means “based at least in part on” and not “based solely on.”
  • a computer-readable storage medium is a non- transitory computer-readable storage medium that excludes transitory signals (e.g., a propagating transient electric or electromagnetic transmission) but includes non-transitory data storage circuitry (e.g., buffers, cache, and queues) within transceivers of transitory signals.
  • code e.g., executable code or source code
  • code is stored on a set of one or more non-transitory computer-readable storage media having stored thereon executable instructions (or other memory to store executable instructions) that, when executed (e.g., as a result of being executed) by one or more processors of a computer system, cause computer system to perform operations described herein.
  • a set of non- transitory computer-readable storage media comprises multiple non-transitory computer-readable storage media and one or more of individual non-transitory storage media of multiple non-transitory computer-readable storage media lack all of code while multiple non-transitory computer-readable storage media collectively store all of code.
  • executable instructions are executed such that different instructions are executed by different processors — for example, a non-transitory computer- readable storage medium store instructions and a main central processing unit (“CPU”) executes some of instructions while a graphics processing unit (“GPU”) executes other instructions.
  • different components of a computer system have separate processors and different processors execute different subsets of instructions.
  • computer systems are configured to implement one or more services that singly or collectively perform operations of processes described herein and such computer systems are configured with applicable hardware and/or software that enable performance of operations.
  • a computer system that implements at least one embodiment of present disclosure is a single device and, in another embodiment, is a distributed computer system comprising multiple devices that operate differently such that distributed computer system performs operations described herein and such that a single device does not perform all operations.
  • Coupled and “connected,” along with their derivatives, may be used. It should be understood that these terms may be not intended as synonyms for each other. Rather, in particular examples, “connected” or “coupled” may be used to indicate that two or more elements are in direct or indirect physical or electrical contact with each other. “Coupled” may also mean that two or more elements are not in direct contact with each other, but yet still co-operate or interact with each other.
  • processing refers to action and/or processes of a computer or computing system, or similar electronic computing device, that manipulate and/or transform data represented as physical, such as electronic, quantities within computing system’s registers and/or memories into other data similarly represented as physical quantities within computing system’s memories, registers or other such information storage, transmission or display devices.
  • processor may refer to any device or portion of a device that processes electronic data from registers and/or memory and transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • processor may be a CPU or a GPU.
  • a “computing platform” may comprise one or more processors.
  • software processes may include, for example, software and/or hardware entities that perform work over time, such as tasks, threads, and intelligent agents. Also, each process may refer to multiple processes, for carrying out instructions in sequence or in parallel, continuously or intermittently.
  • Terms “system” and “method” are used herein interchangeably insofar as system may embody one or more methods and methods may be considered a system.
  • an arithmetic logic unit is a set of combinational logic circuitry that takes one or more inputs to produce a result.
  • an arithmetic logic unit is used by a processor to implement mathematical operation such as addition, subtraction, or multiplication.
  • an arithmetic logic unit is used to implement logical operations such as logical AND/OR or XOR.
  • an arithmetic logic unit is stateless, and made from physical switching components such as semiconductor transistors arranged to form logical gates.
  • an arithmetic logic unit may operate internally as a stateful logic circuit with an associated clock.
  • an arithmetic logic unit may be constructed as an asynchronous logic circuit with an internal state not maintained in an associated register set.
  • an arithmetic logic unit is used by a processor to combine operands stored in one or more registers of the processor and produce an output that can be stored by the processor in another register or a memory location.
  • the processor presents one or more inputs or operands to an arithmetic logic unit, causing the arithmetic logic unit to produce a result based at least in part on an instruction code provided to inputs of the arithmetic logic unit.
  • the instruction codes provided by the processor to the ALU are based at least in part on the instruction executed by the processor.
  • combinational logic in the ALU processes the inputs and produces an output which is placed on a bus within the processor.
  • the processor selects a destination register, memory location, output device, or output storage location on the output bus so that clocking the processor causes the results produced by the ALU to be sent to the desired location.
  • references may be made to obtaining, acquiring, receiving, or inputting analog or digital data into a subsystem, computer system, or computer- implemented machine.
  • Process of obtaining, acquiring, receiving, or inputting analog and digital data can be accomplished in a variety of ways such as by receiving data as a parameter of a function call or a call to an application programming interface.
  • process of obtaining, acquiring, receiving, or inputting analog or digital data can be accomplished by transferring data via a serial or parallel interface.
  • process of obtaining, acquiring, receiving, or inputting analog or digital data can be accomplished by transferring data via a computer network from providing entity to acquiring entity.
  • references may also be made to providing, outputting, transmitting, sending, or presenting analog or digital data.
  • process of providing, outputting, transmitting, sending, or presenting analog or digital data can be accomplished by transferring data as an input or output parameter of a function call, a parameter of an application programming interface or interprocess communication mechanism.

Landscapes

  • Engineering & Computer Science (AREA)
  • Software Systems (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Stored Programmes (AREA)

Abstract

L'invention concerne des appareils, des systèmes et des techniques pour faciliter la commande de graphe d'exécution. Dans au moins un mode de réalisation, une interface de programmation d'application comprenant un ou plusieurs paramètres est utilisée pour indiquer lesquelles parmi une ou plusieurs parties de code de graphe doivent être effectuées.
PCT/US2023/060377 2022-01-10 2023-01-10 Interface de programmation d'application pour indiquer l'exécution de nœuds de graphe WO2023133585A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE112023000204.5T DE112023000204T5 (de) 2022-01-10 2023-01-10 Anwendungsprogrammierschnittstelle zur Angabe der Ausführung von Graph-Knoten

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US17/572,391 2022-01-10
US17/572,385 US20230222019A1 (en) 2022-01-10 2022-01-10 Application programming interface to control execution of graph nodes
US17/572,385 2022-01-10
US17/572,391 US20230222010A1 (en) 2022-01-10 2022-01-10 Application programming interface to indicate execution of graph nodes

Publications (1)

Publication Number Publication Date
WO2023133585A1 true WO2023133585A1 (fr) 2023-07-13

Family

ID=85222131

Family Applications (2)

Application Number Title Priority Date Filing Date
PCT/US2023/060377 WO2023133585A1 (fr) 2022-01-10 2023-01-10 Interface de programmation d'application pour indiquer l'exécution de nœuds de graphe
PCT/US2023/060375 WO2023133583A1 (fr) 2022-01-10 2023-01-10 Interface de programmation d'application pour commander l'exécution de noeuds de graphe

Family Applications After (1)

Application Number Title Priority Date Filing Date
PCT/US2023/060375 WO2023133583A1 (fr) 2022-01-10 2023-01-10 Interface de programmation d'application pour commander l'exécution de noeuds de graphe

Country Status (2)

Country Link
DE (1) DE112023000204T5 (fr)
WO (2) WO2023133585A1 (fr)

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
NVIDIA: "CUDA C++ Programming Guide v11.5.1", 23 November 2021 (2021-11-23), pages 1 - 441, XP093039732, Retrieved from the Internet <URL:https://docs.nvidia.com/cuda/archive/11.5.1/pdf/CUDA_C_Programming_Guide.pdf> [retrieved on 20230418] *
NVIDIA: "CUDA Runtime API v11.5.1", 23 November 2021 (2021-11-23), pages 1 - 630, XP093039718, Retrieved from the Internet <URL:https://docs.nvidia.com/cuda/archive/11.5.1/pdf/CUDA_Runtime_API.pdf> [retrieved on 20230418] *

Also Published As

Publication number Publication date
WO2023133583A1 (fr) 2023-07-13
DE112023000204T5 (de) 2024-06-06

Similar Documents

Publication Publication Date Title
WO2023039380A9 (fr) Graphes d&#39;exécution à architectures multiples
US20230222019A1 (en) Application programming interface to control execution of graph nodes
US11861758B2 (en) Packet processing acceleration using parallel processing
WO2023183874A1 (fr) Interface de programmation d&#39;application pour effectuer une opération avec un fil réutilisable
US20220334891A1 (en) Application programming interface to modify incomplete graph code
US20230222010A1 (en) Application programming interface to indicate execution of graph nodes
WO2023133581A1 (fr) Interface de programmation d&#39;application pour dissocier une adresse virtuelle
WO2023114738A1 (fr) Interface de programmation d&#39;application pour amener un code graphique à mettre à jour un sémaphore
US20230176933A1 (en) Techniques for modifying graph code
US20230185611A1 (en) Application programming interface to limit memory
WO2023044298A1 (fr) Synchronisation d&#39;exécution de graphe
US20240168799A1 (en) Graph modification
US20220334899A1 (en) Application programming interface to monitor resource usage
US20230222619A1 (en) Techniques for using contextual information
US20240168762A1 (en) Application programming interface to wait on matrix multiply-accumulate
US20240176622A1 (en) Application programming interface to indicate accelerator error handlers
US20230185642A1 (en) Application programming interface to retrieve portions of an image
US20230185641A1 (en) Application programming interface to store portions of an image
US20230118662A1 (en) Configurable processor partitioning
US20240176679A1 (en) Application programming interface to cause performance of accelerator operations
US20230111125A1 (en) Application programming interface for scan operations
US20240176685A1 (en) Application programming interface to transfer information between accelerator memory
US20230244549A1 (en) Application programming interface to cause graph code to wait on a semaphore
US20240176684A1 (en) Application programming interface to indicate storage of accelerator errors
US20230093254A1 (en) Application programming interface to set up graph resources

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 23704637

Country of ref document: EP

Kind code of ref document: A1