WO2023130502A1 - Semiconductor structure and fabrication method therefor - Google Patents

Semiconductor structure and fabrication method therefor Download PDF

Info

Publication number
WO2023130502A1
WO2023130502A1 PCT/CN2022/072493 CN2022072493W WO2023130502A1 WO 2023130502 A1 WO2023130502 A1 WO 2023130502A1 CN 2022072493 W CN2022072493 W CN 2022072493W WO 2023130502 A1 WO2023130502 A1 WO 2023130502A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
metal
gate
work function
barrier layer
Prior art date
Application number
PCT/CN2022/072493
Other languages
French (fr)
Chinese (zh)
Inventor
沈宇桐
Original Assignee
长鑫存储技术有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 长鑫存储技术有限公司 filed Critical 长鑫存储技术有限公司
Publication of WO2023130502A1 publication Critical patent/WO2023130502A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors with potential-jump barrier or surface barrier
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS

Definitions

  • the present disclosure relates to the technical field of semiconductors, in particular to a semiconductor structure and a manufacturing method thereof.
  • Metal-Oxide-Semiconductor (MOS, Metal-Oxide-Semiconductor) devices become the basis of Complementary Metal-Oxide-Semiconductor (CMOS, Complementary Metal Oxide-Semiconductor) logic used in modern integrated circuits.
  • CMOS Complementary Metal Oxide-Semiconductor
  • One or more layers of dielectric material are formed on a semiconductor (typically silicon) substrate, and then a gate is formed on the dielectric.
  • CMOS Complementary Metal Oxide-Semiconductor
  • CMOS Complementary Metal Oxide-Semiconductor
  • silicon oxide SiO 2
  • Poly polysilicon
  • the thickness of the gate dielectric layer becomes smaller and smaller. The reduction in oxide thickness directly leads to significant gate oxide leakage due to tunneling.
  • a material with a higher dielectric constant than silicon oxide ie a high-k (High-k) material
  • the metal contained in the metal gate such as aluminum (Al)
  • Al aluminum
  • embodiments of the present disclosure provide a semiconductor structure and a manufacturing method thereof.
  • An embodiment of the present disclosure provides a semiconductor structure, including:
  • the barrier layer is used to absorb metal atoms diffused from the metal gate toward the gate oxide layer.
  • the metal elements contained in the metal gate and the barrier layer are both aluminum.
  • the material of the barrier layer includes aluminum oxide or aluminum nitride.
  • the thickness of the barrier layer is smaller than the thickness of the metal gate, and the thickness of the barrier layer is greater than 0.2 nm.
  • the gate stack structure further includes a work function adjustment layer, and the work function adjustment layer includes at least one of the following:
  • the first work function layer is located between the gate oxide layer and the barrier layer; the second work function layer is located on the metal gate; the polysilicon layer is located on the second work function layer. layer; the materials of the first work function layer and the second work function layer both include titanium nitride.
  • the gate oxide layer includes an insulating layer and a dielectric layer; the dielectric constant of the material of the dielectric layer is greater than 3.9.
  • An embodiment of the present disclosure also provides a method for manufacturing a semiconductor structure, including:
  • a gate stack structure is formed on the gate oxide layer; the gate stack structure at least includes: a barrier layer and a metal gate located on the barrier layer; the metal element contained in the metal gate and the metal gate The metal elements contained in the barrier layer are the same;
  • the barrier layer is used to absorb metal atoms diffused from the metal gate toward the gate oxide layer.
  • the formation of the gate stack structure on the gate oxide layer includes:
  • a metal gate is formed on the barrier layer.
  • the metal elements contained in the metal gate and the barrier layer are both aluminum; the doping treatment of the metal layer includes:
  • Nitrogen ion doping treatment is performed on the metal layer to obtain the barrier layer covered with aluminum nitride.
  • the implanted source gas when nitrogen ion doping is performed on the metal layer, includes nitrogen or nitrogen oxide.
  • the energy range of the nitrogen ion implantation is 1keV-100keV; the dose range of the nitrogen ion implantation is 1E11 atoms/cm 2 -1E15 atoms/cm 2 .
  • the method also includes:
  • a first work function layer is formed on the gate oxide layer; the material of the first work function layer includes titanium nitride;
  • the forming a metal layer on the gate oxide layer includes;
  • the metal layer is formed on the first work function layer.
  • the method also includes:
  • the material of the second work function layer includes titanium nitride
  • a polysilicon layer is formed on the second work function layer.
  • the formation of the gate oxide layer on the substrate includes:
  • a dielectric layer is formed on the insulating layer; the dielectric constant of the material of the dielectric layer is greater than 3.9.
  • Embodiments of the present disclosure provide a semiconductor structure and a manufacturing method thereof, by providing a substrate; forming a gate oxide layer on the substrate; forming a gate stack structure on the gate oxide layer;
  • the stacked structure at least includes: a barrier layer and a metal gate located on the barrier layer; the metal element contained in the metal gate is the same as the metal element contained in the barrier layer; wherein the barrier layer is used for absorbing metal atoms diffused from the metal gate toward the gate oxide layer.
  • a barrier layer containing the same metal element as that contained in the metal gate is firstly formed; and then the metal gate is formed on the barrier layer.
  • the previously formed barrier layer can fully absorb the metal elements diffused from the metal gate, that is, prevent the metal atoms in the metal gate from diffusing to the direction of the gate oxide layer, thereby ensuring the formation of the semiconductor structure.
  • FIG. 1 is a schematic cross-sectional view of a semiconductor structure provided by an embodiment of the present disclosure
  • FIG. 2 is a schematic flow diagram of a method for manufacturing a semiconductor structure provided by an embodiment of the present disclosure
  • Figure 3a- Figure 3e is a schematic diagram of the structural relationship between several metal oxide layers and silicate layers provided by embodiments of the present disclosure
  • FIG. 4 is a schematic cross-sectional view of another semiconductor structure provided by an embodiment of the present disclosure.
  • spatially relative terms such as “below”, “under”, “under”, “under”, “on”, “above”, etc. are used herein Descriptive convenience may be used to describe the relationship of one element or feature to other elements or features shown in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use and operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements or features described as “below” or “beneath” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the exemplary terms “below” and “beneath” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatial descriptors used herein interpreted accordingly.
  • a layer refers to a portion of material comprising a region having a thickness.
  • a layer may extend across the entire underlying or superstructure, or may have an extent that is less than the extent of the underlying or superstructure.
  • a layer may be a region of a uniform or non-uniform continuous structure with a thickness less than that of the continuous structure.
  • a layer may be located between the top and bottom surfaces of the continuous structure or between any pair of horizontal planes there. Layers may extend horizontally, vertically and/or along the tapered surface.
  • a substrate may be a layer, may include one or more layers, and/or may have one or more layers thereon, above, and/or below. Layers may include multiple layers.
  • interconnect layers may include one or more conductor and contact layers (in which contacts, interconnect lines, and/or vias are formed) and one or more dielectric layers.
  • various doped regions such as source, drain, pocket doping (Halo, implant), and lightly doped drain regions are first formed in the substrate.
  • LDD Lightly Doped Drain
  • an interfacial layer silicon oxide layer in Fig. 1 and a high-K dielectric layer (High-k layer in Fig. 1) are formed on the substrate;
  • Form the first work function layer the first titanium nitride layer in Figure 1) and the metal gate (the aluminum layer in Figure 1) on the dielectric layer;
  • the second titanium nitride layer in 1) and the polysilicon gate (the polysilicon layer in Figure 1); finally form side walls to protect each functional layer.
  • the material of the metal gate is usually aluminum, and aluminum atoms are prone to diffusion under high-temperature processes, and aluminum atoms diffuse to the first A work function layer or even a high-K dielectric layer reduces the effective work function (eWF) of the MOS device, thereby affecting the performance and reliability of the MOS device. Therefore, preventing the diffusion of metal atoms in the metal gate is the key to improving the performance of the MOS device. The essential.
  • One solution to the above problem is to improve the crystal structure of the first work function layer so that the aluminum atoms diffused in the metal gate will not pass through the first work function layer and continue to diffuse downward into the high-K dielectric layer .
  • ion implantation into the first work function layer may affect the adjustment of the grid work function, and the blocking effect on the diffusion of aluminum atoms is not good enough.
  • a layer of metal nitrogen that is the same as the metal element in the metal grid is pre-formed. layer or oxide layer, and then form the metal gate. It can be understood that the pre-formed metal nitride layer or oxide layer can fully absorb the metal atoms diffused from the metal gate; and, the metal nitride layer or oxide layer does not change the structure of the work function layer and will not affect the work function layer regulation.
  • the pre-formed metal nitride layer or oxide layer prevents further diffusion of metal atoms diffused from the metal gate to the high-k dielectric layer on the premise that the work function layer can normally adjust the work function of the device.
  • the stability and reliability of the device are guaranteed.
  • embodiments of the present disclosure provide a new growth solution of the metal gate stack structure.
  • the new metal gate stack structure growth process provided by the embodiments of the present disclosure is applicable to but not limited to the important process steps of CMOS based on the High-k structure.
  • FIG. 2 is a schematic flowchart of an implementation of the method for manufacturing a semiconductor structure provided by an embodiment of the present disclosure. As shown in Figure 2, the manufacturing method of the semiconductor structure includes:
  • Step 201 providing a substrate
  • Step 202 forming a gate oxide layer on the substrate
  • Step 203 forming a gate stack structure on the gate oxide layer; the gate stack structure at least includes: a barrier layer and a metal gate on the barrier layer; the metal contained in the metal gate The element is the same as the metal element contained in the barrier layer;
  • the barrier layer is used to absorb metal atoms diffused from the metal gate toward the gate oxide layer.
  • FIG. 3a-3e are examples of cross-sectional views of a manufacturing process of a semiconductor structure provided by an embodiment of the present disclosure. It should be understood that the operations shown in FIG. 2 are not necessarily performed in an exact order. Instead, various steps may be processed in reverse order or concurrently. At the same time, other operations are either added to these procedures, or a certain step or steps are removed from these procedures.
  • the method for forming the semiconductor structure according to the embodiment of the present disclosure will be described below with reference to FIG. 2 , and FIG. 3 a - FIG. 3 e .
  • the semiconductor structure is at least a part that will be used in subsequent processes to form a final device structure.
  • the final devices include but are not limited to MOS transistors, various electronic devices including MOS transistors such as memory devices, and the like.
  • a substrate 301 is provided.
  • the substrate 301 may include a single semiconductor material substrate (such as a silicon (Si) substrate, a germanium (Ge) substrate, etc.), a compound semiconductor material substrate (such as a silicon germanium (SiGe) substrate etc.), silicon-on-insulator (SOI) substrates, germanium-on-insulator (GeOI) substrates, etc.
  • the substrate 301 is a silicon substrate.
  • an isolation structure may also be formed in the substrate 301, the isolation structure is a shallow trench isolation (STI) structure or a local oxide of silicon (LOCOS) isolation structure, and the isolation structure divides the substrate 301 into different Active region, various semiconductor devices can be formed in the active region, such as N-type metal oxide semiconductor (NMOS, N Metal Oxide Semiconductor) and P-type metal oxide semiconductor (PMOS, P Metal Oxide Semiconductor).
  • STI shallow trench isolation
  • LOCS local oxide of silicon
  • PMOS P-type metal oxide semiconductor
  • Various well structures are also formed in the semiconductor substrate 100 , which are omitted in FIG. 3 a for simplicity.
  • a gate oxide layer 302 is mainly formed.
  • forming the gate oxide layer 302 on the substrate 301 includes:
  • a dielectric layer 3022 is formed on the insulating layer 3021; the dielectric constant of the material of the dielectric layer 3022 is greater than 3.9.
  • the material of the insulating layer 3021 may include but not limited to silicon oxide; the material of the dielectric layer 3022 (equivalent to the aforementioned high-K dielectric layer) includes a high-K material, that is, dielectric A material with an electric constant higher than 3.9.
  • the material of the dielectric layer 3022 may include but not limited to zirconia (ZrO 2 ), hafnium oxide (HfO 2 ), yttrium oxide (Y 2 O 3 ), aluminum oxide (Al 2 O 3 ).
  • the insulating layer 3021 and the dielectric layer 3022 may adopt processes such as chemical vapor deposition (CVD, Chemical Vapor Deposition), physical vapor deposition (PVD, Physical Vapor Deposition), or atomic layer deposition (ALD, Atomic Layer Deposition). form.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • ALD Atomic Layer Deposition
  • the insulating layer 3021 here can be actively formed or passively formed when the dielectric layer 3022 is formed. It is desirable that the thickness of the insulating layer 3021 be as thin as possible, because the lower the K value of the insulating layer , the smaller the influence on the K value of the entire gate oxide layer.
  • a gate stack structure 303 is mainly formed.
  • the barrier layer containing the same metal elements as the metal elements contained in the metal gate is first formed; and then the metal gate is formed on the barrier layer .
  • forming the gate stack structure 303 on the gate oxide layer 302 includes:
  • a metal gate 3033 is formed on the barrier layer 3032 .
  • the material of the metal gate 3033 includes but not limited to aluminum, tungsten (W) and the like. Wherein, in some embodiments, the metal elements contained in the metal gate 3033 and the metal layer 3032' are both aluminum.
  • the barrier layer 3032 is used to absorb metal atoms diffused from the metal gate 3033 to the direction of the gate oxide layer 302; and the metal gate 3033 is used as a gate, that is, the barrier layer 3032 and The metal gate 3033 has different functions, and based on the different functions of the two, the thickness of the metal layer 3032 ′ is different from that of the metal gate 3033 . In some embodiments, the thickness of the metal layer is smaller than the thickness of the metal gate.
  • the process of forming the metal layer 3032' can refer to FIG. 3c.
  • the process of forming the metal layer 3032' can adopt CVD process or the like.
  • the process of doping the metal layer 3032' can refer to Fig. 3d.
  • the doping treatment can be realized by ion implantation process.
  • the ion implantation process may be plasma implantation.
  • the doping treatment of the metal layer 3032' includes:
  • the barrier layer 3032 made of aluminum oxide (Al x O y );
  • the metal layer 3032' is doped with nitrogen ions to obtain the barrier layer 3032 made of aluminum nitride (Al x N y ).
  • ions for ion implantation may include oxygen ions or nitrogen ions.
  • the ion implanted is nitrogen ion.
  • the implanted source gas can be nitrogen (N 2 ), nitrogen oxide (N 2 O or NO), etc.
  • the energy of the nitrogen ion implantation can be 1keV-100keV
  • the dose can be 1Ell atoms/cm 2 -1E15 atoms /cm 2 .
  • eV refers to electron volts, which can be expressed as electron Volt in English; atoms/cm 2 is the number of atoms per square centimeter.
  • the energy of the ion implantation needs to be controlled so that all the metals in the metal layer 3032' are oxidized or nitrided, so as to avoid introducing more possible downward diffusion. Metal.
  • the process of forming the metal gate 3033 can refer to FIG. 3e.
  • the process of forming the metal gate 3033 may adopt CVD, ALD process and the like.
  • the gate stack structure 303 may include at least one work function layer and/or polysilicon layer in addition to the barrier layer 3032 and the metal gate 3033 .
  • the method further includes:
  • a first work function layer 3031 is formed on the gate oxide layer 302; the material of the first work function layer 3031 includes titanium nitride;
  • the formation of the metal layer 3032' on the gate oxide layer 302 includes;
  • the metal layer 3032' is formed on the first work function layer 3031.
  • the method further includes:
  • the material of the second work function layer 3034 includes titanium nitride
  • a polysilicon layer 3035 is formed on the second work function layer 3034 .
  • the materials of the first work function layer 3031 and the second work function layer 3034 include but are not limited to titanium nitride (TiN), tantalum carbide (TaC), molybdenum nitride (MoN), tantalum nitride (TaN), etc. .
  • the material of the first work function layer 3031 and the second work function layer 3034 is titanium nitride.
  • the first work function layer 3031 and the second work function layer 3034 may be formed by CVD, PVD, or ALD processes.
  • a polysilicon layer may also be formed on the second work function layer 3034 .
  • the first work function layer 3031/second work function layer 3034/polysilicon layer 3035 can be used to adjust the effective work function of the gate structure. It is understandable that in order to reduce the potential barrier between the metal and the semiconductor and lower the threshold voltage to turn on the transistor, the work function of the gate metal of the transistor is usually adjusted. In practical applications, the work function of the NMOS gate stack structure At around 4.2eV, the work function of the PMOS gate stack structure is around 5.1eV.
  • Embodiments of the present disclosure provide a semiconductor structure and a manufacturing method thereof, by providing a substrate; forming a gate oxide layer on the substrate; forming a gate stack structure on the gate oxide layer;
  • the stacked structure at least includes: a barrier layer and a metal gate located on the barrier layer; the metal element contained in the metal gate is the same as the metal element contained in the barrier layer; wherein the barrier layer is used for absorbing metal atoms diffused from the metal gate toward the gate oxide layer.
  • a barrier layer containing the same metal element as that contained in the metal gate is firstly formed; and then the metal gate is formed on the barrier layer.
  • the previously formed barrier layer can fully absorb the metal elements diffused from the metal gate, that is, prevent the metal atoms in the metal gate from diffusing to the direction of the gate oxide layer, thereby ensuring the formation of the semiconductor structure.
  • the material of the metal gate is aluminum
  • the material of the work function layer is titanium nitride.
  • Step 1 providing a substrate
  • Step 2 Form various doped regions in the substrate, such as source and drain; Halo and LDD of the source; Halo and LDD of the drain; it should be noted that in practical applications, the gate structure can also be formed first, performing doping again to form doped regions;
  • Step 3 forming a gate oxide layer on the substrate, including a silicon oxide layer and/or a High-k layer (such as ZrO 2 , HfO 2 , Y 2 O 3 , Al 2 O 3 , etc.);
  • a gate oxide layer including a silicon oxide layer and/or a High-k layer (such as ZrO 2 , HfO 2 , Y 2 O 3 , Al 2 O 3 , etc.);
  • Step 4 depositing a first titanium nitride layer on the gate oxide layer
  • Step five pre-grow a thin Al layer on the first titanium nitride layer
  • Step 6 doping the pre-grown Al layer with N or O ions, and forming an Al x N y layer or an Al x O y layer on the surface of the first work function layer by controlling the energy of ion implantation;
  • Step 7 continue to deposit an Al layer on the surface of the Al x N y layer or the Al x O y layer;
  • Step 8 forming a second titanium nitride layer on the Al layer
  • Step 9 forming a polysilicon layer on the surface of the second titanium nitride layer
  • Step Ten Form the Side Walls.
  • a layer of Al is pre-grown, and ion implantation is performed on the pre-grown Al.
  • metal Al is deposited to optimize the metal gate stack and prevent the diffusion of Al atoms, thereby improving the stability of the semiconductor device.
  • an embodiment of the present disclosure further provides a semiconductor structure, the semiconductor structure comprising:
  • the barrier layer is used to absorb metal atoms diffused from the metal gate toward the gate oxide layer.
  • the metal elements contained in the metal gate and the barrier layer are both aluminum.
  • the material of the barrier layer includes aluminum oxide or aluminum nitride.
  • the barrier layer has a thickness smaller than that of the metal gate, and the barrier layer has a thickness greater than 0.2 nm.
  • the gate stack structure further includes a work function adjustment layer, and the work function adjustment layer includes at least one of the following:
  • the first work function layer is located between the gate oxide layer and the barrier layer; the second work function layer is located on the metal gate; the polysilicon layer is located on the second work function layer. layer; the materials of the first work function layer and the second work function layer both include titanium nitride.
  • the gate oxide layer includes an insulating layer and a dielectric layer; the dielectric constant of the material of the dielectric layer is greater than 3.9.
  • a barrier layer containing the same metal element as that contained in the metal gate is firstly formed; and then a metal gate is formed on the barrier layer.
  • the previously formed barrier layer can fully absorb the metal elements diffused from the metal gate, that is, prevent the metal atoms in the metal gate from diffusing to the direction of the gate oxide layer, thereby ensuring the formation of the semiconductor structure.
  • the stability of the electrical properties of semiconductor devices is firstly formed; and then a metal gate is formed on the barrier layer.

Abstract

Embodiments of the present application disclose a semiconductor structure and a fabrication method therefor. The semiconductor structure comprises: providing a substrate; forming a gate oxide layer on the substrate; and forming a gate stack structure on the gate oxide layer, wherein the gate stack structure at least comprises a barrier layer and a metal gate located on the barrier layer, the metal element contained in the metal gate is the same as the metal element contained in the barrier layer, and the barrier layer is used for absorbing metal atoms diffused from the metal gate to the gate oxide layer.

Description

半导体结构及其制造方法Semiconductor structure and manufacturing method thereof
相关申请的交叉引用Cross References to Related Applications
本公开基于申请号为202210011441.6、申请日为2022年01月06日、发明名称为“半导体结构及其制造方法”的中国专利申请提出,并要求该中国专利申请的优先权,该中国专利申请的全部内容在此引入本公开作为参考。This disclosure is based on the Chinese patent application with the application number 202210011441.6, the filing date is January 06, 2022, and the title of the invention is "semiconductor structure and its manufacturing method", and claims the priority of the Chinese patent application. The Chinese patent application This disclosure is hereby incorporated by reference in its entirety.
技术领域technical field
本公开涉及半导体技术领域,尤其涉及一种半导体结构及其制造方法。The present disclosure relates to the technical field of semiconductors, in particular to a semiconductor structure and a manufacturing method thereof.
背景技术Background technique
金属氧化物半导体(MOS,Metal-Oxide-Semiconductor)器件成为现代的集成电路中所采用的互补金属氧化物半导体(CMOS,Complementary Metal Oxide Semiconductor)逻辑的基础。在半导体(典型地为硅)衬底上形成电介质材料的一个或多个层,然后在电介质上形成栅极。早期的器件使用氧化硅(SiO 2)作为栅极介电层,并使用多晶硅(Poly)作为栅极。然而,随着特征尺寸减小,栅极介电层的厚度越来越小。氧化物厚度的减小,直接导致由隧穿引起的显著的栅极氧化物漏电流。为了缓解该问题,相关技术中已利用介电常数比氧化硅更高的材料即高K(High-k)材料来替代氧化硅作为栅极介电层。这里,高K材料一般指介电常数高于3.9的材料,且通常显著高于该值。例如,认为K=5为中度的高,认为K=20为极高。随着研究的引入,利用金属栅极替代传统的多晶硅栅极成为器件进一步发展的必然。 Metal-Oxide-Semiconductor (MOS, Metal-Oxide-Semiconductor) devices become the basis of Complementary Metal-Oxide-Semiconductor (CMOS, Complementary Metal Oxide-Semiconductor) logic used in modern integrated circuits. One or more layers of dielectric material are formed on a semiconductor (typically silicon) substrate, and then a gate is formed on the dielectric. Early devices used silicon oxide (SiO 2 ) as the gate dielectric and polysilicon (Poly) as the gate. However, as the feature size decreases, the thickness of the gate dielectric layer becomes smaller and smaller. The reduction in oxide thickness directly leads to significant gate oxide leakage due to tunneling. In order to alleviate this problem, a material with a higher dielectric constant than silicon oxide, ie a high-k (High-k) material, has been used in the related art to replace silicon oxide as the gate dielectric layer. Here, a high-K material generally refers to a material with a dielectric constant higher than 3.9, and usually significantly higher than this value. For example, K=5 is considered moderately high and K=20 is considered extremely high. With the introduction of research, the use of metal gates to replace traditional polysilicon gates has become inevitable for the further development of devices.
然而,相关技术中,金属栅极中包含的金属,如铝(Al),在高温工艺下容易发生扩散,从而影响MOS器件的性能。However, in the related art, the metal contained in the metal gate, such as aluminum (Al), tends to diffuse in a high-temperature process, thereby affecting the performance of the MOS device.
发明内容Contents of the invention
为解决相关技术问题,本公开实施例提出一种半导体结构及其制造方法。In order to solve related technical problems, embodiments of the present disclosure provide a semiconductor structure and a manufacturing method thereof.
本公开实施例提供了一种半导体结构,包括:An embodiment of the present disclosure provides a semiconductor structure, including:
衬底;Substrate;
位于衬底上的栅极氧化层;a gate oxide layer on the substrate;
位于所述栅极氧化层上的栅极堆叠结构;所述栅极堆叠结构至少包括:阻挡层和位于所述阻挡层之上的金属栅极;所述金属栅极中包含的金属元素与所述阻挡层中包含的金属元素相同;A gate stack structure located on the gate oxide layer; the gate stack structure at least includes: a barrier layer and a metal gate located on the barrier layer; the metal element contained in the metal gate and the metal gate The metal elements contained in the barrier layer are the same;
其中,所述阻挡层用于吸收从所述金属栅极中向所述栅极氧化层的方向扩散的金属原子。Wherein, the barrier layer is used to absorb metal atoms diffused from the metal gate toward the gate oxide layer.
上述方案中,所述金属栅极与阻挡层中包含的金属元素均为铝。In the above solution, the metal elements contained in the metal gate and the barrier layer are both aluminum.
上述方案中,所述阻挡层的材料包括氧化铝或氮化铝。In the above solution, the material of the barrier layer includes aluminum oxide or aluminum nitride.
上述方案中,所述阻挡层的厚度小于所述金属栅极的厚度,所述阻挡层的厚度大于0.2nm。In the above solution, the thickness of the barrier layer is smaller than the thickness of the metal gate, and the thickness of the barrier layer is greater than 0.2 nm.
上述方案中,所述栅极堆叠结构还包括功函数调节层,所述功函数调节层包括以下至少之一:In the above solution, the gate stack structure further includes a work function adjustment layer, and the work function adjustment layer includes at least one of the following:
第一功函数层;first work function layer;
第二功函数层;second work function layer;
多晶硅层;polysilicon layer;
其中,所述第一功函数层位于所述栅极氧化层和所述阻挡层之间;所述第二功函数层位于所述金属栅极上;所述多晶硅层位于所述第二功函数层上;所述第一功函数层、第二功函数层的材料均包括氮化钛。Wherein, the first work function layer is located between the gate oxide layer and the barrier layer; the second work function layer is located on the metal gate; the polysilicon layer is located on the second work function layer. layer; the materials of the first work function layer and the second work function layer both include titanium nitride.
上述方案中,所述栅极氧化层包括绝缘层和介质层;所述介质层的材料的介电常数大于3.9。In the above solution, the gate oxide layer includes an insulating layer and a dielectric layer; the dielectric constant of the material of the dielectric layer is greater than 3.9.
本公开实施例还提供了一种半导体结构的制造方法,包括:An embodiment of the present disclosure also provides a method for manufacturing a semiconductor structure, including:
提供衬底;provide the substrate;
在所述衬底上形成栅极氧化层;forming a gate oxide layer on the substrate;
在所述栅极氧化层上形成栅极堆叠结构;所述栅极堆叠结构至少包括:阻挡层和位于所述阻挡层之上的金属栅极;所述金属栅极中包含的金属元素与所述阻挡层中包含的金属元素相同;A gate stack structure is formed on the gate oxide layer; the gate stack structure at least includes: a barrier layer and a metal gate located on the barrier layer; the metal element contained in the metal gate and the metal gate The metal elements contained in the barrier layer are the same;
其中,所述阻挡层用于吸收从所述金属栅极中向所述栅极氧化层的方向扩散的金属原子。Wherein, the barrier layer is used to absorb metal atoms diffused from the metal gate toward the gate oxide layer.
上述方案中,所述在所述栅极氧化层上形成栅极堆叠结构,包括:In the above solution, the formation of the gate stack structure on the gate oxide layer includes:
在所述栅极氧化层上形成金属层;forming a metal layer on the gate oxide layer;
对所述金属层进行掺杂处理,以形成所述阻挡层;performing doping treatment on the metal layer to form the barrier layer;
在所述阻挡层上形成金属栅极。A metal gate is formed on the barrier layer.
上述方案中,所述金属栅极与阻挡层中包含的金属元素均为铝;所述对所述金属层进行掺杂处理,包括:In the above solution, the metal elements contained in the metal gate and the barrier layer are both aluminum; the doping treatment of the metal layer includes:
对所述金属层进行氧离子掺杂处理,得到材料包括氧化铝的所述阻挡层;performing oxygen ion doping treatment on the metal layer to obtain the barrier layer whose material includes aluminum oxide;
或者,or,
对所述金属层进行氮离子掺杂处理,得到材料包氮化铝的所述阻挡层。Nitrogen ion doping treatment is performed on the metal layer to obtain the barrier layer covered with aluminum nitride.
上述方案中,在对所述金属层进行氮离子掺杂处理时,注入的源气体包括氮气或者氧化氮。In the above solution, when nitrogen ion doping is performed on the metal layer, the implanted source gas includes nitrogen or nitrogen oxide.
上述方案中,在对所述金属层进行氮离子掺杂处理时,所述氮离子注入的能量范围为lkeV-l00keV;所述氮离子注入的剂量范围为lE11 atoms/cm 2-1E15 atoms/cm 2In the above scheme, when nitrogen ion doping treatment is performed on the metal layer, the energy range of the nitrogen ion implantation is 1keV-100keV; the dose range of the nitrogen ion implantation is 1E11 atoms/cm 2 -1E15 atoms/cm 2 .
上述方案中,所述方法还包括:In the above scheme, the method also includes:
在形成所述阻挡层之前,在所述栅极氧化层上形成第一功函数层;所述第一功函数层的材料包括氮化钛;Before forming the barrier layer, a first work function layer is formed on the gate oxide layer; the material of the first work function layer includes titanium nitride;
所述在所述栅极氧化层上形成金属层,包括;The forming a metal layer on the gate oxide layer includes;
在所述第一功函数层上形成所述金属层。The metal layer is formed on the first work function layer.
上述方案中,所述方法还包括:In the above scheme, the method also includes:
形成覆盖所述阻挡层的所述金属栅极;forming the metal gate overlying the barrier layer;
在所述金属栅极上形成第二功函数层;所述第二功函数层的材料包括氮化钛;forming a second work function layer on the metal gate; the material of the second work function layer includes titanium nitride;
在所述第二功函数层上形成多晶硅层。A polysilicon layer is formed on the second work function layer.
上述方案中,所述在所述衬底形成栅极氧化层,包括:In the above solution, the formation of the gate oxide layer on the substrate includes:
在所述衬底上形成绝缘层;forming an insulating layer on the substrate;
在所述绝缘层上形成介质层;所述介质层的材料的介电常数大于3.9。A dielectric layer is formed on the insulating layer; the dielectric constant of the material of the dielectric layer is greater than 3.9.
本公开实施例提供了一种半导体结构及其制造方法,通过提供衬底;在所述衬底上形成栅极氧化层;在所述栅极氧化层上形成栅极堆叠结构;所述栅极堆叠结构至少包括:阻挡层和位于所述阻挡层之上的金属栅极;所述金属栅极中包含的金属元素与所述阻挡层中包含的金属元素相同;其中,所述阻挡层用于吸收从所述金属栅极中向所述栅极氧化层的方向扩散的金属原子。本公开实施例中,在形成栅极堆叠结构的过程中,先形成包含的金属元素与金属栅极中包含的金属元素相同的阻挡层;再在阻挡层上形成金属栅极。该先形成的阻挡层能够充分吸收金属栅极中扩散出的金属元素,即避免所述金属栅极中的金属原子向所述栅极氧化层的方向的扩散,从而保证了半导体结构所形成的半导体器件的电性能的稳定性。Embodiments of the present disclosure provide a semiconductor structure and a manufacturing method thereof, by providing a substrate; forming a gate oxide layer on the substrate; forming a gate stack structure on the gate oxide layer; The stacked structure at least includes: a barrier layer and a metal gate located on the barrier layer; the metal element contained in the metal gate is the same as the metal element contained in the barrier layer; wherein the barrier layer is used for absorbing metal atoms diffused from the metal gate toward the gate oxide layer. In the embodiment of the present disclosure, in the process of forming the gate stack structure, a barrier layer containing the same metal element as that contained in the metal gate is firstly formed; and then the metal gate is formed on the barrier layer. The previously formed barrier layer can fully absorb the metal elements diffused from the metal gate, that is, prevent the metal atoms in the metal gate from diffusing to the direction of the gate oxide layer, thereby ensuring the formation of the semiconductor structure. The stability of the electrical properties of semiconductor devices.
附图说明Description of drawings
图1为本公开实施例提供的一种半导体结构的剖面示意图;FIG. 1 is a schematic cross-sectional view of a semiconductor structure provided by an embodiment of the present disclosure;
图2本公开实施例提供的一种半导体结构的制造方法的实现流程示意图;FIG. 2 is a schematic flow diagram of a method for manufacturing a semiconductor structure provided by an embodiment of the present disclosure;
图3a-图3e为本公开实施例提供的几种金属氧化物层和硅酸盐层之间 的结构关系示意图;Figure 3a-Figure 3e is a schematic diagram of the structural relationship between several metal oxide layers and silicate layers provided by embodiments of the present disclosure;
图4本公开实施例提供的另一种半导体结构的剖面示意图。FIG. 4 is a schematic cross-sectional view of another semiconductor structure provided by an embodiment of the present disclosure.
具体实施方式Detailed ways
下面将参照附图更详细地描述本公开的示例性实施方式。虽然附图中显示了本公开的示例性实施方式,然而应当理解,可以以各种形式实现本公开,而不应被这里阐述的具体实施方式所限制。相反,提供这些实施方式是为了能够更透彻地理解本公开,并且能够将本公开的范围完整的传达给本领域的技术人员。Exemplary embodiments of the present disclosure will be described in more detail below with reference to the accompanying drawings. Although exemplary embodiments of the present disclosure are shown in the drawings, it should be understood that the present disclosure may be embodied in various forms and should not be limited to the specific embodiments set forth herein. Rather, these embodiments are provided for more thorough understanding of the present disclosure and to fully convey the scope of the present disclosure to those skilled in the art.
在下文的描述中,给出了大量具体的细节以便提供对本公开更为彻底的理解。然而,对于本领域技术人员而言显而易见的是,本公开可以无需一个或多个这些细节而得以实施。在其他的例子中,为了避免与本公开发生混淆,对于本领域公知的一些技术特征未进行描述;即,这里不描述实际实施例的全部特征,不详细描述公知的功能和结构。In the following description, numerous specific details are given in order to provide a more thorough understanding of the present disclosure. It will be apparent, however, to one skilled in the art that the present disclosure may be practiced without one or more of these details. In other instances, in order to avoid confusion with the present disclosure, some technical features known in the art are not described; that is, all features of the actual embodiment are not described here, and well-known functions and structures are not described in detail.
在附图中,为了清楚,层、区、元件的尺寸以及其相对尺寸可能被夸大。自始至终相同附图标记表示相同的元件。In the drawings, the size of layers, regions, elements and their relative sizes may be exaggerated for clarity. Like reference numerals refer to like elements throughout.
应当明白,空间关系术语例如“在……下”、“在……下面”、“下面的”、“在……之下”、“在……之上”、“上面的”等,在这里可为了方便描述而被使用从而描述图中所示的一个元件或特征与其它元件或特征的关系。应当明白,除了图中所示的取向以外,空间关系术语意图还包括使用和操作中的器件的不同取向。例如,如果附图中的器件翻转,然后,描述为“在其它元件下面”或“在其之下”或“在其下”元件或特征将取向为在其它元件或特征“上”。因此,示例性术语“在……下面”和“在……下”可包括上和下两个取向。器件可以另外地取向(旋转90度或其它取向)并且在此使用的空间描述语相应地被解释。It should be understood that spatially relative terms such as "below", "under", "under", "under", "on", "above", etc. are used herein Descriptive convenience may be used to describe the relationship of one element or feature to other elements or features shown in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use and operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements or features described as "below" or "beneath" or "beneath" other elements or features would then be oriented "above" the other elements or features. Thus, the exemplary terms "below" and "beneath" can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatial descriptors used herein interpreted accordingly.
在此使用的术语的目的仅在于描述具体实施例并且不作为本公开的限 制。在此使用时,单数形式的“一”、“一个”和“所述/该”也意图包括复数形式,除非上下文清楚指出另外的方式。还应明白术语“组成”和/或“包括”,当在该说明书中使用时,确定所述特征、整数、步骤、操作、元件和/或部件的存在,但不排除一个或更多其它的特征、整数、步骤、操作、元件、部件和/或组的存在或添加。在此使用时,术语“和/或”包括相关所列项目的任何及所有组合。The terminology used herein is for the purpose of describing particular embodiments only and is not to be taken as a limitation of the present disclosure. As used herein, the singular forms "a", "an" and "the/the" are intended to include the plural forms as well, unless the context clearly dictates otherwise. It should also be understood that the terms "consists of" and/or "comprising", when used in this specification, identify the presence of stated features, integers, steps, operations, elements and/or parts, but do not exclude one or more other Presence or addition of features, integers, steps, operations, elements, parts and/or groups. As used herein, the term "and/or" includes any and all combinations of the associated listed items.
在本公开中所使用的术语“层”是指包括具有厚度的区域的材料部分。层可以在整个下层或上层结构上延伸,或者可以具有小于下层或上层结构范围的范围。此外,层可以是厚度小于连续结构的厚度的均匀或不均匀连续结构的区域。例如,层可以位于连续结构的顶表面和底表面之间或其处的任何一对水平平面之间。层可以水平地、垂直地和/或沿着锥形表面延伸。衬底可以是层,其中可以包括一层或多层,和/或可以在其上、其上方和/或其下方具有一层或多层。层可以包括多个层。例如,互连层可以包括一个或多个导体和触点层(其中形成有触点、互连线和/或通孔)以及一个或多个电介质层。The term "layer" as used in this disclosure refers to a portion of material comprising a region having a thickness. A layer may extend across the entire underlying or superstructure, or may have an extent that is less than the extent of the underlying or superstructure. Furthermore, a layer may be a region of a uniform or non-uniform continuous structure with a thickness less than that of the continuous structure. For example, a layer may be located between the top and bottom surfaces of the continuous structure or between any pair of horizontal planes there. Layers may extend horizontally, vertically and/or along the tapered surface. A substrate may be a layer, may include one or more layers, and/or may have one or more layers thereon, above, and/or below. Layers may include multiple layers. For example, interconnect layers may include one or more conductor and contact layers (in which contacts, interconnect lines, and/or vias are formed) and one or more dielectric layers.
需要说明的是:“第一”、“第二”等是用于区别类似的对象,而不必用于描述特定的顺序或先后次序。It should be noted that: "first", "second", etc. are used to distinguish similar objects, and not necessarily used to describe a specific order or sequence.
为了能够更加详尽地了解本公开实施例的特点与技术内容,下面结合附图对本公开实施例的实现进行详细阐述,所附附图仅供参考说明之用,并非用来限定本公开实施例。In order to understand the characteristics and technical content of the embodiments of the present disclosure in more detail, the implementation of the embodiments of the present disclosure will be described in detail below in conjunction with the accompanying drawings. The attached drawings are only for reference and description, and are not intended to limit the embodiments of the present disclosure.
在半导体器件的制造领域中,随着尺寸不断缩小,介电层不断减薄。以氧化硅作为介电层带来了不可忽略的栅极漏电,因此在器件介电层制备过程中引入了高介电常数(高K)材料。随着研究的引入,利用金属栅极替代传统的多晶硅栅极成为器件进一步发展的必然。In the field of manufacturing semiconductor devices, as the dimensions continue to shrink, the thickness of the dielectric layer continues to decrease. Using silicon oxide as the dielectric layer brings non-negligible gate leakage, so a high dielectric constant (high K) material is introduced during the preparation of the device dielectric layer. With the introduction of research, the use of metal gates to replace traditional polysilicon gates has become inevitable for the further development of devices.
相关技术中,如图1所示,在MOS器件的制造过程中,先在衬底中形成各掺杂区如,源极、漏极、口袋掺杂(Halo,implant)、轻掺杂漏区(LDD, Lightly Doped Drain);接下来在所述衬底上形成界面层(图1中的氧化硅层)和高K介电层(图1中的High-k层);接下来在高K介电层上形成第一功函数层(图1中的第一氮化钛层)和金属栅极(图1中的铝层);接下来在金属栅极上形成第二功函数层(图1中的第二氮化钛层)和多晶硅栅极(图1中的多晶硅层);最后形成侧墙,以保护各功能层。In the related art, as shown in FIG. 1 , in the manufacturing process of MOS devices, various doped regions such as source, drain, pocket doping (Halo, implant), and lightly doped drain regions are first formed in the substrate. (LDD, Lightly Doped Drain); Next, an interfacial layer (silicon oxide layer in Fig. 1) and a high-K dielectric layer (High-k layer in Fig. 1) are formed on the substrate; Form the first work function layer (the first titanium nitride layer in Figure 1) and the metal gate (the aluminum layer in Figure 1) on the dielectric layer; The second titanium nitride layer in 1) and the polysilicon gate (the polysilicon layer in Figure 1); finally form side walls to protect each functional layer.
实际应用中,不论先栅极(gate-first)工艺还是后栅极(gate-last)工艺中,金属栅极的材料通常为铝,而铝原子高温工艺下容易发生扩散,铝原子扩散到第一功函数层甚至高K介电层,降低了MOS器件的有效功函数(eWF),进而影响MOS器件的性能以及可靠性,因此,防止金属栅极的金属原子扩散,是提高MOS器件性能的关键。In practical applications, regardless of the gate-first process or the gate-last process, the material of the metal gate is usually aluminum, and aluminum atoms are prone to diffusion under high-temperature processes, and aluminum atoms diffuse to the first A work function layer or even a high-K dielectric layer reduces the effective work function (eWF) of the MOS device, thereby affecting the performance and reliability of the MOS device. Therefore, preventing the diffusion of metal atoms in the metal gate is the key to improving the performance of the MOS device. The essential.
一种解决上述问题的方案为,通过改善第一功函数层的晶体结构来使得金属栅极中扩散的铝原子不会穿过第一功函数层再继续向下扩散进入高K介电层中。然而,对第一功函数层进行离子注入可能会影响栅极功函数的调节,对铝原子扩散的阻挡效果也不够好。One solution to the above problem is to improve the crystal structure of the first work function layer so that the aluminum atoms diffused in the metal gate will not pass through the first work function layer and continue to diffuse downward into the high-K dielectric layer . However, ion implantation into the first work function layer may affect the adjustment of the grid work function, and the blocking effect on the diffusion of aluminum atoms is not good enough.
为了防止金属栅极中金属原子的扩散,同时不影响功函数层的调节作用,本公开各实施例中在形成金属栅极之前,先预形成一层与金属栅极中金属元素相同的金属氮化层或者氧化层,再形成金属栅极。可以理解的是预先形成的该金属氮化层或者氧化层可以充分吸收金属栅极扩散的金属原子;并且,该金属氮化层或者氧化层未改变功函数层的结构,不会影响到功函数层的调节作用。也就是说,预先形成的该金属氮化层或者氧化层在保证功函数层能够正常调节器件功函数的前提下,防止了金属栅极扩散的金属原子向high-k介电层的进一步扩散,保证了器件稳定性和可靠性。In order to prevent the diffusion of metal atoms in the metal grid without affecting the adjustment function of the work function layer, in each embodiment of the present disclosure, before forming the metal grid, a layer of metal nitrogen that is the same as the metal element in the metal grid is pre-formed. layer or oxide layer, and then form the metal gate. It can be understood that the pre-formed metal nitride layer or oxide layer can fully absorb the metal atoms diffused from the metal gate; and, the metal nitride layer or oxide layer does not change the structure of the work function layer and will not affect the work function layer regulation. That is to say, the pre-formed metal nitride layer or oxide layer prevents further diffusion of metal atoms diffused from the metal gate to the high-k dielectric layer on the premise that the work function layer can normally adjust the work function of the device. The stability and reliability of the device are guaranteed.
为了解决金属栅极堆叠结构中金属原子的扩散的问题,本公开实施例提供了一种新的金属栅极堆叠结构的生长方案。本公开实施例提供的新的金属栅极堆叠结构的生长工艺,适用于但不限于基于High-k结构的CMOS的重要工艺步骤。In order to solve the problem of the diffusion of metal atoms in the metal gate stack structure, embodiments of the present disclosure provide a new growth solution of the metal gate stack structure. The new metal gate stack structure growth process provided by the embodiments of the present disclosure is applicable to but not limited to the important process steps of CMOS based on the High-k structure.
本公开实施例提供一种半导体结构的制造方法,图2为本公开实施例提供的一种半导体结构的制造方法的实现流程示意图。如图2所示,所述半导体结构的制造方法包括:An embodiment of the present disclosure provides a method for manufacturing a semiconductor structure, and FIG. 2 is a schematic flowchart of an implementation of the method for manufacturing a semiconductor structure provided by an embodiment of the present disclosure. As shown in Figure 2, the manufacturing method of the semiconductor structure includes:
步骤201:提供衬底;Step 201: providing a substrate;
步骤202:在所述衬底上形成栅极氧化层;Step 202: forming a gate oxide layer on the substrate;
步骤203:在所述栅极氧化层上形成栅极堆叠结构;所述栅极堆叠结构至少包括:阻挡层和位于所述阻挡层之上的金属栅极;所述金属栅极中包含的金属元素与所述阻挡层中包含的金属元素相同;Step 203: forming a gate stack structure on the gate oxide layer; the gate stack structure at least includes: a barrier layer and a metal gate on the barrier layer; the metal contained in the metal gate The element is the same as the metal element contained in the barrier layer;
其中,所述阻挡层用于吸收从所述金属栅极中向所述栅极氧化层的方向扩散的金属原子。Wherein, the barrier layer is used to absorb metal atoms diffused from the metal gate toward the gate oxide layer.
图3a-图3e为本公开实施例提供的一种半导体结构的制造过程的剖视图的示例。应当理解,图2中所示的操作不一定按照顺序来精确地执行。相反,可以按照倒序或同时处理各种步骤。同时,或将其他操作添加到这些过程中,或从这些过程移除某一步或数步操作。下面结合图2、图3a-图3e描述本公开实施例的半导体结构的形成方法。3a-3e are examples of cross-sectional views of a manufacturing process of a semiconductor structure provided by an embodiment of the present disclosure. It should be understood that the operations shown in FIG. 2 are not necessarily performed in an exact order. Instead, various steps may be processed in reverse order or concurrently. At the same time, other operations are either added to these procedures, or a certain step or steps are removed from these procedures. The method for forming the semiconductor structure according to the embodiment of the present disclosure will be described below with reference to FIG. 2 , and FIG. 3 a - FIG. 3 e .
需要说明的是,所述半导体结构是将被用于后续制程以形成最终的器件结构的至少一部分。这里的最终器件包括但不限于MOS晶体管、包括MOS晶体管的各类电子器件如,存储器器件等。It should be noted that the semiconductor structure is at least a part that will be used in subsequent processes to form a final device structure. The final devices here include but are not limited to MOS transistors, various electronic devices including MOS transistors such as memory devices, and the like.
其中,在步骤201中,如图3a所示,提供衬底301。Wherein, in step 201, as shown in FIG. 3a, a substrate 301 is provided.
实际应用中,所述衬底301可以包括单质半导体材料衬底(例如为硅(Si)衬底、锗(Ge)衬底等)、复合半导体材料衬底(例如为锗硅(SiGe)衬底等)、绝缘体上硅(SOI)衬底、绝缘体上锗(GeOI)衬底等。在一些具体实施例中,所述衬底301为硅衬底。In practical applications, the substrate 301 may include a single semiconductor material substrate (such as a silicon (Si) substrate, a germanium (Ge) substrate, etc.), a compound semiconductor material substrate (such as a silicon germanium (SiGe) substrate etc.), silicon-on-insulator (SOI) substrates, germanium-on-insulator (GeOI) substrates, etc. In some specific embodiments, the substrate 301 is a silicon substrate.
实际应用中,所述衬底301中还可以形成有隔离结构,所述隔离结构为浅沟槽隔离(STI)结构或者局部氧化硅(LOCOS)隔离结构,隔离结构将衬底301分为不同的有源区,有源区中可以形成各种半导体器件,例 如N型金属氧化物半导体(NMOS,N Metal Oxide Semiconductor)和P型金属氧化物半导体(PMOS,P Metal Oxide Semiconductor)等。在半导体衬底100中还形成有各种阱(well)结构,为了简化,图3a示中予以省略。In practical applications, an isolation structure may also be formed in the substrate 301, the isolation structure is a shallow trench isolation (STI) structure or a local oxide of silicon (LOCOS) isolation structure, and the isolation structure divides the substrate 301 into different Active region, various semiconductor devices can be formed in the active region, such as N-type metal oxide semiconductor (NMOS, N Metal Oxide Semiconductor) and P-type metal oxide semiconductor (PMOS, P Metal Oxide Semiconductor). Various well structures are also formed in the semiconductor substrate 100 , which are omitted in FIG. 3 a for simplicity.
接下来,在步骤202中,如图3b所示,主要形成栅极氧化层302。Next, in step 202, as shown in FIG. 3b, a gate oxide layer 302 is mainly formed.
在一些实施例中,所述在所述衬底301上形成栅极氧化层302,包括:In some embodiments, forming the gate oxide layer 302 on the substrate 301 includes:
在所述衬底301上形成绝缘层3021;forming an insulating layer 3021 on the substrate 301;
在所述绝缘层3021上形成介质层3022;所述介质层3022的材料的介电常数大于3.9。A dielectric layer 3022 is formed on the insulating layer 3021; the dielectric constant of the material of the dielectric layer 3022 is greater than 3.9.
这里,所述绝缘层3021(相当于前述的界面层)的材料可以包括但不限于氧化硅;所述介质层3022(相当于前述的高K介电层)的材料包括高K材料,即介电常数高于3.9的材料,实际应用中,所述介质层3022材料可以包括但不限于氧化锆(ZrO 2)、氧化铪(HfO 2)、氧化钇(Y 2O 3)、氧化铝(Al 2O 3)。 Here, the material of the insulating layer 3021 (equivalent to the aforementioned interface layer) may include but not limited to silicon oxide; the material of the dielectric layer 3022 (equivalent to the aforementioned high-K dielectric layer) includes a high-K material, that is, dielectric A material with an electric constant higher than 3.9. In practical applications, the material of the dielectric layer 3022 may include but not limited to zirconia (ZrO 2 ), hafnium oxide (HfO 2 ), yttrium oxide (Y 2 O 3 ), aluminum oxide (Al 2 O 3 ).
实际应用中,所述绝缘层3021、介质层3022可以采用化学气相沉积(CVD,Chemical Vapour Deposition)、物理气相沉积(PVD,Physical Vapour Deposition)、或原子层沉积(ALD,Atomic Layer Deposition)等工艺形成。In practical application, the insulating layer 3021 and the dielectric layer 3022 may adopt processes such as chemical vapor deposition (CVD, Chemical Vapor Deposition), physical vapor deposition (PVD, Physical Vapor Deposition), or atomic layer deposition (ALD, Atomic Layer Deposition). form.
需要说明的是,这里的绝缘层3021可以是主动形成的,也可以是在形成介质层3022时被动形成的,希望的是绝缘层3021的厚度越薄越好,因为绝缘层的K值越低,对于整个栅极氧化层的K值的影响越小。It should be noted that the insulating layer 3021 here can be actively formed or passively formed when the dielectric layer 3022 is formed. It is desirable that the thickness of the insulating layer 3021 be as thin as possible, because the lower the K value of the insulating layer , the smaller the influence on the K value of the entire gate oxide layer.
接下来,在步骤203中,如图3c-图3e所示,主要形成栅极堆叠结构303。Next, in step 203 , as shown in FIG. 3 c - FIG. 3 e , a gate stack structure 303 is mainly formed.
如前所述的,本公开实施中,在形成栅极堆叠结构的过程中,先形成包含的金属元素与金属栅极中包含的金属元素相同的阻挡层;再在阻挡层上形成金属栅极。As mentioned above, in the implementation of the present disclosure, in the process of forming the gate stack structure, the barrier layer containing the same metal elements as the metal elements contained in the metal gate is first formed; and then the metal gate is formed on the barrier layer .
在一些实施例中,所述在所述栅极氧化层302上形成栅极堆叠结构303,包括:In some embodiments, forming the gate stack structure 303 on the gate oxide layer 302 includes:
在所述栅极氧化层302上形成金属层3032’;Forming a metal layer 3032' on the gate oxide layer 302;
对所述金属层3032’进行掺杂处理,以形成所述阻挡层3032;Doping the metal layer 3032' to form the barrier layer 3032;
在所述阻挡层3032上形成金属栅极3033。A metal gate 3033 is formed on the barrier layer 3032 .
实际应用中,金属栅极3033的材料包括但不限于铝、钨(W)等。其中,在一些实施例中,所述金属栅极3033与金属层3032’中包含的金属元素均为铝。In practical application, the material of the metal gate 3033 includes but not limited to aluminum, tungsten (W) and the like. Wherein, in some embodiments, the metal elements contained in the metal gate 3033 and the metal layer 3032' are both aluminum.
需要说明的是,阻挡层3032用于吸收从所述金属栅极3033中向所述栅极氧化层302的方向扩散的金属原子;而金属栅极3033用于作为栅极,即阻挡层3032和金属栅极3033的功能不同,基于二者功能的不同,金属层3032’的厚度于所述金属栅极3033的厚度不同。在一些实施例中,所述金属层的厚度小于所述金属栅极的厚度。It should be noted that the barrier layer 3032 is used to absorb metal atoms diffused from the metal gate 3033 to the direction of the gate oxide layer 302; and the metal gate 3033 is used as a gate, that is, the barrier layer 3032 and The metal gate 3033 has different functions, and based on the different functions of the two, the thickness of the metal layer 3032 ′ is different from that of the metal gate 3033 . In some embodiments, the thickness of the metal layer is smaller than the thickness of the metal gate.
这里,形成金属层3032’的过程可以参考图3c。实际应用中,形成所述金属层3032’的工艺可以采用CVD工艺等。Here, the process of forming the metal layer 3032' can refer to FIG. 3c. In practical application, the process of forming the metal layer 3032' can adopt CVD process or the like.
这里,对所述金属层3032’进行掺杂处理的过程可以参考图3d。实际应用中,所述掺杂处理可以采用离子注入工艺实现。在一些具体实施例中,所述离子注入工艺可以是等离子体注入。Here, the process of doping the metal layer 3032' can refer to Fig. 3d. In practical application, the doping treatment can be realized by ion implantation process. In some embodiments, the ion implantation process may be plasma implantation.
在一些实施例中,所述对所述金属层3032’进行掺杂处理,包括:In some embodiments, the doping treatment of the metal layer 3032' includes:
对所述金属层3032’进行氧离子掺杂处理,得到材料包括氧化铝(Al xO y)的所述阻挡层3032; Doping the metal layer 3032' with oxygen ions to obtain the barrier layer 3032 made of aluminum oxide (Al x O y );
或者,or,
对所述金属层3032’进行氮离子掺杂处理,得到材料包括氮化铝(Al xN y)的所述阻挡层3032。 The metal layer 3032' is doped with nitrogen ions to obtain the barrier layer 3032 made of aluminum nitride (Al x N y ).
实际应用中,执行离子注入的离子可以包括氧离子或氮离子。In practical applications, ions for ion implantation may include oxygen ions or nitrogen ions.
示例性的,执行离子注入的离子为氮离子。此时,注入的源气体可以为氮气(N 2)、氧化氮(N 2O或NO)等,所述氮离子注入的能量可以为lkeV-l00keV,剂量可以为lEll atoms/cm 2-1E15 atoms/cm 2。这里,eV是指电子 伏特,英文可以表达为electron Volt;atoms/cm 2是每平方厘米含有原子个数。 Exemplarily, the ion implanted is nitrogen ion. At this time, the implanted source gas can be nitrogen (N 2 ), nitrogen oxide (N 2 O or NO), etc., the energy of the nitrogen ion implantation can be 1keV-100keV, and the dose can be 1Ell atoms/cm 2 -1E15 atoms /cm 2 . Here, eV refers to electron volts, which can be expressed as electron Volt in English; atoms/cm 2 is the number of atoms per square centimeter.
需要说明的是,在执行离子注入的过程中,需要控制离子注入的能量,以使得所述金属层3032’中的金属全部被氧化或氮化,以避免将引入了更多可能向下扩散的金属。It should be noted that during the ion implantation process, the energy of the ion implantation needs to be controlled so that all the metals in the metal layer 3032' are oxidized or nitrided, so as to avoid introducing more possible downward diffusion. Metal.
这里,形成金属栅极3033的过程可以参考图3e。实际应用中,形成所述金属栅极3033的工艺可以采用CVD,ALD工艺等。Here, the process of forming the metal gate 3033 can refer to FIG. 3e. In practical application, the process of forming the metal gate 3033 may adopt CVD, ALD process and the like.
实际应用中,所述栅极堆叠结构303除了包括阻挡层3032和金属栅极3033还可以包括至少一个功函数层和/或多晶硅层。In practical applications, the gate stack structure 303 may include at least one work function layer and/or polysilicon layer in addition to the barrier layer 3032 and the metal gate 3033 .
在一些实施例中,如图3c所示,所述方法还包括:In some embodiments, as shown in Figure 3c, the method further includes:
在形成所述阻挡层3032之前,在所述栅极氧化层302上形成第一功函数层3031;所述第一功函数层3031的材料包括氮化钛;Before forming the barrier layer 3032, a first work function layer 3031 is formed on the gate oxide layer 302; the material of the first work function layer 3031 includes titanium nitride;
所述在所述栅极氧化层302上形成金属层3032’,包括;The formation of the metal layer 3032' on the gate oxide layer 302 includes;
在所述第一功函数层3031上形成所述金属层3032’。The metal layer 3032' is formed on the first work function layer 3031.
在一些实施例中,如图3d所示,所述方法还包括:In some embodiments, as shown in Figure 3d, the method further includes:
形成覆盖所述阻挡层3032的所述金属栅极3033;forming the metal gate 3033 covering the barrier layer 3032;
在所述金属栅极3033上形成第二功函数层3034;所述第二功函数层3034的材料包括氮化钛;Forming a second work function layer 3034 on the metal gate 3033; the material of the second work function layer 3034 includes titanium nitride;
在所述第二功函数层3034上形成多晶硅层3035。A polysilicon layer 3035 is formed on the second work function layer 3034 .
这里,所述第一功函数层3031、第二功函数层3034的材料包括但不限于氮化钛(TiN)、碳化钽(TaC)、氮化钼(MoN)、氮化钽(TaN)等。在本公开实施例中,所述第一功函数层3031、第二功函数层3034的材料为氮化钛。Here, the materials of the first work function layer 3031 and the second work function layer 3034 include but are not limited to titanium nitride (TiN), tantalum carbide (TaC), molybdenum nitride (MoN), tantalum nitride (TaN), etc. . In the embodiment of the present disclosure, the material of the first work function layer 3031 and the second work function layer 3034 is titanium nitride.
实际应用中,所述第一功函数层3031、第二功函数层3034可以采用CVD、PVD、或ALD等工艺形成。In practical applications, the first work function layer 3031 and the second work function layer 3034 may be formed by CVD, PVD, or ALD processes.
这里,还可以在第二功函数层3034上形成多晶硅层。所述第一功函数 层3031/第二功函数层3034/多晶硅层3035可以用来调节栅极结构的有效功函数。可以理解的是,为了降低金属和半导体之间的势垒,降低开启晶体管的阈值电压,通常会对晶体管的栅极金属进行功函数的调节,实际应用中,NMOS的栅极堆叠结构的功函数在4.2eV左右,PMOS的栅极堆叠结构的功函数在5.1eV左右。Here, a polysilicon layer may also be formed on the second work function layer 3034 . The first work function layer 3031/second work function layer 3034/polysilicon layer 3035 can be used to adjust the effective work function of the gate structure. It is understandable that in order to reduce the potential barrier between the metal and the semiconductor and lower the threshold voltage to turn on the transistor, the work function of the gate metal of the transistor is usually adjusted. In practical applications, the work function of the NMOS gate stack structure At around 4.2eV, the work function of the PMOS gate stack structure is around 5.1eV.
本公开实施例提供了一种半导体结构及其制造方法,通过提供衬底;在所述衬底上形成栅极氧化层;在所述栅极氧化层上形成栅极堆叠结构;所述栅极堆叠结构至少包括:阻挡层和位于所述阻挡层之上的金属栅极;所述金属栅极中包含的金属元素与所述阻挡层中包含的金属元素相同;其中,所述阻挡层用于吸收从所述金属栅极中向所述栅极氧化层的方向扩散的金属原子。本公开实施例中,在形成栅极堆叠结构的过程中,先形成包含的金属元素与金属栅极中包含的金属元素相同的阻挡层;再在阻挡层上形成金属栅极。该先形成的阻挡层能够充分吸收金属栅极中扩散出的金属元素,即避免所述金属栅极中的金属原子向所述栅极氧化层的方向的扩散,从而保证了半导体结构所形成的半导体器件的电性能的稳定性。Embodiments of the present disclosure provide a semiconductor structure and a manufacturing method thereof, by providing a substrate; forming a gate oxide layer on the substrate; forming a gate stack structure on the gate oxide layer; The stacked structure at least includes: a barrier layer and a metal gate located on the barrier layer; the metal element contained in the metal gate is the same as the metal element contained in the barrier layer; wherein the barrier layer is used for absorbing metal atoms diffused from the metal gate toward the gate oxide layer. In the embodiment of the present disclosure, in the process of forming the gate stack structure, a barrier layer containing the same metal element as that contained in the metal gate is firstly formed; and then the metal gate is formed on the barrier layer. The previously formed barrier layer can fully absorb the metal elements diffused from the metal gate, that is, prevent the metal atoms in the metal gate from diffusing to the direction of the gate oxide layer, thereby ensuring the formation of the semiconductor structure. The stability of the electrical properties of semiconductor devices.
下面给出一种半导体结构制造方法的示例。该示例形成的半导体结构可以参考图4。在该示例中,金属栅极的材料为铝,功函数层的材料为氮化钛。An example of a semiconductor structure manufacturing method is given below. Refer to FIG. 4 for the semiconductor structure formed in this example. In this example, the material of the metal gate is aluminum, and the material of the work function layer is titanium nitride.
步骤一:提供衬底;Step 1: providing a substrate;
步骤二:在衬底中形成各掺杂区如,源极、漏极;源极的Halo、LDD;漏极的Halo、LDD;需要说明的是,实际应用中也可以先形成栅极结构,再执行掺杂,形成各掺杂区;Step 2: Form various doped regions in the substrate, such as source and drain; Halo and LDD of the source; Halo and LDD of the drain; it should be noted that in practical applications, the gate structure can also be formed first, performing doping again to form doped regions;
步骤三:在所述衬底上形成栅极氧化层,包括氧化硅层和/或High-k层(如ZrO 2、HfO 2、Y 2O 3、Al 2O 3等); Step 3: forming a gate oxide layer on the substrate, including a silicon oxide layer and/or a High-k layer (such as ZrO 2 , HfO 2 , Y 2 O 3 , Al 2 O 3 , etc.);
步骤四:在栅极氧化层上沉积第一氮化钛层;Step 4: depositing a first titanium nitride layer on the gate oxide layer;
步骤五:在第一氮化钛层上预生长薄Al层;Step five: pre-grow a thin Al layer on the first titanium nitride layer;
步骤六:对预生长的Al层进行N或O离子的掺杂,通过控制离子注入的能量在第一功函数层表面上形成Al xN y层或Al xO y层; Step 6: doping the pre-grown Al layer with N or O ions, and forming an Al x N y layer or an Al x O y layer on the surface of the first work function layer by controlling the energy of ion implantation;
步骤七:在Al xN y层或Al xO y层表面继续沉积Al层; Step 7: continue to deposit an Al layer on the surface of the Al x N y layer or the Al x O y layer;
步骤八:在Al层上形成第二氮化钛层;Step 8: forming a second titanium nitride layer on the Al layer;
步骤九:在第二氮化钛层表面形成多晶硅层;Step 9: forming a polysilicon layer on the surface of the second titanium nitride layer;
步骤十:形成侧墙。Step Ten: Form the Side Walls.
本示例中通过预生长一层Al,并对预生长的Al进行离子注入,在这基础上继续沉积金属Al,进而优化金属栅叠层,防止Al原子的扩散,从而提高半导体器件的稳定性。In this example, a layer of Al is pre-grown, and ion implantation is performed on the pre-grown Al. On this basis, metal Al is deposited to optimize the metal gate stack and prevent the diffusion of Al atoms, thereby improving the stability of the semiconductor device.
基于上述半导体结构的制造方法,本公开实施例还提供一种半导体结构,所述半导体结构包括:Based on the above method for manufacturing a semiconductor structure, an embodiment of the present disclosure further provides a semiconductor structure, the semiconductor structure comprising:
衬底;Substrate;
位于衬底上的栅极氧化层;a gate oxide layer on the substrate;
位于所述栅极氧化层上的栅极堆叠结构;所述栅极堆叠结构至少包括:阻挡层和位于所述阻挡层之上的金属栅极;所述金属栅极中包含的金属元素与所述阻挡层中包含的金属元素相同;A gate stack structure located on the gate oxide layer; the gate stack structure at least includes: a barrier layer and a metal gate located on the barrier layer; the metal element contained in the metal gate and the metal gate The metal elements contained in the barrier layer are the same;
其中,所述阻挡层用于吸收从所述金属栅极中向所述栅极氧化层的方向扩散的金属原子。Wherein, the barrier layer is used to absorb metal atoms diffused from the metal gate toward the gate oxide layer.
其中,在一些实施例中,所述金属栅极与阻挡层中包含的金属元素均为铝。Wherein, in some embodiments, the metal elements contained in the metal gate and the barrier layer are both aluminum.
在一些实施例中,所述阻挡层的材料包括氧化铝或氮化铝。In some embodiments, the material of the barrier layer includes aluminum oxide or aluminum nitride.
在一些实施例中,所述阻挡层的厚度小于所述金属栅极的厚度,所述阻挡层的厚度大于0.2nm。In some embodiments, the barrier layer has a thickness smaller than that of the metal gate, and the barrier layer has a thickness greater than 0.2 nm.
在一些实施例中,所述栅极堆叠结构还包括功函数调节层,所述功函数调节层包括以下至少之一:In some embodiments, the gate stack structure further includes a work function adjustment layer, and the work function adjustment layer includes at least one of the following:
第一功函数层;first work function layer;
第二功函数层;second work function layer;
多晶硅层;polysilicon layer;
其中,所述第一功函数层位于所述栅极氧化层和所述阻挡层之间;所述第二功函数层位于所述金属栅极上;所述多晶硅层位于所述第二功函数层上;所述第一功函数层、第二功函数层的材料均包括氮化钛。Wherein, the first work function layer is located between the gate oxide layer and the barrier layer; the second work function layer is located on the metal gate; the polysilicon layer is located on the second work function layer. layer; the materials of the first work function layer and the second work function layer both include titanium nitride.
在一些实施例中,所述栅极氧化层包括绝缘层和介质层;所述介质层的材料的介电常数大于3.9。In some embodiments, the gate oxide layer includes an insulating layer and a dielectric layer; the dielectric constant of the material of the dielectric layer is greater than 3.9.
需要说明的是,上述半导体结构中包括的各层在本公开前述的制造实施例在均已进行说明,这里不再赘述。It should be noted that each layer included in the above-mentioned semiconductor structure has been described in the foregoing manufacturing embodiments of the present disclosure, and will not be repeated here.
应理解,说明书通篇中提到的“一个实施例”或“一实施例”意味着与实施例有关的特定特征、结构或特性包括在本公开的至少一个实施例中。因此,在整个说明书各处出现的“在一个实施例中”或“在一实施例中”未必一定指相同的实施例。此外,这些特定的特征、结构或特性可以任意适合的方式结合在一个或多个实施例中。应理解,在本公开的各种实施例中,上述各过程的序号的大小并不意味着执行顺序的先后,各过程的执行顺序应以其功能和内在逻辑确定,而不应对本公开实施例的实施过程构成任何限定。上述本公开实施例序号仅仅为了描述,不代表实施例的优劣。It should be understood that reference throughout the specification to "one embodiment" or "an embodiment" means that a particular feature, structure or characteristic related to the embodiment is included in at least one embodiment of the present disclosure. Thus, appearances of "in one embodiment" or "in an embodiment" in various places throughout the specification are not necessarily referring to the same embodiment. Furthermore, the particular features, structures or characteristics may be combined in any suitable manner in one or more embodiments. It should be understood that in various embodiments of the present disclosure, the sequence numbers of the above-mentioned processes do not mean the order of execution, and the execution order of the processes should be determined by their functions and internal logic, rather than by the embodiments of the present disclosure. The implementation process constitutes any limitation. The serial numbers of the above-mentioned embodiments of the present disclosure are for description only, and do not represent the advantages and disadvantages of the embodiments.
本公开所提供的几个方法实施例中所揭露的方法,在不冲突的情况下可以任意组合,得到新的方法实施例。The methods disclosed in several method embodiments provided in the present disclosure can be combined arbitrarily without conflict to obtain new method embodiments.
以上所述,仅为本公开的具体实施方式,但本公开的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本公开揭露的技术范围内,可轻易想到变化或替换,都应涵盖在本公开的保护范围之内。因此,本公开的保护范围应以所述权利要求的保护范围为准。The above is only a specific implementation of the present disclosure, but the scope of protection of the present disclosure is not limited thereto. Anyone skilled in the art can easily think of changes or substitutions within the technical scope of the present disclosure. should fall within the protection scope of the present disclosure. Therefore, the protection scope of the present disclosure should be determined by the protection scope of the claims.
工业实用性Industrial Applicability
本公开实施例中,在形成栅极堆叠结构的过程中,先形成包含的金属 元素与金属栅极中包含的金属元素相同的阻挡层;再在阻挡层上形成金属栅极。该先形成的阻挡层能够充分吸收金属栅极中扩散出的金属元素,即避免所述金属栅极中的金属原子向所述栅极氧化层的方向的扩散,从而保证了半导体结构所形成的半导体器件的电性能的稳定性。In the embodiment of the present disclosure, in the process of forming the gate stack structure, a barrier layer containing the same metal element as that contained in the metal gate is firstly formed; and then a metal gate is formed on the barrier layer. The previously formed barrier layer can fully absorb the metal elements diffused from the metal gate, that is, prevent the metal atoms in the metal gate from diffusing to the direction of the gate oxide layer, thereby ensuring the formation of the semiconductor structure. The stability of the electrical properties of semiconductor devices.

Claims (14)

  1. 一种半导体结构,包括:A semiconductor structure comprising:
    衬底;Substrate;
    位于衬底上的栅极氧化层;a gate oxide layer on the substrate;
    位于所述栅极氧化层上的栅极堆叠结构;所述栅极堆叠结构至少包括:阻挡层和位于所述阻挡层之上的金属栅极;所述金属栅极中包含的金属元素与所述阻挡层中包含的金属元素相同;A gate stack structure located on the gate oxide layer; the gate stack structure at least includes: a barrier layer and a metal gate located on the barrier layer; the metal element contained in the metal gate and the metal gate The metal elements contained in the barrier layer are the same;
    其中,所述阻挡层用于吸收从所述金属栅极中向所述栅极氧化层的方向扩散的金属原子。Wherein, the barrier layer is used to absorb metal atoms diffused from the metal gate toward the gate oxide layer.
  2. 根据权利要求1所述的半导体结构,其中,所述金属栅极与阻挡层中包含的金属元素均为铝。The semiconductor structure according to claim 1, wherein the metal elements contained in the metal gate and the barrier layer are aluminum.
  3. 根据权利要求2所述的半导体结构,其中,所述阻挡层的材料包括氧化铝或氮化铝。The semiconductor structure according to claim 2, wherein the material of the barrier layer comprises aluminum oxide or aluminum nitride.
  4. 根据权利要求1所述的半导体结构,其中,所述阻挡层的厚度小于所述金属栅极的厚度,所述阻挡层的厚度大于0.2nm。The semiconductor structure according to claim 1, wherein the thickness of the barrier layer is smaller than the thickness of the metal gate, and the thickness of the barrier layer is greater than 0.2 nm.
  5. 根据权利要求1所述的半导体结构,其中,所述栅极堆叠结构还包括功函数调节层,所述功函数调节层包括以下至少之一:The semiconductor structure according to claim 1, wherein the gate stack structure further comprises a work function adjustment layer, and the work function adjustment layer comprises at least one of the following:
    第一功函数层;first work function layer;
    第二功函数层;second work function layer;
    多晶硅层;polysilicon layer;
    其中,所述第一功函数层位于所述栅极氧化层和所述阻挡层之间;所述第二功函数层位于所述金属栅极上;所述多晶硅层位于所述第二功函数层上;所述第一功函数层、第二功函数层的材料均包括氮化钛。Wherein, the first work function layer is located between the gate oxide layer and the barrier layer; the second work function layer is located on the metal gate; the polysilicon layer is located on the second work function layer. layer; the materials of the first work function layer and the second work function layer both include titanium nitride.
  6. 根据权利要求1所述的半导体结构,其中,所述栅极氧化层包括绝缘层和介质层;所述介质层的材料的介电常数大于3.9。The semiconductor structure according to claim 1, wherein the gate oxide layer comprises an insulating layer and a dielectric layer; the dielectric constant of the material of the dielectric layer is greater than 3.9.
  7. 一种半导体结构的制造方法,包括:A method of fabricating a semiconductor structure, comprising:
    提供衬底;provide the substrate;
    在所述衬底上形成栅极氧化层;forming a gate oxide layer on the substrate;
    在所述栅极氧化层上形成栅极堆叠结构,所述栅极堆叠结构至少包括:阻挡层和形成于所述阻挡层之上的金属栅极,所述金属栅极中包含的金属元素与所述阻挡层中包含的金属元素相同;A gate stack structure is formed on the gate oxide layer, and the gate stack structure at least includes: a barrier layer and a metal gate formed on the barrier layer, the metal element contained in the metal gate and the metal gate The metal elements contained in the barrier layer are the same;
    其中,所述阻挡层用于吸收从所述金属栅极中向所述栅极氧化层的方向扩散的金属原子。Wherein, the barrier layer is used to absorb metal atoms diffused from the metal gate toward the gate oxide layer.
  8. 根据权利要求7所述的制造方法,其中,所述在所述栅极氧化层上形成栅极堆叠结构,包括:The manufacturing method according to claim 7, wherein the forming a gate stack structure on the gate oxide layer comprises:
    在所述栅极氧化层上形成金属层;forming a metal layer on the gate oxide layer;
    对所述金属层进行掺杂处理,以形成所述阻挡层;performing doping treatment on the metal layer to form the barrier layer;
    在所述阻挡层上形成金属栅极。A metal gate is formed on the barrier layer.
  9. 根据权利要求8所述的制造方法,其中,所述金属栅极与阻挡层中包含的金属元素均为铝;所述对所述金属层进行掺杂处理,包括:The manufacturing method according to claim 8, wherein the metal elements contained in the metal gate and the barrier layer are both aluminum; the doping treatment of the metal layer includes:
    对所述金属层进行氧离子掺杂处理,得到材料包括氧化铝的所述阻挡层;performing oxygen ion doping treatment on the metal layer to obtain the barrier layer whose material includes aluminum oxide;
    或者,or,
    对所述金属层进行氮离子掺杂处理,得到材料包氮化铝的所述阻挡层。Nitrogen ion doping treatment is performed on the metal layer to obtain the barrier layer covered with aluminum nitride.
  10. 根据权利要求9所述的制造方法,其中,在对所述金属层进行氮离子掺杂处理时,注入的源气体包括氮气或者氧化氮。The manufacturing method according to claim 9, wherein, when nitrogen ion doping is performed on the metal layer, the implanted source gas includes nitrogen or nitrogen oxide.
  11. 根据权利要求10所述的制造方法,其中,在对所述金属层进行氮离子掺杂处理时,所述氮离子注入的能量范围为lkeV-l00keV;所述氮离子注入的剂量范围为lE11 atoms/cm 2-1E15 atoms/cm 2The manufacturing method according to claim 10, wherein, when nitrogen ion doping is performed on the metal layer, the energy range of the nitrogen ion implantation is 1keV-100keV; the dose range of the nitrogen ion implantation is 1E11 atoms /cm 2 -1E15 atoms/cm 2 .
  12. 根据权利要求8所述的制造方法,其中,所述方法还包括:The manufacturing method according to claim 8, wherein the method further comprises:
    在形成所述阻挡层之前,在所述栅极氧化层上形成第一功函数层;所 述第一功函数层的材料包括氮化钛;Before forming the blocking layer, a first work function layer is formed on the gate oxide layer; the material of the first work function layer includes titanium nitride;
    所述在所述栅极氧化层上形成金属层,包括;The forming a metal layer on the gate oxide layer includes;
    在所述第一功函数层上形成所述金属层。The metal layer is formed on the first work function layer.
  13. 根据权利要求8所述的制造方法,其中,所述方法还包括:The manufacturing method according to claim 8, wherein the method further comprises:
    形成覆盖所述阻挡层的所述金属栅极;forming the metal gate overlying the barrier layer;
    在所述金属栅极上形成第二功函数层;所述第二功函数层的材料包括氮化钛;forming a second work function layer on the metal gate; the material of the second work function layer includes titanium nitride;
    在所述第二功函数层上形成多晶硅层。A polysilicon layer is formed on the second work function layer.
  14. 根据权利要求7所述的制造方法,其中,所述在所述衬底上形成栅极氧化层,包括:The manufacturing method according to claim 7, wherein said forming a gate oxide layer on said substrate comprises:
    在所述衬底上形成绝缘层;forming an insulating layer on the substrate;
    在所述绝缘层上形成介质层;所述介质层的材料的介电常数大于3.9。A dielectric layer is formed on the insulating layer; the dielectric constant of the material of the dielectric layer is greater than 3.9.
PCT/CN2022/072493 2022-01-06 2022-01-18 Semiconductor structure and fabrication method therefor WO2023130502A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN202210011441.6A CN116454132A (en) 2022-01-06 2022-01-06 Semiconductor structure and manufacturing method thereof
CN202210011441.6 2022-01-06

Publications (1)

Publication Number Publication Date
WO2023130502A1 true WO2023130502A1 (en) 2023-07-13

Family

ID=87072926

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2022/072493 WO2023130502A1 (en) 2022-01-06 2022-01-18 Semiconductor structure and fabrication method therefor

Country Status (2)

Country Link
CN (1) CN116454132A (en)
WO (1) WO2023130502A1 (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962904A (en) * 1997-09-16 1999-10-05 Micron Technology, Inc. Gate electrode stack with diffusion barrier
CN1363949A (en) * 2000-12-29 2002-08-14 海力士半导体香港有限公司 Method for forming metal grids in semiconductor device
US20040104439A1 (en) * 2002-12-03 2004-06-03 Asm International N.V. Method of depositing barrier layer from metal gates
US20070128791A1 (en) * 2005-12-06 2007-06-07 Nec Electronics Corporation Method for manufacturing semiconductor device and semiconductor device
KR20090048189A (en) * 2007-11-09 2009-05-13 주식회사 하이닉스반도체 Method for manufacturing transistor in semiconductor device
CN103311247A (en) * 2012-03-14 2013-09-18 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962904A (en) * 1997-09-16 1999-10-05 Micron Technology, Inc. Gate electrode stack with diffusion barrier
CN1363949A (en) * 2000-12-29 2002-08-14 海力士半导体香港有限公司 Method for forming metal grids in semiconductor device
US20040104439A1 (en) * 2002-12-03 2004-06-03 Asm International N.V. Method of depositing barrier layer from metal gates
US20070128791A1 (en) * 2005-12-06 2007-06-07 Nec Electronics Corporation Method for manufacturing semiconductor device and semiconductor device
KR20090048189A (en) * 2007-11-09 2009-05-13 주식회사 하이닉스반도체 Method for manufacturing transistor in semiconductor device
CN103311247A (en) * 2012-03-14 2013-09-18 中国科学院微电子研究所 Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
CN116454132A (en) 2023-07-18

Similar Documents

Publication Publication Date Title
CN101421839B (en) Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled cmos devices
US7750418B2 (en) Introduction of metal impurity to change workfunction of conductive electrodes
US7807990B2 (en) Semiconductor device
JP5199104B2 (en) Low threshold voltage semiconductor device having dual threshold voltage control means
US20090057787A1 (en) Semiconductor device
US20060289948A1 (en) Method to control flatband/threshold voltage in high-k metal gated stacks and structures thereof
US20080105920A1 (en) Semiconductor devices and fabrication process thereof
US7511338B2 (en) Semiconductor device and manufacturing method of the same
JP2008016538A (en) Semiconductor device with mos structure and its manufacturing method
US8518783B2 (en) Gate structure for field effect transistor
US20120299113A1 (en) Semiconductor device and method for fabricating the same
WO2011057492A1 (en) Semiconductor device and manufacturing method thereof
US7253485B2 (en) Semiconductor device and manufacturing method thereof
US20070200160A1 (en) Semiconductor device and method of fabricating the same
US7098516B2 (en) Refractory metal-based electrodes for work function setting in semiconductor devices
KR100729354B1 (en) Methods of manufacturing semiconductor device in order to improve the electrical characteristics of a dielectric
WO2011141973A1 (en) Semiconductor device and method for manufacturing same
US8716812B2 (en) Interfacial layer regrowth control in high-K gate structure for field effect transistor
WO2023130502A1 (en) Semiconductor structure and fabrication method therefor
US11837508B2 (en) Method of forming high-k dielectric material
US8889515B2 (en) Method for fabricating semiconductor device by changing work function of gate metal layer
CN106328529B (en) MOS transistor and forming method thereof
JP2005045166A (en) Semiconductor device and manufacturing method thereof
KR100729367B1 (en) Semiconductor device and methods of fabricating the same

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22917970

Country of ref document: EP

Kind code of ref document: A1