WO2023075899A1 - Traitement au plasma avec nitruration réglable - Google Patents

Traitement au plasma avec nitruration réglable Download PDF

Info

Publication number
WO2023075899A1
WO2023075899A1 PCT/US2022/040984 US2022040984W WO2023075899A1 WO 2023075899 A1 WO2023075899 A1 WO 2023075899A1 US 2022040984 W US2022040984 W US 2022040984W WO 2023075899 A1 WO2023075899 A1 WO 2023075899A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
plasma
source
inductively
processing chamber
Prior art date
Application number
PCT/US2022/040984
Other languages
English (en)
Inventor
Wei Liu
Shashank Sharma
Matthew Spuller
Vladimir Nagorny
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020247017415A priority Critical patent/KR20240090966A/ko
Priority to CN202280071809.6A priority patent/CN118160063A/zh
Publication of WO2023075899A1 publication Critical patent/WO2023075899A1/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/24Nitriding
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/182Obtaining or maintaining desired pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/338Changing chemical properties of treated surfaces
    • H01J2237/3387Nitriding

Definitions

  • Embodiments of the present disclosure generally relate to plasma processing methods, and more specifically to radical species nitridation using plasma processing.
  • Plasma processing is used in the semiconductor industry for deposition, etching, resist removal, and related processing of semiconductor substrates and other substrates. Plasma sources are often used for plasma processing to produce high density plasma and reactive species for processing substrates. Formation of plasma breaks molecules into radicals (and generates ions) which can be used to achieve substrate treatment in a chamber.
  • a mixture of gases is fed into a plasma generation region (active zone) of an inductively coupled plasma (ICP) source, and electrons accelerated in the high electric field region ionize and dissociate this mixture, creating a new gas (plasma) having radicals and ions.
  • ICP inductively coupled plasma
  • Conventional methods for nitridation include thermal and plasma-based methods.
  • Conventional thermal methods for nitridation are typically performed at temperatures that can be detrimental to the substrate being processed.
  • Conventional plasma-based nitridation also has drawbacks such as poor conformality of the nitride layer for high aspect ratio structures, as well as the difficulty in conformal nitridation of silicon oxide films.
  • Embodiments of the present disclosure generally relate to plasma processing methods, and more specifically to radical species nitridation using plasma processing.
  • a method for nitriding a substrate includes positioning a substrate on a substrate support in a processing volume of a processing chamber, and flowing a nitrogen-containing source and a carrier gas into a plasma processing source coupled to the processing chamber such that a flow rate of the nitrogen-containing source is from about 3% to about 20% of a flow rate of the carrier gas.
  • the method further includes generating an inductively-coupled plasma in the plasma processing source by operating an inductively-coupled plasma source at a power of about 2,000 W to about 10,000 W, the inductively-coupled plasma comprising a radical species formed from the nitrogen-containing source, the carrier gas, or both.
  • the method further includes nitriding the substrate within the processing chamber, wherein nitriding the substrate within the processing chamber includes operating a heat source within the processing chamber at a temperature from about 150°C to about 650°C to heat the substrate; maintaining a pressure of the processing chamber from about 50 mTorr to about 2 Torr; introducing the inductively-coupled plasma to the processing chamber; and adjusting a nitrogen content, a number of nitrogen atoms per unit area, a nitride thickness, or combinations thereof, of the substrate by exposing the substrate to the radical species.
  • Embodiments can include one or more of the following.
  • the H 2 can be co-flowed with the nitrogen-containing source, the inductively-coupled plasma can further include a radical species formed from the H 2 , or combinations thereof.
  • the plasma processing source can include a gas injection channel defined between a gas injection insert and a sidewall of the plasma processing source; and an induction coil can be positioned proximate the sidewall and horizontally overlapping the gas injection channel. Flowing the nitrogen source and the carrier gas into the plasma processing source can include flowing the nitrogen source and the carrier gas into the gas injection channel.
  • the nitrogen-containing source can include NH 3 , N 2 , hydrazine (N 2 H 4 ), or combinations thereof.
  • the carrier gas can include Ar, He, Ne, Kr, Xe, or combinations thereof.
  • the heat source can include a lamp positioned above the substrate, a lamp positioned below the substrate, a heater embedded within the substrate support, or combinations thereof.
  • the heat source can have a plasma source output of about 2 inches in diameter to about 15 inches in diameter.
  • the substrate can include crystalline silicon, amorphous silicon, polycrystalline silicon, crystalline SiGe, amorphous SiGe, polycrystalline SiGe, silicon oxide, silicon nitride, hafnium oxide, hafnium zirconium oxide, or combinations thereof.
  • the method can further include performing an oxygen radical treatment before or after nitriding the substrate; performing a hydrogen radical treatment before or after nitriding the substrate; or a combination thereof.
  • a method for nitriding a substrate includes positioning a substrate on a substrate support in a processing volume of a processing chamber, and generating an inductively-coupled plasma in a plasma processing source coupled to the processing chamber, wherein generating the inductively-coupled plasma comprises: operating an inductively-coupled plasma source at a power of about 2,000 W to about 10,000 W; flowing hydrazine and a carrier gas into the plasma processing source such that a flow rate of the hydrazine is from about 3% to about 100% of a flow rate of the carrier gas, the carrier gas comprising Ar, He, Ne, Kr, Xe, or combinations thereof; and forming the inductively- coupled plasma, the inductively-coupled plasma comprising a radical species comprising N, NH, or a combination thereof.
  • the method further includes nitriding the substrate within the processing chamber, wherein nitriding the substrate comprises: operating a heat source within the processing chamber at a temperature from about 150°C to about 650°C to heat the substrate; maintaining a pressure of the processing chamber from about 50 mTorr to about 2 Torr; introducing the inductively-coupled plasma to the processing chamber; and adjusting a nitrogen content, a number of nitrogen atoms per unit area, a nitride thickness, or combinations thereof, of the substrate by exposing the substrate to the inductively- coupled plasma comprising the radical species.
  • Embodiments can include one or more of the following.
  • the flow rate of the hydrazine can be from about 5% to about 15% of the flow rate of the carrier gas.
  • the pressure can be from about 200 mTorr to about 1 Torr, the temperature can be from about 500°C to about 650°C, nitriding the substrate can be performed for about 5 minutes or less, or combinations thereof.
  • the heat source can have a plasma source output of about 2 inches in diameter to about 15 inches in diameter.
  • H 2 can be co-flowed with the hydrazine and the inductively-coupled plasma can further include a radical species formed from the H 2 .
  • NH 3 , N 2 , or a combination thereof can be co-flowed with the hydrazine and the inductively-coupled plasma can further include a radical species formed from the NH 3 , N 2 , or a combination thereof.
  • a method for nitriding a substrate is provided.
  • the method includes positioning a substrate on a substrate support in a processing volume of a processing chamber, the substrate comprising silicon, and generating an inductively-coupled plasma in a plasma processing source coupled to the processing chamber, wherein generating the inductively-coupled plasma comprises: operating an inductively-coupled plasma source at a power of about 2,000 W to about 10,000 W; flowing a nitrogen-containing source and a carrier gas into the plasma processing source such that a flow rate of the a nitrogen-containing source is from about 3% to about 100% of a flow rate of the carrier gas, the carrier gas comprising Ar, He, Ne, Kr, Xe, or combinations thereof; and forming the inductively- coupled plasma, the inductively-coupled plasma comprising a radical species comprising N, NH, or a combination thereof.
  • the method further includes nitriding the substrate within the processing chamber, wherein nitriding the substrate comprises: operating a heat source within the processing chamber at a temperature from about 150°C to about 650°C to heat the substrate; maintaining a pressure of the processing chamber from about 50 mTorr to about 2 Torr; introducing the inductively-coupled plasma to the processing chamber; and adjusting a nitrogen content, a number of nitrogen atoms per unit area, a nitride thickness, or combinations thereof, of the substrate by exposing the substrate to the inductively- coupled plasma comprising the radical species.
  • Embodiments can include one or more of the following.
  • the substrate can include silicon germanium (SiGe).
  • the flow rate of the nitrogen-containing source can be from about 5% to about 15% of the flow rate of the carrier gas.
  • the pressure can be from about 200 mTorr to about 1 Torr.
  • the temperature can be from about 500°C to about 650°C.
  • the nitriding the substrate can be performed for about 10 minutes or less.
  • H 2 can be co-flowed with the nitrogen-containing source and the inductively-coupled plasma further comprises a radical species formed from the H 2 .
  • the plasma processing source can be integrated within the processing chamber.
  • FIG. 1 is a schematic diagram of an example plasma processing apparatus according to at least one embodiment of the present disclosure.
  • FIG. 2 is a schematic diagram of an example plasma processing apparatus according to at least one embodiment of the present disclosure.
  • FIG. 3 is a schematic diagram of an example plasma processing apparatus according to at least one embodiment of the present disclosure.
  • FIG. 4 is a schematic diagram of an example plasma processing apparatus according to at least one embodiment of the present disclosure.
  • FIG. 5 is a schematic diagram of an example plasma processing apparatus according to at least one embodiment of the present disclosure.
  • FIG.6A is an isometric view of an example separation grid according to at least one embodiment of the present disclosure.
  • FIG. 6B is a cross-section view (along line 6B) of the separation grid of FIG.6A according to at least one embodiment of the present disclosure.
  • FIG. 7 is an example induction coil that can be used with an example plasma source according to at least one embodiment of the present disclosure.
  • FIG. 21 FIG.
  • Embodiments of the present disclosure generally relate to plasma processing methods, and more specifically to radical species nitridation using plasma processing.
  • Embodiments of processes described herein can enable, for example, higher nitrogen dosing and nitride thickness of a substrate being processed with lower thermal budgets relative to conventional nitridation methods. Moreover, the methods described herein can be highly conformal in high-aspect ratio structures present on semiconductor device substrates. Further, processes described herein can be cyclic, combined with a hydrogen radical treatment, and/or combined with an oxygen radical treatment to achieve desired results. The nitridation methods can be performed in a single chamber with other processes, such as other radical treatment processes. [0025] For purposes of the present disclosure, nitridation and nitriding are used interchangeably. For example, nitridation of a substrate also refers to nitriding a substrate.
  • FIG.1 depicts a plasma processing apparatus 100.
  • the plasma processing apparatus 100 includes a processing chamber 110 and a plasma source 120 coupled with the processing chamber 110.
  • the processing chamber 110 includes a substrate support 112 operable to hold a substrate 114.
  • the substrate has a thickness that is less than about 1 mm.
  • Substrate support 112 can be proximate one or more heat sources (for example, a plurality of lamps 176) that provide heat to a substrate during processing of the substrate in the processing chamber 110.
  • Heat can be provided using any suitable heat source, such as one or more lamps, such as one or more rapid thermal processing lamps, or via a heated pedestal (for example, a pedestal having resistive heating elements embedded therein or coupled thereto). In operation, the heat sources enable independent temperature control of the substrate which is described in more detail below.
  • processing chamber 110 includes window 162, such as a dome, and the plurality of lamps 176.
  • the plurality of lamps 176 are disposed between the window 162 and a bottom wall of the processing chamber 110.
  • the plurality of lamps 176 are positioned in an array.
  • the plurality of lamps 176 can be arranged in a plurality of concentric rings surrounding a center of the processing chamber 110.
  • the plurality of lamps 176 can include 100 or more lamps, such as 200 or more lamps, such as from 200 lamps to 500 lamps, such as from 200 lamps to 300 lamps, such as 240 lamps, such as from 300 lamps to 400 lamps, such from 400 lamps to 500 lamps, such as 400 lamps.
  • the power of each of the plurality of lamps 176 is from 400 W to 1000 W, such as from 500 W to 800 W, such as from 500 W to 600 W, such as from 600 W to 700 W, such as 645 W, such as from 700 W to 800 W.
  • a distance from the plurality of lamps 176 to the substrate is about 50 mm or less, such as from about 5 mm to about 50 mm, such as from about 5 mm to about 20 mm, such as about 12.5 mm, such as from about 20 mm to about 50 mm, such as about 36.5 mm.
  • a controller (not shown) is coupled to the processing chamber 110, and may be used to control chamber processes described herein including controlling the plurality of lamps 176.
  • the substrate support 112 is disposed between a separation grid 116 and the window 162.
  • a plurality of sensors can be disposed proximate one or more of the lamps 176 and/or the substrate support 112 for measuring the temperature within the processing chamber 110.
  • the plurality of sensors can include one or more infrared pyrometers or miniature pyrometers.
  • the one or more pyrometers includes 2, 3, or 4 pyrometers.
  • the pyrometers have a wavelength of 3.3 ⁇ m, although in general, commercial pyrometer wavelengths typically vary from about 0.5 ⁇ m to about 14 ⁇ m.
  • the pyrometers are bottom pyrometers, meaning the pyrometers are positioned below the substrate such as proximate the plurality of lamps 176.
  • the substrate support 112 is coupled with a shaft 165.
  • the shaft is connected to an actuator 178 that provides rotational movement of the shaft and substrate support (about an axis A). Actuator 178 may additionally or alternatively provide height adjustment of the shaft 165 during processing.
  • the substrate support 112 includes lift pin holes 166 disposed therein.
  • the lift pin holes 166 are sized to accommodate a lift pin 164 for lifting of the substrate 114 from the substrate support 112 either before or after a deposition process is performed.
  • a plasma can be generated in plasma source 120 (for example, in a plasma generation region) by induction coil 130 and desired particles flow from the plasma source 120 to the surface of substrate 114 through holes 126 provided in a separation grid 116 that separates the plasma source 120 from the processing chamber 110 (a downstream region).
  • the plasma source 120 includes a dielectric sidewall 122.
  • the plasma source 120 includes a top plate 124.
  • the dielectric sidewall 122 and top plate 124, integrated with an gas injection insert 140 define a plasma source interior 125.
  • Dielectric sidewall 122 can include any suitable dielectric material, such as quartz.
  • An induction coil 130 is disposed proximate (for example, adjacent) the dielectric sidewall 122 about the plasma source 120.
  • the induction coil 130 is coupled to an RF power generator 134 through any suitable matching network 132. Feed gases are introduced to the plasma source interior from a gas supply 150.
  • RF power is provided to induction coil 130 at about 1 kW to about 15 kW, such as about 3 kW to about 10 kW.
  • Induction coil 130 may ignite and sustain a plasma in a wide pressure and flow range.
  • the plasma processing apparatus 100 includes a grounded Faraday shield 128 to reduce capacitive coupling of the induction coil 130 to the plasma.
  • the plasma processing apparatus 100 includes a gas injection insert 140 disposed in the plasma source interior 125.
  • the gas injection channels 151 provide the process gas to the plasma source interior 125 through an active zone 172, where due to enhanced confinement of hot electrons a reaction between hot electrons and the feed gas occurs.
  • An enhanced electron confinement region or an active zone 172 is defined by sidewalls of gas injection insert and the vacuum tube in radial direction and by the edge of the surface 180 of the insert from the bottom in vertical direction.
  • the active zone 172 provides an electron confinement region within the plasma source interior 125 for efficient plasma generation and sustaining.
  • the gas injection channels 151 can be narrow and prevent plasma spreading from the chamber interior into the gas injection channels 151.
  • Gas injection channels 151 can be about 1 mm in diameter or greater, such as about 10 mm or greater, such as about 1 mm to about 10 mm.
  • the gas injection insert 140 forces the process gas to be passed through the active zone 172 where plasma is formed.
  • the capabilities of the gas injection insert 140 to improve efficiency of the plasma processing apparatus 100 are independent of the material of the gas injection insert 140 as long as the walls that are in direct contact with radicals are made of material with a low recombination rate for the radicals.
  • the gas injection insert 140 can be made from a metal, such as an aluminum material, with a coating configured to reduce surface recombination.
  • the gas injection insert 140 can be a dielectric material, such as a quartz material, or an insulative material.
  • the induction coil 130 is aligned with the active region in such a way that the top turn of the coil is above the surface 180 of the gas injection insert 140 and operates substantially in the active region of the inner volume, while the bottom turn of the coil is below surface 180 and operates substantially outside the active region.
  • the center of the coil is substantially aligned with the surface 180. Within these boundaries one can adjust the coil position for a desired performance. Alignment of the coil with surface 180 provides improved source efficiency, namely controlled generation of desired chemical species for plasma processes and delivering them to the substrate with reduced or eliminated losses.
  • a coil has a short transition region near the leads, and the remainder of the coil turns are parallel to the surface 180, in other embodiments, a coil is helical, but one can always define the top and the bootom turn of the coil. In some embodiments, a coil can have 2-5 turns.
  • surface 180 is aligned with a portion of induction coil 130 (for example, coil loop 182) along axis 184 by utilizing a suitably sized gas injection insert 140 (and top plate 124, which may be a preformed part of the gas injection insert 140) to form the plasma source 120.
  • a suitably sized gas injection insert 140 and top plate 124, which may be a preformed part of the gas injection insert 140
  • surface 180 can be movable along a vertical direction V 1 relative to plasma source 120 while a remainder portion of gas injection insert 140 is static (for example, fixed) as part of plasma source 120, in order to provide alignment of surface 180 with a portion of induction coil 130.
  • a mechanism 170 can be coupled with any suitable portion of gas injection insert 140 to adjust a position of surface 180 such that a portion of gas injection insert 140 having a first length (L 1 ) is adjusted to a second length (L 2 ).
  • Mechanism 170 can be any suitable mechanism, such as an actuator, for example a motor, electric motor, stepper motor, or pneumatic actuator.
  • a difference in length from L 1 to L 2 is about 0.1 cm to about 4 cm, such as about 1 cm to about 2 cm.
  • the gas injection insert 140 can be coupled to a mechanism (such as mechanism 170), and mechanism 170 is configured to move the entirety of gas injection insert 140 vertically (for example, along a vertical direction V 1 relative to plasma source 120), in order to align surface 180 with a portion of induction coil 130.
  • Mechanism 170 is configured to move the entirety of gas injection insert 140 vertically (for example, along a vertical direction V 1 relative to plasma source 120), in order to align surface 180 with a portion of induction coil 130.
  • Spacers can be used to fill gap(s) between gas injection insert 140 and another portion of plasma source 120 (such as between top plate 124 and dielectric sidewall 122) that were formed by moving the insert vertically.
  • the spacers may be formed from, for example, a ceramic material, such as a quartz.
  • Separation grid 116 is configured to separate a processing chamber 110 area from plasma charged particles (ions and electrons), which recombine on the grid, so that only neutral plasma species can pass through the grid into the processing chamber 110.
  • the holes in the bottom section of the separation grid 116 may have different pattern (for example, uniform), as shown in FIGS.6A and 6B.
  • separation grid 600 is formed of aluminum, anodized aluminum, quartz, aluminum nitride, aluminum oxide, tantalum, tantalum nitride, titanium, titanium nitride, or combination(s) thereof.
  • AlN can be beneficial for flux of nitrogen radicals, whereas conventional separation grids are more prone to nitrogen radical recombination.
  • aluminum oxide can provide flux of oxygen or hydrogen radicals, whereas conventional separation grids are more prone to their recombination.
  • separation grid 600 has a plurality of holes 602. As shown in FIG. 6B, the plurality of holes 602 are disposed through the separation grid (for example, the holes 602 traverse the thickness of the separation grid).
  • the plurality of holes 602 may have an average diameter that is from about 4 mm to about 6 mm.
  • each hole of the plurality of holes 602 has a diameter (D1) that is from about 4 mm to about 6 mm.
  • the separation grid 600 of FIGS. 6A, 6B has a thickness that is from about 5 mm to about 10 mm, which defines the hole length (L 1 ).
  • a ratio of the grid thickness (length (L 1 )) to the average diameter of the plurality of holes may be greater than about 1, such as about 1 to about 3.
  • Exhaust 192 is coupled with a sidewall of processing chamber 110.
  • FIGS. 2, 3, 4, and 5 are schematic diagrams of a plasma processing apparatus, according to some embodiments of the present disclosure.
  • Plasma processing apparatus of FIGS.2, 3, 4, and 5 may be constructed in a similar manner to plasma processing apparatus 100 (FIG. 1) and operate in a manner described above for plasma processing apparatus 100. It will be understood that the components of plasma processing apparatus FIGS.
  • plasma processing apparatus 200 includes a processing chamber 220 which has a separation grid (not shown) disposed therein.
  • the plasma processing apparatus 200 includes a plasma source 222 along a vertical direction V.
  • a substrate may be positioned in the processing chamber directly below the grid and some distance from the grid, and neutral particles from a plasma source interior 230 may flow downward through separation grid toward the substrate in the processing chamber 220, and the neutral particles may contact the substrate to perform a process, for example, a surface treatment process.
  • a plurality of induction coils 250 are disposed at a different position along the vertical direction V on plasma source 222, for example, such that the induction coils (for example, 252 and 254) are spaced from each other along the vertical direction V along plasma source 222.
  • the induction coils 250 may include a first induction coil (peripheral induction coil 252) and a second induction coil (center induction coil 254).
  • the first induction coil (peripheral induction coil 252) may be positioned at a first vertical position along a vertical surface of a dielectric sidewall 232.
  • Second induction coil (center induction coil 254) may be positioned at a second vertical position along a vertical surface of the dielectric sidewall 232.
  • the first vertical position is different from the second vertical position.
  • the first vertical position may be above the second vertical position.
  • a portion of the first induction coil (peripheral induction coil 252) is substantially aligned with a surface 180 of the insert as was described above.
  • the second induction coil (center induction coil 254) is disposed at a bottom (for example, lower) portion of the plasma source.
  • the second induction coil includes magnetic field concentrator(s) 280, allowing a placement of the coil in the bottom of the plasma source, as shown in FIG. 2.
  • the use of magnetic field concentrator(s) 280 increases efficiency of the plasma generation at the bottom of the source and significantly increases the radial control near the substrate (as compared to the absence of magnetic field concentrators).
  • the center induction coil 254 is disposed at a bottom 1/3 height, such as a bottom 1/4 height, of the plasma source 222.
  • the induction coils 250 (252, 254) may be operable to generate (or modify) an inductive plasma within plasma source interior 230.
  • the plasma processing apparatus 200 may include a first radio frequency power generator 262 (for example, RF generator and matching network) coupled with the peripheral induction coil 252.
  • the center induction coil 254 is coupled to a second radio frequency power generator 264 (for example, RF generator and matching network).
  • the frequency and/or power of RF energy applied by the first radio frequency power generator 262 to the first induction coil (peripheral induction coil 252) and the second radio frequency power generator 264 to the second induction coil (center induction coil 254), respectively, can be independent in order to better control process parameters of a surface treatment process.
  • frequency and/or power of RF energy applied by the second radio frequency power generator 264 can be less than the frequency and/or power of RF energy applied by the first radio frequency power generator 262.
  • the first radio frequency power generator 262 is operable to energize the peripheral induction coil 252 to generate the inductive plasma in the plasma source interior 230.
  • the first radio frequency power generator 262 may energize peripheral induction coil 252 with an alternating current (AC) of radio frequency (RF) such that the AC induces an alternating magnetic and electric fields inside the volume near peripheral induction coil 252 that heats electrons to generate the inductive plasma.
  • RF power is provided to the peripheral induction coil 252 at about 1 kW to about 15 kW, such as about 3 kW to about 15 kW.
  • the peripheral induction coil 252 may ignite and sustain a plasma in a wide pressure and flow range.
  • the second radio frequency power generator 264 is operable to energize center induction coil 254 to generate and/or modify plasma in plasma source interior 230.
  • the second radio frequency power generator 264 may energize the center induction coil 254 with an alternating current (AC) of radio frequency (RF) such that inductive RF electric field inside the volume adjacent to the center induction coil 254 accelerate electrons to generate plasma.
  • RF power is provided to center induction coil 254 at about 0.5 kW to about 6 kW, such as about 0.5 kW to about 3 kW.
  • the center induction coil 254 may modify the plasma density in the plasma processing apparatus 200. For example, the center induction coil 254 can tune the radial profile of the plasma to promote additional plasma uniformity moving toward a substrate in the processing chamber 220.
  • a dielectric sidewall 232 is positioned between induction coils 250 and plasma source 222.
  • the dielectric sidewall 232 has a generally cylindrical shape.
  • An electrically grounded Faraday shield 234 may be made of metal and/or is positioned between the induction coils 250 and the dielectric sidewall 232.
  • the Faraday shield 234 has a cylindrical shape and is disposed about the dielectric sidewall 232.
  • the grounded faraday shield 234 extends the length of the plasma source 222.
  • the dielectric sidewall 232 contains plasma within plasma source interior 230 allowing RF fields from induction coils 250 to pass through to the plasma source interior 230, and the grounded Faraday shield 234 reduces capacitive coupling of the induction coils 250 to the plasma within the plasma source interior 230.
  • the Faraday shield 234 can be a metal cylinder having slots perpendicular to the coil direction. The vertical slots are in the area of the coil (for example, adjacent the coil), while at least one vertical end of the coil (above or below the coil) has a complete current path around the cylinder.
  • a Faraday shield may have any suitable thickness, and/or the slots may have any suitable shape.
  • each induction coil 250 is disposed at a different position along the vertical direction V on the plasma source 222 adjacent a vertical portion of a dielectric sidewall of the plasma source 222. In this way, each induction coil 250 can be operable to generate (or modify) a plasma in a region adjacent to the coil along the vertical surface of the dielectric sidewall 232 of the plasma source 222.
  • the plasma processing apparatus 200 includes one or more peripheral gas injection ports 270 disposed through a gas injection insert 240 of the plasma source 222, radially outward of the gas injection insert 240.
  • the peripheral gas injection port 270 and a side shape of the insert are operable to inject process gas at the periphery of the plasma source interior 230, directly into active plasma generation region adjacent the vertical surface of the dielectric sidewall 232.
  • the first induction coil (peripheral induction coil 252) can be operable to generate a plasma in region 272 proximate a vertical surface of the dielectric sidewall 232.
  • the second induction coil (center induction coil 254) can be operable to generate or modify a plasma present in region 275 proximate a vertical surface of the dielectric sidewall 232.
  • the gas injection insert 240 in some embodiments, can further define an active region for generation of the plasma in the plasma source interior 230 adjacent the vertical surface of the dielectric sidewall 232.
  • a top portion of a gas injection insert of the present disclosure can have a diameter that is from about 10 cm to about 15 cm.
  • a bottom portion of a gas injection insert of the present disclosure can have a diameter that is from about 7 cm to about 10 cm.
  • Plasma processing apparatus 200 can have an edge gas injection port 290 configured to introduce the same or different gas to volume 210 as the peripheral gas injection port 270 provides to plasma source interior 230.
  • Edge gas injection port 290 is coupled with the processing chamber 220 and is a top plate of the processing chamber 220.
  • Edge gas injection port 290 includes a plenum 292 (which may be circular) to which gas is introduced through inlet 294. Gas flows from the plenum 292 through one or more openings 296 to the volume 210.
  • the edge gas injection port 290 can provide fine tuning of the plasma chemistry near the edge of a substrate, and/or improve plasma uniformity at the substrate.
  • the edge gas injection port 290 can provide modification of the flow (same gas), and/or modification of chemistry (chemical reaction between plasma radicals and new feed gas or different gases).
  • Plasma processing apparatus 200 has improved source tunability relative to known plasma processing apparatus.
  • the induction coils 250 can be positioned in two locations along the vertical surface of the dielectric sidewall 232 such that functions of the peripheral induction coil 252 proximate to the active plasma generation region are plasma ignition and sustaining in the plasma source interior 230, and functions of the center induction coil 254 placed at the bottom of the source allow the advantageous source tunability.
  • the low positioning of the second coil is possible due to the use of magnetic field concentrator(s) 280, which provides coupling of the coil to plasma rather than to surrounding metal (for example, 290). In such a manner, a treatment process performed with the plasma processing apparatus 200 on a substrate may be more uniform.
  • FIG.3 is a schematic diagram of a plasma processing apparatus 300.
  • the plasma processing apparatus 300 includes a plasma source 322 and a processing chamber 220.
  • the plasma source 322 includes gas injection insert 302 having a peripheral gas injection port 270 and a center gas injection port 310.
  • the center gas injection port 310 is formed by a top plate 318 and a bottom plate 340 forming a plenum 316.
  • the bottom plate 340 has a plurality of holes (throughholes) 312 to enable the center gas injection port 310/gas injection insert 302 to have a plurality of the holes (throughholes) 312 for providing process gas into center process region 314.
  • the dimensions of center process region 314 are provided by portions of gas injection insert 302, namely center gas injection port 310 and sidewall 320.
  • the sidewall 320 has a cylindrical shape and is a dielectric material.
  • the sidewall 320 is formed from quartz or alumina.
  • the dimensions of region 272 are provided by dielectric sidewall 232 and gas injection insert 302, namely peripheral gas injection port 270 and sidewall 324.
  • the sidewall 324 (and gas injection insert 302 in general) can have a cylindrical shape.
  • the sidewall 324 surface material can be a dielectric material or a metal.
  • the sidewall 324 is formed from aluminum and may be covered with quartz, or alumina, or have bare or anodized aluminum surface.
  • a first Faraday shield (not shown) can be disposed between the peripheral induction coil 252 and the dielectric sidewall 232.
  • a second Faraday shield (not shown) can be disposed between the center induction coil 254 and the sidewall 320.
  • sidewall 320 is quartz or ceramic and/or has a thickness that is from about 2.5 mm to about 5 mm.
  • a flow rate of process gas provided by the peripheral gas injection port 270 via a conduit 326 to the region 272 can be greater than a flow rate of process gas provided by center gas injection port 310 to the center process region 314.
  • a ratio of flow rate of process gas provided by the peripheral gas injection port 270 to a flow rate of process gas provided by center gas injection port 310 is about 2:1 to about 20:1, such as about 5:1 to about 10:1.
  • the plasma processing apparatus 300 further includes the peripheral induction coil 252 and the center induction coil 254.
  • An RF power provided by peripheral induction coil 252 can be greater than an RF power provided by the center induction coil 254.
  • a ratio of RF power provided by peripheral induction coil 252 to RF power provided by the center induction coil 254 is about 2:1 to about 20:1, such as about 3:1 to about 10:1, such as about 5:1.
  • the secondary plasma source serves as auxiliary gas injection that reduces fluxes of radicals and ions/electrons created by the peripheral induction coil 252 toward the center of the substrate. Because plasma density is typically higher at a center of a substrate during conventional plasma processes, providing a greater RF power to the center induction coil 254 than RF power provided to the peripheral induction coil 252 promotes increased plasma density at an edge portion(s) of the substrate, improving plasma uniformity.
  • Plasma separator(s) 304 (cylindrical protrusions) between central and edge areas improve the capability of independent central-edge plasma control.
  • the peripheral induction coil 252 and the center induction coil 254 may be operable to generate (or modify) an inductive plasma within plasma source interior 330.
  • the plasma processing apparatus 300 may include a first radio frequency power generator 262 (for example, RF generator and matching network) coupled with the peripheral induction coil 252.
  • the center induction coil 254 is coupled to a second radio frequency power generator 264 (for example, RF generator and matching network).
  • the frequency and/or power of RF energy applied by the first radio frequency power generator 262 to the peripheral induction coil 252 and the second radio frequency power generator 264 to the center induction coil 254, respectively, can be adjusted to be the same or different to control process parameters of a substrate treatment process.
  • frequency and/or power of RF energy applied by the second radio frequency power generator 264 can be less than the frequency and/or power of RF energy applied by the first radio frequency power generator 262.
  • the first radio frequency power generator 262 is operable to energize peripheral induction coil 252 to generate the inductive plasma in plasma source interior 330.
  • the first radio frequency power generator 262 may energize the peripheral induction coil 252 with an alternating current (AC) of radio frequency (RF) such that the AC induces an alternating magnetic field inside the peripheral induction coil 252 that heats a gas to generate the inductive plasma.
  • RF power is provided to the peripheral induction coil 252 at about 1 kW to about 15 kW, such as about 3 kW to about 10 kW.
  • the second radio frequency power generator 264 is operable to energize the center induction coil 254 to generate and/or modify an inductive plasma in the center process region 314 of the plasma source 322.
  • the second radio frequency power generator 264 may energize the center induction coil 254 with an alternating current (AC) of radio frequency (RF) such that the AC induces an alternating magnetic field inside the center induction coil 254 that heats a gas to generate and/or modify the inductive plasma.
  • RF power is provided to the center induction coil 254 at about 0.3 kW to about 3 kW, such as about 0.5 kW to about 2 kW.
  • the center induction coil 254 may modify the plasma in the plasma processing apparatus 300, for example, the center induction coil 254 can tune the radial profile of the plasma to promote additional plasma uniformity moving toward a substrate in the processing chamber 220.
  • the plasma processing apparatus 300 includes a peripheral gas injection port 270 operable to inject process gas at the periphery of the region 272 along a vertical surface of the dielectric sidewall 232, defining active plasma generation region(s) adjacent the vertical surface of the dielectric sidewall 232.
  • the peripheral induction coil 252 can be operable to generate a plasma in the region 272 proximate a vertical surface of the dielectric sidewall 232.
  • the center induction coil 254 can be operable to generate and/or modify a plasma present in a center process region 314 proximate a vertical surface of the sidewall 320.
  • the gas injection insert 302 in some embodiments, can further define an active region for generation of the plasma in the plasma source interior adjacent the vertical surface of the dielectric sidewall 232 and the vertical surface of the sidewall 320.
  • the substrate can be provided some overlap of the process plasma formed in the center process region 314 with the process plasma formed in the region 272.
  • peripheral gas injection port 270/center gas injection port 310 and peripheral induction coil 252/center induction coil 254 can provide improved plasma and process uniformity (center-to-edge plasma control) for treating a substrate with a plasma.
  • the gas injection insert 302 includes a plasma separator(s) 304.
  • the plasma separator(s) 304 may be a uniform cylindrical separator coupled with (for example, disposed along) surface 180.
  • new plasma chemistries may be obtained as compared to conventional plasma processes using a conventional plasma source. For example, advantageous processing of substrates may be provided, which cannot be obtained in conventional plasma processing.
  • FIG. 4 is a schematic diagram of a plasma processing apparatus 400.
  • the plasma processing apparatus 400 includes a plasma source 422.
  • the plasma source 422 includes a gas injection insert 402, which can be integrated with the top cover, a peripheral gas injection port 270, and a center gas injection port 410.
  • the center gas injection port 410 is disposed within the gas injection insert 402 to fluidly couple the center gas injection port 410 with a gas distribution plenum 416 of gas injection insert 402.
  • the gas distribution plenum 416 provides an increased diameter (as compared to a diameter of the center gas injection port 410) for a process gas to distribute uniformly before the process gas enters the exhaust region between the bottom of the gas injection insert 402 and the platform 414.
  • the platform 414 provides a second gas distribution plenum and promotes an outward flow of the gas to a periphery (for example, into regions 272) of the plasma source 422.
  • the material to form the holes 412 is absent and a larger plenum is formed.
  • the platform 414 can be coupled with the gas injection insert 402 via a plurality of screws or bolts (not shown).
  • the platform 414 can be made of quartz or ceramic.
  • the platform 414 can have any suitable design, which allows different materials.
  • the outward/sideways flow of gas promoted by the platform 414 can affect the flow profile of gas/plasma to a substrate during processing, improving center-to-edge uniformity, as compared to conventional plasma process apparatus.
  • this outward flow of the gas to a region adjacent to a plasma generation region (for example, region 272) of the plasma source 422 provides benefits.
  • a unique mix of plasma can be created if one mixes a plasma generated flow of radicals and excited species (for example, some embodiments of region 272) with a new flow of gas that does not pass through the region 272 with hot electrons (for example, the process gas provided by center gas injection port 410 and platform 414/region 418).
  • a plasma generated flow of radicals and excited species for example, some embodiments of region 272
  • hot electrons for example, the process gas provided by center gas injection port 410 and platform 414/region 418.
  • a ratio of flow rate of process gas provided by the peripheral gas injection port 270 to a flow rate of process gas provided by the center gas injection port 410 is about 20:1 to about 1:20, such as about 10:1 to about 1:10, such as about 2:1 to about 1:2, such as about 1.2:1 to about 1:1.2, such as about 1:1.
  • Such flow rates may provide a stoichiometry (for example, substantially equimolar amounts) of the different process gases to provide desired densities of chemical species in a plasma formed in regions 272.
  • the outward/sideways flow provided by center gas injection port 410 and platform 414/region 418 can modify flow patterns within the plasma source 422 affecting delivery profile of radicals to the substrate. For example, in embodiments where the process gas provided by the center gas injection port 410 is substantially the same as the process gas provided by the peripheral gas injection port 270, more plasma flow is promoted toward an edge of a substrate, improving the center-edge plasma profile (for example, uniformity of plasma provided to the substrate).
  • process gas provided by the center gas injection port 410 is different than process gas provided by the peripheral gas injection port 270
  • new plasma chemistries may be obtained as compared to conventional plasma processes using a conventional plasma source.
  • advantageous processing of substrates may be provided, which cannot be obtained in conventional plasma processing.
  • a unique mix of plasma can be created if one mixes a plasma generated flow of radicals and excited species (for example, some embodiments of region 272) with a new flow of gas that does not pass through the plasma region with hot electrons.
  • FIG. 5 is a schematic diagram of a plasma processing apparatus 500.
  • Plasma processing apparatus 500 includes plasma source 522 and processing chamber 220.
  • the plasma source 522 includes a gas injection insert 240, a peripheral gas injection port 270, a center gas injection port 510, and top plate 124.
  • the center gas injection port 510 can be disposed proximate (for example, adjacent) a wall 550.
  • Center gas injection includes a center gas injection port 510 having a generally cylindrical plenum/manifold and a plurality of angled outlets 512 uniformly spread along the plenum.
  • the gas injection insert 240 can likewise have a generally cylindrical shape.
  • the center gas injection port 510 has angled outlet 512 to promote outward/sideways flow of process gas provided by the center gas injection port 510 and angled outlets 512.
  • the angled outlets 512 can have an angle that is from about 0 degree to about 90 degrees, such as about 30 degrees to about 60 degrees, such as about 45 degrees, relative to a vertical axis (such as vertical axis 186, which is parallel to an axial centerline of the plasma processing apparatus 500 and/or the axial centerline of the plasma source 522).
  • the outward/sideways flow of gas promoted by angled outlet 512 can affect the flow profile of gas/plasma to a substrate during processing, improving center-to-edge uniformity, as compared to conventional plasma process apparatus.
  • a high plasma density can be created in a region adjacent the induction coil 130 (and the electric field does not penetrate far away from the coil)
  • new plasma chemistries can be obtained as compared to conventional plasma processes using a plasma processing chamber.
  • a unique mix of plasma can be created if one mixes a plasma generated flow of radicals and excited species (for example, some embodiments of region 272) with a new flow of gas that does not pass through the plasma region with hot electrons (for example, the process gas provided by the center gas injection port 510 and angled outlet 512).
  • N-radicals obtained in plasma from the N 2 feed gas (for example, from gas provided by peripheral gas injection port 270) with a flow of N 2 , hydrazine, and/or NH 3 (for example, from gas provided by center gas injection port 510), where one can generate molecular radicals like NH, NH 2 molecules, etc. in region 272 adjacent the induction coil 130.
  • N 2 feed gas for example, from gas provided by peripheral gas injection port 270
  • NH 3 for example, from gas provided by center gas injection port 510
  • formation of these unique plasma chemistries can be obtained in embodiments utilizing alignment of induction coil 130 with surface 180, as described above.
  • a ratio of flow rate of process gas provided by the peripheral gas injection port 270 to a flow rate of process gas provided by center gas injection port 510 is about 2:1 to about 1:2, such as about 1.2:1 to about 1:1.2, such as about 1:1.
  • Such flow rates may provide a stoichiometry (for example, substantially equimolar amounts) of the different process gases to provide desired densities of chemical species in a plasma formed in regions 272.
  • the outward/sideways flow provided by center gas injection port 510 and angled outlets 512 can modify flow patterns within the plasma source 522 affecting delivery profile of radicals to the substrate.
  • gas injection insert 240 of FIG. 5 has a fixed edge at the surface 180, defining the active region that marks the axis 184 (or alignment level) for the induction coil 130.
  • the induction coil 130 is substantially aligned with surface 180 in such a way that the top turn of the coil is positioned above the axis 184 (surface 180), and the bottom turn is positioned below the edge.
  • Alignment of coil vertical center with surface 180 provides improved source efficiency, namely controlled generation of desired chemical species for plasma processes and delivering them to the substrate with minimum losses.
  • plasma sustaining conditions might not work well for generating species for a plasma process.
  • efficiency can depend on the volume and wall recombination of these particular species.
  • control of the alignment of the induction coil 130 with the surface 180 (edge) provides control of the source efficiency for a plasma process.
  • a bottom surface of gas injection insert 240 is aligned with the surface 180 of the insert defining the active region for the coil (this alignment level is shown as axis 184) by utilizing a suitably sized gas injection insert 240 to form the plasma source 120.
  • the bottom surface of the gas injection insert 240 can be made flexible using a movable central part as shown in FIG.5 of the gas injection insert 240, while a remainder portion of gas injection insert 240 is fixed as part of plasma source 120.
  • a mechanism 170 can be electronically coupled with the central part of gas injection insert 240 to adjust the central part such that the central part of gas injection insert 240 having a first position is adjusted to a second position.
  • a difference in position from the first position to the second position is about 0.1 cm to about 10 cm, such as about 1 cm to about 2 cm.
  • Mechanism 170 can be any suitable mechanism, such as an actuator, for example a motor, electric motor, stepper motor, or pneumatic actuator. Movement of the central part of the gas injection insert 240 by mechanism 170 increases or decreases a space between the central part and top plate 124. [0071] In general, moving the central part of the gas injection insert 240 downward along a vertical direction V will reduce the flow of active species toward the center of the substrate and thus decrease the process rate in the center vs. edge, while moving the central part upward will increase the process rate in the center vs. edge. [0072] Although the FIGS.
  • FIG. 7 is an induction coil 130 that can be used with a plasma source.
  • Induction coil 130 includes a plurality of coil loops including coil loop 182.
  • the induction coil 130 includes 3 complete coils, but more or less coils are contemplated.
  • an induction coil may have 2-6 complete turns for RF frequency of 13.56MHz. More turns may be utilized for lower RF frequency.
  • Example Methods [0074] The present disclosure is also related to plasma processing of a substrate with a plasma processing apparatus of the present disclosure.
  • the plasma processing is a nitridation method that, for example, increases the amount of nitrogen in the substrate being processed.
  • the nitridation method is a radical species nitridation using plasma processing.
  • Embodiments of the methods described herein can enable, for example, higher nitrogen dosing and nitride thickness of a substrate being processed with lower thermal budgets relative to conventional nitridation methods.
  • FIG. 8 is a flowchart showing example operations of a method 800 for plasma processing a substrate with a plasma processing apparatus of the present disclosure.
  • Embodiments of the plasma processing apparatus shown in FIGS. 1-7 can be utilized with the method 800, though the method is not limited to such embodiments.
  • Method 800 includes introducing a process gas into a plasma processing source at operation 810.
  • the process gas and flow rate thereof are selected based on a particular substrate processing application.
  • the process gas can include at least one of N 2 , NH 3 , hydrazine (N 2 H 4 ), O 2 , H 2 , a noble gas (He, Ar, Ne, Kr, Xe), or combinations thereof.
  • the noble gas can serve as a carrier gas.
  • a flow rate of the process gas and/or carrier gas can be from about 50 sccm to about 20,000 sccm as further described below.
  • Other process gases and/or carrier gases, as well as flow rates thereof, are contemplated.
  • Illustrative, but non-limiting, examples of substrates that can be utilized with methods described herein include silicon-containing substrates such as crystalline-Si (c-Si), amorphous-Si (a-Si), and polycrystalline-Si (poly-Si); silicon- and germanium-containing substrates including SiGe, amorphous-SiGe (a-SiGe), and polycrystalline-SiGe (poly-SiGe); silicon oxides (SiO x ) such as SiO 2 ; silicon nitrides (SiN x ) such as Si 3 N 4 ; hafnium oxides (HfO x ) such as HfO 2 ; hafnium zirconium oxides (Hf x Zr y O z ); and combinations thereof.
  • silicon-containing substrates such as crystalline-Si (c-Si), amorphous-Si (a-Si), and polycrystalline-Si (poly-Si); silicon oxide
  • the substrates can be logic CMOS structures such as planar FETs, FinFETs, and gate all around structures, dynamic random-access memory (DRAM) structures, 3D NAND structures, and high-aspect ratio structures having an aspect ratio of greater than about 20:1, such as from about 20:1 to about 200:1, such as from about 100:1 to about 200:1.
  • the method 800 further includes providing a radio frequency power to generate an inductively-coupled plasma within the plasma source at operation 820.
  • the radio frequency power may be controlled based on the particular substrate processing application. In general, the radio frequency power may be about 1 kW to about 10 kW, however other power levels are also contemplated.
  • the method 800 further includes processing the substrate within the processing chamber at operation 830.
  • the temperature and pressure of the processing chamber can be controlled based on the particular substrate processing application.
  • the processing chamber can be operated at a temperature that is from about 100°C to about 1200°C, such as from about 150°C to about 650°C; and/or a pressure that is from about 0.025 Torr (25 millitorr (mTorr)) to about 5 Torr, such as from about 0.050 Torr (50 mTorr) to about 2 Torr.
  • a heat source used to control the temperature of the processing chamber can include a lamp 176 (or plurality of lamps) positioned above the substrate, a lamp (or plurality of lamps) positioned below the substrate, a heater embedded within the substrate support, or combinations thereof.
  • the plasma injection would occur from the side of the processing chamber.
  • Other heat sources are contemplated. These heat sources, or other heat sources, can be operated at temperatures described herein.
  • the substrate is heated using either a ceramic resistive heater and/or using an array of lamps.
  • the substrate in the processing chamber may be exposed to neutral particles and/or radicals generated in the inductive plasma that pass through the separation grid.
  • the plasma, including neutral particles and/or radicals contained therein, can contact a first side of the substrate facing the plasma source.
  • the substrate is heated using a heat source (for example, a plurality of lamps) disposed opposite the first side of the substrate.
  • the neutral particles and/or radicals can be used, for instance, as part of a surface treatment process of the substrate.
  • gas flow rates and/or gas ratios may be selected so that the surface of the substrate is saturated with the reactant supply of neutral particles and/or radicals.
  • the capability of the apparatus disclosed herein to provide for surface saturation of the reactive species can be attributed to a very high density source and a shortened distance between the plasma source and the substrate.
  • the arrival rate of the reactive species to the substrate surface determines the rate of reaction and/or incorporation of the reactive species.
  • reactive species are saturated on the surface due to high species flux such that diffusion of the reactive species becomes the dominating factor.
  • processing times for the operation 830 may be about 10 seconds to about 10 minutes depending on the particular substrate processing application, however other processing times are also contemplated. It will be appreciated that the method 800 can be performed using any of the plasma processing apparatus of the present disclosure.
  • the plasma can be generated by energizing one or more induction coils proximate the plasma source with RF energy to generate a plasma using a process gas introduced into the plasma source.
  • process gas can be admitted into the plasma source from a gas source.
  • RF energy from RF source(s) can be applied to induction coil(s) to generate a plasma in the plasma source.
  • the method 800 can be used for an array of different substrate processing applications including without limitation, nitrogen radical treatment (for example, nitridation), oxygen radical treatment (for example, oxidation), hydrogen radical treatment, helium radical treatment, and various pre- and post-treatments.
  • Method 900 begins with positioning a substrate within a processing chamber at operation 910.
  • substrate 114 can be positioned on substrate support 112 in the processing region/volume of the processing chamber 110.
  • the processing chamber can have a plasma processing source that is integrated within and/or positioned above the processing chamber (or positioned above the processing region/volume of the processing chamber).
  • the plasma processing source includes an inductively-coupled plasma source that can be operated at desired power levels, further described below.
  • substrates that can be utilized with method 900 described herein include silicon-containing substrates such as crystalline-Si (c-Si), amorphous-Si (a-Si), and polycrystalline-Si (poly-Si); silicon- and germanium-containing substrates including SiGe, crystalline-SiGe, amorphous- SiGe (a-SiGe), and polycrystalline-SiGe (poly-SiGe); silicon oxides (SiO x ) such as SiO 2 ; silicon nitrides (SiN x ) such as Si 3 N 4 ; hafnium oxides (HfO x ) such as HfO 2 ; hafnium zirconium oxides (Hf x Zr y O z ); and combinations thereof.
  • the substrates can be logic CMOS structures such as planar FETs, FinFETs, and gate all around structures, DRAM structures, 3D NAND structures, and high-aspect ratio structures having an aspect ratio of greater than about 20:1, such as from about 20:1 to about 200:1, such as from about 100:1 to about 200:1.
  • the method 900 further includes flowing a process gas into the plasma processing source at operation 920.
  • the process gas can be flowed into a gas injection channel defined between a gas injection insert and a sidewall of a plasma source.
  • the process gas can include a nitrogen-containing source (or plurality thereof) and a carrier gas (or plurality thereof).
  • nitrogen-containing sources include NH 3 , N 2 , hydrazine (N 2 H 4 ), and combinations thereof.
  • carrier gases include noble gases such as Ar, He, Ne, Kr, Xe, and combinations thereof.
  • a flow rate of the nitrogen-containing source into the plasma processing source can be about 50 sccm to about 5,000 sccm, such as from about 100 sccm to about 700 sccm, such as from about 150 sccm to about 650 sccm, such as from about 200 sccm to about 600 sccm, such as from about 250 sccm to about 550 sccm, such as from about 300 sccm to about 500 sccm, such as from about 350 sccm to about 450 sccm. Higher or lower flow rates of the nitrogen-containing source are contemplated.
  • a flow rate of the carrier gas into the plasma processing source can be about 1000 sccm to about 20000 sccm, such as from about 1500 sccm to about 4500 sccm, such as from about 2000 sccm to about 4000 sccm, such as from about 2500 sccm to about 3500 sccm, such as from about 2500 sccm to about 3000 sccm, or from about 3000 sccm to about 3500 sccm. Higher or lower flow rates of the carrier gas are contemplated.
  • a flow rate of the nitrogen-containing source can be set relative to a flow rate of the carrier gas.
  • a flow rate of the nitrogen- containing source can be about 1% to about 25% of the flow rate of the carrier gas, such as from about 2% to about 22%, such as from about 3% to about 20%, such as from about 5% to about 15%, such as from about 8% to about 12%, from about 5% to about 10%, or from about 10% to about 15% of the flow rate of the carrier gas.
  • the flow rate of the nitrogen containing source can be from about 3% to about 100% of the flow rate of the carrier gas, such as from about 3% to about 20%, such as from about 5% to about 15%. Higher or lower ratios are contemplated.
  • the method 900 can further include generating an inductive plasma (or inductively-coupled plasma) within the plasma source at operation 930.
  • the inductively-coupled plasma includes radical species formed from the process gas, for example, radical species formed from one or more nitrogen-containing sources and/or radical species formed from one or more carrier gases.
  • radical species can include N and/or NH, for example, N* and/or NH*.
  • the radio frequency power of the ICP source can be operated at a power that is from about 1,000 W to about 12,000 W, such as from about 2,000 W to about 10,000 W, such as from about 3,000 W to about 9,000 W, such as from about 4,000 W to about 8,000 W, such as from about 5,000 W to about 7,000 W, though a higher or lower power is contemplated.
  • the radio frequency power generates an inductive plasma (or inductively-coupled plasma) adjacent the plasma source. Radicals and/or neutral particles of the inductive plasma can flow through a separation grid to the substrate 114 within the processing chamber. Although a separation grid is shown in FIG. 1, the method 900 may be carried out without a separation grid.
  • the heat source includes a lamp having a plasma source output that can be adjusted depending on the desired application.
  • the plasma source output can be from about 2” ( ⁇ 2 inches) in diameter to about 15 inches in diameter, such as from about 2 inches in diameter to about 14 inches in diameter, such as from about 4 inches in diameter to about 12 inches in diameter, such as from about 6 inches in diameter to about 10 inches in diameter.
  • the heat source includes a lamp having a plasma source output that is from about 2 inches in diameter to about 12 inches in diameter or from about 4 inches to about 12 inches in diameter. These diameters are the diameter of the outlet of the plasma cavity from which the radical species exits from the plasma cavity and travels out towards the substrate.
  • the method 900 can further include processing the substrate (or a layer of the substrate) with the plasma comprising the one or more radical species at operation 940.
  • Processing the substrate can include nitriding the substrate (or a layer of the substrate).
  • Operation 940 can include operating the processing chamber at selected temperature and pressure.
  • a heat source can be operated at a temperature that is from about 100°C to about 1200°C, such as from about 150°C to about 650°C, such as from about 200°C to about 600°C, such as from about 250°C to about 550°C, such as from about 300°C to about 500°C, such as from about 350°C to about 450°C, such as from about 350°C to about 400°C or from about 400°C to about 450°C.
  • the heat source used to control the temperature of the processing chamber can include a lamp positioned above the substrate, a lamp positioned below the substrate, a heater embedded within the substrate support (for example, a pedestal having resistive heating elements embedded therein or coupled thereto), or combinations thereof.
  • a pressure of the processing chamber for operation 940 can be set or maintained at a pressure that is from about 25 mTorr to about 5 Torr, such as from about 50 mTorr to about 2 Torr, such as from about 100 mTorr to about 1.25 Torr, such as from about 200 mTorr to about 1 Torr, such as from about 300 mTorr to about 900 mTorr, such as from about 400 mTorr to about 800 mTorr, such as from about 500 mTorr to about 700 mTorr, such as from about 500 mTorr to about 600 mTorr or from about 600 mTorr to about 700 mTorr.
  • the processing time of operation 940 can be about 5 seconds or more and/or about 10 minutes or less, such as from about 15 seconds to about 10 minutes, such as from about 30 seconds to about 5 minutes, such as from about 1 minute to about 4 minutes, such as from about 2 minutes to about 3 minutes, however longer or shorter processing times are contemplated.
  • the plasma comprising the one or more radical species can be introduced to the processing chamber.
  • the plasma can be flowed through a separation grid, if desired.
  • the plasma can contact one or more sides of a substrate while one or more sides of the substrate are heated.
  • the plasma can contact a first side of the substrate while the substrate is heated using a heat source located on a second side of the substrate.
  • the first side and the second side can be the same or different sides of the substrate.
  • the first side of the substrate can be the side that faces the separation grid when a separation grid is utilized.
  • Exposure of the substrate to the plasma comprising the one or more radical species in operation 940 enables, for example, the tunability of the nitrogen content and other properties of the substrate or a layer of the substrate being processed.
  • the nitride thickness of the substrate to be adjusted. That is, the substrate (or layer of the substrate) can be made more nitrogen dense by embodiments described herein.
  • the substrate can have one or more of the following properties as described below: [00102]
  • a nitride thickness of the substrate (or a layer of the substrate) can be about 25 angstroms ( ⁇ ) to about 50 ⁇ , such as from about 30 ⁇ to about 40 ⁇ , though larger or smaller values for nitride thickness are contemplated.
  • Nitride thickness is determined by x-ray photoelectron spectroscopy.
  • a change in nitride thickness of the substrate (or a layer of the substrate) can be about 5 ⁇ to about 50 ⁇ , such as from about 10 ⁇ to about 40 ⁇ , such as from about 20 ⁇ to about 30 ⁇ .
  • a number of nitrogen atoms per unit area (nitrogen dose) of the substrate (or a layer of the substrate) can be about 5x10 15 atoms/cm 2 to about 50x10 15 atoms/cm 2 , such as from about 10x10 15 atoms/cm 2 to about 40x10 15 atoms/cm 2 , such as from about 15x10 15 atoms/cm 2 to about 35x10 15 atoms/cm 2 , such as from about 20x10 15 atoms/cm 2 to about 30x10 15 atoms/cm 2 .
  • the number of nitrogen atoms per unit area (nitrogen dose) of the substrate (or a layer of the substrate) is from about 10x10 15 atoms/cm 2 to about 25x10 15 atoms/cm 2 , such as from about 12x10 15 atoms/cm 2 to about 20x10 15 atoms/cm 2 , such as from about 14x10 15 atoms/cm 2 to about 18x10 15 atoms/cm 2 , though larger or smaller values are contemplated.
  • the number of nitrogen atoms per unit area is determined by x-ray photoelectron spectroscopy.
  • a change in number of nitrogen atoms per unit area (nitrogen dose) of the substrate (or a layer of the substrate) can be about 1x10 15 atom/cm 2 to about 50x10 15 atoms/cm 2 , 5x10 15 atoms/cm 2 to about 45x10 15 atoms/cm 2 , such as from about 10x10 15 atoms/cm 2 to about 40x10 15 atoms/cm 2 , such as from about 15x10 15 atoms/cm 2 to about 35x10 15 atoms/cm 2 , such as from about 20x10 15 atoms/cm 2 to about 30x10 15 atoms/cm 2 .
  • the change in number of nitrogen atoms per unit area (nitrogen dose) of the substrate (or a layer of the substrate) is from about 1x10 15 atom/cm 2 to about 30x10 15 atoms/cm 2 , 10x10 15 atoms/cm 2 to about 25x10 15 atoms/cm 2 , such as from about 12x10 15 atoms/cm 2 to about 20x10 15 atoms/cm 2 , such as from about 14x10 15 atoms/cm 2 to about 18x10 15 atoms/cm 2 , though larger or smaller values are contemplated.
  • the change in number of nitrogen atoms per unit area is determined by x-ray photoelectron spectroscopy.
  • a percent nitrogen content (in units of atomic percent) in the layer of the substrate (or a layer of the substrate) can be about 1% or more and/or about 45% or less, such as from about 3% to about 40%, such as from about 5% to about 35%, such as from about 10% to about 30%, such as from about 15% to about 25%, such as from about 10% to about 20%, based on a total amount of material in the layer or the substrate. Larger or smaller values for the atomic percent of nitrogen are contemplated.
  • the percent nitrogen content is determined by x-ray photoelectron spectroscopy.
  • a change in percent nitrogen content in the layer of the substrate can be about 1% or more and/or about 500% or more, such as from about 50% to about 400%, such as from about 75% to about 200%, such as from about 100% to about 150%. Larger or smaller changes in percent nitrogen content are contemplated.
  • the change in percent nitrogen content is determined by x-ray photoelectron spectroscopy.
  • the nitrogen content can increase by about 1% or more, and/or 50% or less, such as from about 5% to about 45%, such as from about 10% to about 40%, such as from about 15% to about 35%, such as from about 20% to about 30%, based on a total amount of material in the layer or the substrate.
  • a substrate undergoing the nitridation method can include a layer of silicon oxide having a thickness that is from about 10 angstroms to about 100 angstroms.
  • silicon oxide nitridation at least one of diatomic nitrogen (N 2 ), ammonia (NH 3 ), hydrazine, or other nitrogen containing source, or a mixture thereof, is introduced to the plasma processing source to generate N* and/or NH* radicals.
  • the silicon oxide nitridation performed using the plasma processing apparatus and methods of the present disclosure can increase nitrogen content in silicon oxide relative to rapid thermal nitridation processes at approximately the same level of nitrogen conformality.
  • the silicon oxide nitridation processes described herein also increase nitrogen conformality in silicon oxide relative to ion driven decoupled plasma nitridation processes. Silicon oxide nitridation described herein can form peaks in nitrogen content at both the silicon-silicon oxide interface and the silicon oxide surface due to diffusion of N* and/or NH* radicals from the surface to the interface. Furthermore, the silicon oxide nitridation described herein can increase conformality on gate all around (GAA) semiconductor structures.
  • GAA gate all around
  • nitridation of SiO 2 led to a higher nitrogen content, a higher number of nitrogen atoms per unit area, a higher nitride thickness, among other properties when, for example, the method is performed with a greater amount of N 2 than the amount of NH 3 or hydrazine.
  • nitridation of hafnium oxide led to a higher nitrogen content, a higher number of nitrogen atoms per unit area, a higher nitride thickness, among other properties when, for example, the method is performed with a greater amount of NH 3 or hydrazine than the amount of N 2 .
  • the plasma processing apparatus of the present disclosure may be used for silicon (Si) nitridation.
  • a substrate undergoing the nitridation method can include a layer of silicon which can be treated with nitrogen radicals to form a conformal layer of silicon nitride (for example, SiN).
  • the silicon nitridation performed using the plasma processing apparatus and methods of the present disclosure can form a conformal layer of silicon nitride having a thickness that is from about 10 angstroms to about 60 angstroms.
  • the silicon nitridation described herein enables formation of substantially conformal nitrogen content on top and bottom sidewalls of polysilicon in a 3DNAND channel polysilicon (stack with an aspect ratio from 10:1 to 200:1).
  • nitridation of methods 800 and 900 can include a cleaning operation where the substrate (or a layer of the substrate) is pre- cleaned to remove contaminants such as surface oxidation from the layer being subject to nitridation as described herein.
  • Surface cleaning can be performed using wet chemistry such as dilute HF, ammonium hydroxide/peroxide, sulfuric acid/peroxide, and combinations thereof. Cleaning can be performed in the same process chamber as plasma processing described herein using plasma-generated species such as hydrogen radicals and/or oxygen radicals.
  • the substrate can undergo a hydrogen radical treatment and/or an oxygen radical treatment.
  • Cleaning, hydrogen radical treatment, and/or oxygen radical treatment can be performed in the same processing chamber or a different processing chamber as the nitrogen radical treatment (nitridation). Cleaning, nitrogen radical treatment, hydrogen radical treatment, and/or oxygen radical treatment can be performed in a cyclic process.
  • the methods described herein can be used for silicon nitridation (for example, bare Si nitridation). In addition, the methods described herein can be used for amorphous silicon (a-Si) nitridation. Here, the inventors found that embodiments described herein enable, for example, similar rates of nitridation for both crystalline silicon and amorphous silicon.
  • the nitridation processes described herein can be used with or without a separation grid.
  • Nitridations using different plasma source power densities were also compared. It was found that a plasma source output (for example, the plasma source interior 125) having a smaller diameter achieves similar or even improved nitride thickness and nitrogen atoms per unit area as compared to larger diameters of the plasma source output. Here, the inventors found that methods described herein can enable, for example, increased nitrogen thickness.
  • Nitridation of SiO films, such as PECVD oxide films and thermal grown oxide films, were also investigated. The inventors found that embodiments of the nitridation methods described herein can be utilized to increase the nitrogen content of these and other SiO films.
  • TEM transmission electron microscopy
  • Embodiments of the methods described herein can achieve, for example, a higher nitrogen dose (a higher number of nitrogen atoms per area of the substrate), higher nitride thickness, increased nitride depth, and improved conformality over existing processes.
  • compositions, an element or a group of elements are preceded with the transitional phrase “comprising,” it is understood that we also contemplate the same composition or group of elements with transitional phrases “consisting essentially of,” “consisting of,” “selected from the group of consisting of,” or “Is” preceding the recitation of the composition, element, or elements and vice versa, e.g., the terms “comprising,” “consisting essentially of,” “consisting of” also include the product of the combinations of elements listed after the term.
  • a range includes every point or individual value between its end points even though not explicitly recited.
  • every point or individual value may serve as its own lower or upper limit combined with any other point or individual value or any other lower or upper limit, to recite a range not explicitly recited.
  • the terms “approximately” or “about” refer to being within at least ⁇ 5% of the reference value.
  • the indefinite article “a” or “an” shall mean “at least one” unless specified to the contrary or the context clearly indicates otherwise.
  • aspects comprising “nanotube” include aspects comprising one, two, or more nanotubes, unless specified to the contrary or the context clearly indicates only one nanotube is included.
  • the terms “inner” and “outer”; “up” and “down”; “upper” and “lower”; “top” and “bottom”, “vertical” and “horizontal”, “upward” and “downward”; “above” and “below”; and other like terms as used herein refer to relative positions to one another and are not intended to denote a particular direction or spatial orientation of the overall source/apparatus.
  • the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Selon un mode de réalisation, l'invention concerne un procédé de nitruration d'un substrat. Le procédé comprend la mise en circulation d'une source contenant de l'azote et d'un gaz vecteur vers une source de traitement au plasma raccordée à une chambre de telle sorte qu'un débit de la source contenant de l'azote soit d'environ 3 % à 20 % d'un débit du gaz vecteur ; la génération d'un plasma à couplage inductif (ICP) dans la source de traitement au plasma par exploitation d'une source d'ICP, l'ICP comprenant une espèce radicalaire formée à partir de la source contenant de l'azote, du gaz porteur, ou des deux ; et la nitruration du substrat à l'intérieur de la chambre, la nitruration comprenant l'exploitation d'une source de chaleur à l'intérieur de la chambre à une température allant d'environ 150 °C à environ 650 °C pour chauffer le substrat ; le maintien d'une pression d'environ 50 mTorr à environ 2 Torr dans la chambre ; l'introduction de l'ICP dans la chambre ; et l'ajustement d'une caractéristique du substrat par exposition du substrat à l'espèce radicalaire.
PCT/US2022/040984 2021-10-26 2022-08-22 Traitement au plasma avec nitruration réglable WO2023075899A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020247017415A KR20240090966A (ko) 2021-10-26 2022-08-22 조정가능한 질화를 이용한 플라즈마 처리
CN202280071809.6A CN118160063A (zh) 2021-10-26 2022-08-22 具有可调氮化的等离子体处理

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163271964P 2021-10-26 2021-10-26
US63/271,964 2021-10-26

Publications (1)

Publication Number Publication Date
WO2023075899A1 true WO2023075899A1 (fr) 2023-05-04

Family

ID=86056537

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2022/040984 WO2023075899A1 (fr) 2021-10-26 2022-08-22 Traitement au plasma avec nitruration réglable

Country Status (5)

Country Link
US (1) US20230127138A1 (fr)
KR (1) KR20240090966A (fr)
CN (1) CN118160063A (fr)
TW (1) TW202334461A (fr)
WO (1) WO2023075899A1 (fr)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2398042B1 (fr) * 2009-02-12 2016-10-12 Mitsui Engineering & Shipbuilding Co., Ltd. Procédé de formation de couche mince
US20190051511A1 (en) * 2017-08-09 2019-02-14 Tokyo Electron Limited Method for depositing a silicon nitride film and film deposition apparatus
JP2020063462A (ja) * 2018-10-15 2020-04-23 株式会社神戸製鋼所 窒化処理装置および窒化処理方法
US20210202702A1 (en) * 2011-08-10 2021-07-01 Applied Materials, Inc. Method and apparatus for selective nitridation process
US20210269916A1 (en) * 2020-02-27 2021-09-02 Applied Materials, Inc. Conditioning Treatment For ALD Productivity

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2398042B1 (fr) * 2009-02-12 2016-10-12 Mitsui Engineering & Shipbuilding Co., Ltd. Procédé de formation de couche mince
US20210202702A1 (en) * 2011-08-10 2021-07-01 Applied Materials, Inc. Method and apparatus for selective nitridation process
US20190051511A1 (en) * 2017-08-09 2019-02-14 Tokyo Electron Limited Method for depositing a silicon nitride film and film deposition apparatus
JP2020063462A (ja) * 2018-10-15 2020-04-23 株式会社神戸製鋼所 窒化処理装置および窒化処理方法
US20210269916A1 (en) * 2020-02-27 2021-09-02 Applied Materials, Inc. Conditioning Treatment For ALD Productivity

Also Published As

Publication number Publication date
TW202334461A (zh) 2023-09-01
US20230127138A1 (en) 2023-04-27
KR20240090966A (ko) 2024-06-21
CN118160063A (zh) 2024-06-07

Similar Documents

Publication Publication Date Title
KR101155139B1 (ko) Cvd층의 등각성, 응력 및 조성을 개별적으로 변화시키는저온 cvd 프로세스
JP4246477B2 (ja) 解離及びイオン化の空間的制御のためのプラズマ処理装置
KR20110104001A (ko) 기존 구조에 대한 영향을 최소화하면서 실리콘에 산화물 박막을 성장시키는 방법 및 장치
US11081340B2 (en) Argon addition to remote plasma oxidation
KR20050075442A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
KR20070033930A (ko) 처리 장치
TW201415540A (zh) 電漿處理方法及電漿處理裝置
US20230369017A1 (en) Plasma sources and plasma processing apparatus thereof
US20230127138A1 (en) Plasma processing with tunable nitridation
US11854770B2 (en) Plasma processing with independent temperature control
US20240304422A1 (en) Plasma processing with independent temperature control
CN112368807B (zh) 工件的表面平滑化
CN113078081B (zh) 一种炉管机台
KR20070020571A (ko) 플라즈마 처리 장치

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22887880

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2024523605

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 202280071809.6

Country of ref document: CN

ENP Entry into the national phase

Ref document number: 20247017415

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 11202402364V

Country of ref document: SG