WO2023029007A1 - Method and device for electronic design automation - Google Patents

Method and device for electronic design automation Download PDF

Info

Publication number
WO2023029007A1
WO2023029007A1 PCT/CN2021/116544 CN2021116544W WO2023029007A1 WO 2023029007 A1 WO2023029007 A1 WO 2023029007A1 CN 2021116544 W CN2021116544 W CN 2021116544W WO 2023029007 A1 WO2023029007 A1 WO 2023029007A1
Authority
WO
WIPO (PCT)
Prior art keywords
transistor
layout
redundant
database
parasitic capacitance
Prior art date
Application number
PCT/CN2021/116544
Other languages
French (fr)
Chinese (zh)
Inventor
孙立杰
万光星
黄威森
余华涛
Original Assignee
华为技术有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 华为技术有限公司 filed Critical 华为技术有限公司
Priority to CN202180100893.5A priority Critical patent/CN117751364A/en
Priority to PCT/CN2021/116544 priority patent/WO2023029007A1/en
Publication of WO2023029007A1 publication Critical patent/WO2023029007A1/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Definitions

  • Embodiments of the present disclosure relate to electronic design automation (Electronic Design Automation, EDA), and more particularly, to methods and devices for electronic design automation.
  • EDA Electronic Design Automation
  • process planarization can avoid process defects such as short circuits or open circuits in integrated circuit products.
  • redundant patterns that do not affect circuit functions are inserted so that the density of the design layout is uniform enough.
  • redundant graphics will introduce a large number of parasitic capacitance and resistance networks in the layout, which will reduce the extraction efficiency of layout parasitic parameters.
  • the parasitic parameter netlist generated by redundant graphics is very large, which affects the efficiency of post-layout simulation and design iterations.
  • the current technical solution formulates redundant automatic filling codes according to design rules, and automatically inserts redundant graphics into the layout through physical verification software to obtain a layout database containing redundant graphics.
  • parasitic parameter extraction is performed by parasitic parameter extraction software to obtain a parasitic parameter netlist, and then post-layout simulation is performed.
  • the parasitic parameter extraction software extracts the layout database containing a large number of redundant graphics, which leads to the low parasitic parameter extraction efficiency of the current technical solution.
  • the layout database contains a large number of redundant graphics, which leads to the low post-layout simulation efficiency of the current technical solution.
  • the layout database contains a large number of redundant graphics, and the extraction of the layout database containing a large number of redundant graphics seriously affects the parasitic extraction efficiency and post-layout simulation efficiency.
  • Embodiments of the present disclosure provide an electronic design automation solution, in particular a parasitic parameter extraction solution for virtual redundant transistor filling.
  • a method of electronic design automation obtains a layout database representing a circuit design, the circuit design including transistors.
  • the circuit design may not include redundant transistors
  • the layout database may not include redundant transistors.
  • the parasitic capacitance database can be queried to determine the coupling capacitance between transistors in the circuit design and corresponding virtual redundant transistors.
  • the parasitic capacitance database may include a mapping relationship between layout sizes of transistors and coupling capacitances between transistors and dummy redundant transistors. Based on the obtained coupling capacitance between the transistor and the virtual redundant transistor, the method can determine a parasitic capacitance network of the transistor representing the parasitic capacitance of the transistor.
  • the method then generates a netlist representing the integrated circuit design based on the layout database and the parasitic capacitance network of the transistors.
  • the netlist may be referred to as a parasitic parameter netlist or a post-simulation netlist.
  • the coupling capacitance of a transistor to other conductive components represents the parasitic capacitance caused by the coupling between the transistor and the conductive component.
  • redundant graphics are first inserted into the layout, and then the parasitic parameters of the layout are extracted. Since the layout contains a large number of redundant graphics formed by redundant transistors (for example, redundant polygons, redundant networks, etc.), the efficiency of layout parasitic parameter extraction is low. Different from the current technical solutions, the layout database does not include redundant transistors when extracting parasitic parameters in the embodiments of the present disclosure. On the contrary, the embodiments of the present disclosure simulate the influence of redundant transistors on parasitic parameter extraction by virtual redundant transistors, thereby improving the efficiency of parasitic parameter extraction.
  • a coupling capacitor is connected between the transistor and ground to define the parasitic capacitance network of the transistor. In this way, the dummy redundant transistors can be removed, and only the parasitic capacitance caused by the dummy redundant transistors remains, thereby ensuring that redundant transistors are not introduced into the parasitic parameter netlist.
  • post-layout simulation is performed on the circuit design based on the parasitic netlist.
  • the parasitic parameter netlist obtained by the parasitic parameter extraction contains an extra parasitic capacitance network, thereby affecting the efficiency of the post-layout simulation.
  • the embodiments of the present disclosure use virtual redundant transistors to simulate the influence of redundant transistors on the extraction of layout parasitic parameters, thereby improving the efficiency of post-layout simulation.
  • redundant transistors corresponding to virtual redundant transistors are added to the layout database for use in generating mask data representing the circuit design. Adding redundant transistors to the layout database after post-layout simulation reduces the computational overhead of post-layout simulation.
  • a corresponding parasitic capacitance network may be determined for each electrode of the transistor.
  • the gate coupling capacitance between the gate of the transistor and the adjacent electrode of the virtual redundant transistor can be obtained by querying the parasitic capacitance database, and based on the gate coupling capacitance, the gate of the transistor can be determined pole parasitic capacitance network.
  • the source coupling capacitance between the source of the transistor and the adjacent electrode of the redundant transistor can be obtained by querying the parasitic capacitance database, and based on the source coupling capacitance, the source parasitic capacitance network of the transistor can be determined.
  • the drain coupling capacitance between the drain of the transistor and the adjacent electrode of the redundant transistor can be obtained by querying the parasitic capacitance database, and the drain parasitic capacitance network of the transistor can be determined based on the drain coupling capacitance.
  • the layout size of the virtual redundant transistor and the mapping relationship between the layout size of the virtual redundant transistor and the layout-dependent effect (Layout-Dependent Effect, LDE) parameter of the transistor are obtained; and based on the virtual redundant transistor
  • the layout size of the transistor can be compared with the layout size of the transistor defined in the parasitic capacitance database, so as to determine the transistor in the parasitic capacitance database that matches the transistor. Then, based on the coupling capacitance of the transistor in the parasitic capacitance database, the coupling capacitance corresponding to the transistor is determined. Obtaining the coupling capacitance by comparing and querying can improve the efficiency of parasitic parameter extraction.
  • the parasitic capacitance database may be generated based on the layout sizes of the predefined transistors and the layout sizes of virtual redundant transistors corresponding to the predefined transistors.
  • the parasitic capacitance database can be calculated by pattern matching or electromagnetic field solvers.
  • the layout hierarchies in the layout database are mapped to corresponding technology hierarchies based on a hierarchy mapping file for mapping layout hierarchies to technology hierarchies. In this way, other parasitic capacitances of the transistor besides the coupling capacitance can be determined.
  • the disclosure provides an apparatus.
  • the device comprises: a processor; and a memory coupled to the processor and containing instructions stored thereon which, when executed by the processor, cause the device to perform the method.
  • a computer-readable storage medium on which computer programs/instructions are stored, and when the computer program/instructions are executed by a processor, the steps of the method in the first aspect of the present disclosure are implemented.
  • a computer program product including computer programs/instructions, which implement the steps of the method in the first aspect of the present disclosure when executed by a processor.
  • FIG. 1 shows a flowchart of a method for designing an integrated circuit according to some embodiments of the present disclosure.
  • Fig. 2 shows a schematic diagram of a parasitic parameter extraction system according to some embodiments of the present disclosure.
  • FIG. 3 illustrates a layout of transistors according to some embodiments of the present disclosure.
  • FIG. 4 shows a layout of transistors according to some embodiments of the present disclosure.
  • FIG. 5 shows a layout of transistors according to some embodiments of the present disclosure.
  • FIG. 6 shows a layout of transistors according to some embodiments of the present disclosure.
  • FIG. 7 shows a schematic diagram of a gate capacitance network according to some embodiments of the present disclosure.
  • FIG. 8 shows a schematic diagram of a source capacitance network according to some embodiments of the present disclosure.
  • FIG. 9 shows a flowchart of a method for electronic design automation according to some embodiments of the present disclosure.
  • FIG. 10 shows a schematic block diagram of a device that can be used to implement embodiments of the present disclosure.
  • the term “comprising” and its similar expressions should be interpreted as an open inclusion, that is, “including but not limited to”.
  • the term “based on” should be understood as “based at least in part on”.
  • the term “one embodiment” or “the embodiment” should be read as “at least one embodiment”.
  • the terms “first”, “second”, etc. may refer to different or the same object.
  • the term “and/or” means at least one of the two items associated with it. For example "A and/or B" means A, B, or A and B. Other definitions, both express and implied, may also be included below.
  • FIG. 1 shows a flowchart of a method 100 for designing a semiconductor chip according to some embodiments of the present disclosure.
  • the method 100 can be implemented at least in part by an electronic design automation (Electronic Design Automation, EDA) tool.
  • EDA Electronic Design Automation
  • the functional requirements of the chip are defined.
  • the chip can be a processor, a memory chip, or a System on Chip (SoC) with multiple components. Functional requirements may include the nature of the chip and the performance goals of the chip.
  • SoC System on Chip
  • an Electronic System Level (ESL) description is generated based on the functional requirements of the chip.
  • An electronic system-level description focuses on higher levels of abstraction without considering lower-level implementations.
  • the goal of the ESL description is to increase the likelihood of successful functional implementation.
  • a Register Transfer Level (RTL) description is generated from the ESL description.
  • An RTL description is a description of a semiconductor chip design in terms of its operation. Specifically, the behavior of a circuit is defined in terms of the signal flow between hardware registers in the RTL description.
  • a Hardware Description Language HDL can be used to create a high-level representation of a circuit from which a low-level representation and ultimately the actual discrete components and wiring can be derived.
  • logic synthesis is performed on the RTL description of the chip, for example, the RTL description of the chip in HDL form is converted into a gate-level description of the chip.
  • the gate-level description is a discrete netlist of logic gate primitives, ie, netlist 110 .
  • the netlist 110 can be simulated to determine whether the design achieves a predetermined function or design intent. This simulation is also called "pre-simulation" or "pre-layout simulation”.
  • the chip is physically designed based on the netlist 110 to construct the physical layout of the chip. For example, you can place components such as logic gates and route the placed components to provide interconnections between the signal and power terminals of the components. In this way, a layout 114 of a chip can be constructed.
  • layout 114 is physically verified.
  • block 116 may include block 118, and at block 118, a design rule check (Design Rule Check, DRC) is performed on the layout 114 .
  • the layout is drawn according to design rules, which can be provided by the fab. In the design rule check, it is checked whether the drawing of the layout 114 satisfies corresponding design rules.
  • block 116 may further include block 120.
  • a layout versus schematic (Layout Versus Schematic, LVS) is performed on the layout 114, which is also called a consistency check.
  • LVS Layout Versus Schematic
  • block 116 may further include block 122 , and at block 122 , parasitic extraction (parasitic extraction, PEX) is performed on layout 114 .
  • parasitic parameter extraction parasitic parameters such as resistors and capacitors can be extracted from the layout 114, and a netlist including these parasitic parameters can be output, also called a parasitic parameter netlist.
  • the netlist including the parasitic parameters is simulated, which is also referred to as "post-simulation” or "post-layout simulation”. Therefore, the parasitic parameter netlist used for post-simulation is also called post-simulation netlist.
  • post-simulation the response of an actual digital and/or analog circuit is simulated by constructing an accurate analog model of the circuit.
  • layout post-processing may be performed on the layout 114 .
  • structures such as sealing rings can be added, resolution enhancement techniques can be applied, and so on.
  • mask data 128 may be generated for final chip fabrication.
  • Fig. 1 only shows a schematic flow chart of IC design. In some embodiments, some steps may be added or deleted, or the sequence of some steps may be modified.
  • FIG. 2 shows a schematic block diagram of a parasitic parameter extraction system 200 according to some embodiments of the present disclosure.
  • the parasitic parameter extraction system 200 may be implemented at block 122 as shown in FIG. 1 .
  • the parasitic parameter extraction system 200 includes a parasitic parameter extractor 204 , and the parasitic parameter extractor 204 extracts parasitic parameters from the layout database 202 to generate a netlist 212 .
  • Layout database 202 may be a database representation of layout 114 as shown in FIG. 1 .
  • no redundant transistors are inserted in the layout 114 before physical verification 116 is performed, ie, the layout 114 does not include redundant transistors.
  • Netlist 212 may be a post-simulation netlist for post-simulation as described with reference to FIG. 1 .
  • the netlist 212 includes the extracted parasitic parameters, so it can also be called a parasitic parameter netlist.
  • FIG. 3 illustrates a transistor layout 300 according to some embodiments of the present disclosure.
  • the layout 300 may be a part of the layout represented by the layout database 202 shown in FIG. 2 , or a part of the layout 114 shown in FIG. 1 .
  • the layout 300 includes a transistor 304 including a source electrode (S), a gate electrode (G) and a drain electrode (D).
  • layout 300 also includes a substrate terminal 302 of the transistor, wherein substrate terminal 302 includes a substrate electrode (B). As shown in FIG.
  • substrate 302 includes a plurality of fins 306 , in this example four fins. It should be understood that the number of fins is provided as an example only and that embodiments of the present disclosure may have any other suitable number of fins. As shown in FIG. 3 , each substrate electrode (B) vertically spans a plurality of fins 306 . In addition, the transistor 304 also includes a plurality of fins 308 , wherein the source electrode (S), gate electrode (G) and drain electrode (D) vertically span the plurality of fins 308 respectively. It should be understood that although layout 300 shows Fin Field Effect Transistors (FinFETs), embodiments of the present disclosure may also be applied to any other suitable transistors.
  • Fin Field Effect Transistors Fin Field Effect Transistors
  • the RC technical file 210 includes a resistance-capacitance (RC) database, and may be provided by a fab.
  • the RC technical file 210 may be generated by an electromagnetic field solver based on an Interconnect Technology File (ITF) describing the process parameters of the conductor layer and the dielectric layer, and the interconnect technology file may also be provided by the fab.
  • ITF Interconnect Technology File
  • the interconnect technical file may include the thickness of the conductor layer, the resistivity of the conductor layer, the dielectric constant of the interlayer dielectric and its thickness, the name of the upper conductor of the via, the name of the lower conductor of the via, the resistance of the via ,etc.
  • the interconnect technology file may also be included in the RC technology file 210 .
  • the RC database in the RC technical file 210 may be presented in the form of a table and include polygonal figures forming transistors and capacitance values corresponding to the polygonal figures.
  • the parasitic parameter extractor 204 extracts the parasitic capacitance from the layout database 202
  • the circuit layout in the layout database 202 can be divided into small blocks, wherein each small block includes a polygonal figure contained in the RC technology file 210 .
  • the parasitic parameter extractor 204 extracts the parasitic capacitance of the layout database 202 by reading the precalculated capacitance value of the polygon pattern stored in the RC technology file 210 .
  • the parasitic parameter extractor 204 maps the layout hierarchy in the layout database 202 to the technology hierarchy in the RC technology file 210 through the hierarchy mapping file 206 .
  • each layout layer in the layout database 202 can be mapped to a corresponding technology layer.
  • the layout level indicates the level of the corresponding component in the layout
  • the technology level indicates the level of the corresponding component in the manufacturing technology or process, including corresponding technology or process information, for example, parasitic parameters.
  • the layer mapping file 206 can map the layout layer (M1) in the layout database 202 to the technology layer (Metal1) in the RC technology file 210, and map the layout layer (V1) in the layout database 202 to the RC technology file 210 technology level (VIA1), etc.
  • the layout level of the layout database 202 can be mapped to the corresponding technology level, so as to obtain the corresponding technology information, for example, parasitic parameters.
  • Extract command file 208 may include paths to layout database 202 , hierarchy map file 206 , and RC technology file 210 .
  • the parasitic parameter extractor 204 reads the extraction command file 208 and parses the extraction command file 208 to obtain the paths of the layout database 202 , the layer mapping file 206 and the RC technology file 210 , and so on. Then, the parasitic parameter extractor 204 reads the layout database 202, the layer mapping file 206 and the RC technology file 210 from corresponding paths.
  • the parasitic parameter extractor 204 maps the layout level in the layout database 202 to the technology level in the RC technology file 210 based on the level mapping file 206, the parasitic parameter extractor 204 based on the layout size in the layout level and the layout level corresponding to the layout level
  • the parasitic parameters of the layout database 202 are extracted by using the parasitic parameters in the technology level.
  • redundant graphics are inserted into the layout 300, and then layout parasitic parameters are extracted.
  • the layout will contain a large number of redundant graphics (eg, redundant polygons, redundant nets, etc.).
  • the current technical solution needs to match these large numbers of redundant graphics with the polygonal graphics in the RC technical file 210 to calculate the parasitic capacitance. Therefore, the efficiency of layout parasitic parameter extraction is low.
  • the parasitic parameter extraction system 200 performs parasitic parameter extraction on the layout 300 that does not contain redundant patterns, so as to improve the efficiency of parasitic parameter extraction.
  • the parasitic parameter extraction system 200 simulates the influence of redundant graphics on the parasitic parameters of the layout 300 by constructing an RC virtual redundancy rule file 214 and a parasitic capacitance database 216 . A detailed description will be made below in conjunction with FIGS. 4-6 .
  • the extraction command file 208 may also include a path to the RC virtual redundancy rules file 214 .
  • the RC virtual redundancy rule file 214 can define parameters of virtual redundant transistors, for example, layer information of virtual redundant transistors, layout size of virtual redundant transistors, and/or layout size of virtual redundant transistors and parameters used for post-layout simulation. Mapping between layout-dependent effect (Layout-Dependent Effect, LDE) parameters in the netlist 212 .
  • LDE Layout-Dependent Effect
  • the parasitic parameter extractor 204 can obtain the path of the RC virtual redundancy rule file 214, and read the RC virtual redundancy rule file 214 from the corresponding path.
  • Table 1 provides an example of an RC virtual redundancy rules file 214 .
  • the RC virtual redundancy rule file 214 includes three parts, wherein the first part (Dummy_Transistor_Layers) includes the level information of the virtual redundant transistor, the second part (Dummy_Transistor_Dimension) includes the layout size of the virtual redundant transistor, and the third Part includes a mapping between layout dimensions of virtual redundant transistors and layout dependent effect (LDE) parameters in netlist 212 for post-layout simulation.
  • the first part includes the level information of the virtual redundant transistor
  • the second part includes the layout size of the virtual redundant transistor
  • the third Part includes a mapping between layout dimensions of virtual redundant transistors and layout dependent effect (LDE) parameters in netlist 212 for post-layout simulation.
  • LDE layout dependent effect
  • the first part may include the redundant active area layer (AA_Dum) of the virtual redundant transistor, the redundant polysilicon layer (Poly_dum), the redundant source and drain metal M0 layer (M0_dum), and the N-doped implant layer (NPLUS) or P-doped injection layer (PPLUS), etc.
  • A_Dum redundant active area layer
  • Poly_dum the redundant polysilicon layer
  • M0_dum the redundant source and drain metal M0 layer
  • NPLUS N-doped implant layer
  • PPLUS P-doped injection layer
  • the second part may include the spacing of the redundant gate (Dummy_poly_spacing), the channel length of the redundant gate (Dummy_poly_length), the length of the active region extending from the top of the redundant gate (Dummy_poly_extension_top), redundant
  • the bottom of the gate protrudes from the active area length (Dummy_poly_extension_bottom), the redundant active area width (Dummy_AA_width), the redundant active area vertical spacing (Dummy_AA_spacing_vertical), the redundant metal layer M0 width (Dummy_M0_width), and the redundant gate terminal spacing (Dummy_poly_end_spacing), redundant metal layer M0 extension length (Dummy_M0_extension), redundant active area lateral spacing (Dummy_AA_spacing_horizon), redundant active area length (Dummy_AA_length), etc.
  • the third part may include the mapping relationship between the layout size of the virtual redundant transistor and the LDE parameter, including the mapping relationship between the redundant gate spacing (Dummy_poly_spacing) and the gate spacing (PS), The mapping relationship between the redundant active area horizontal spacing (Dummy_AA_spacing_horizon) and the active area horizontal spacing (AAX), the mapping relationship between the redundant active area vertical spacing (Dummy_AA_spacing_vertical) and the active area vertical spacing (AAY), The mapping relationship between the redundant gate end spacing (Dummy_poly_end_spacing) and the gate end spacing (PES), the length of the redundant gate top protruding from the active area (Dummy_poly_extension_top) and the length of the gate top protruding from the active area (PXE1) The mapping relationship between, the mapping relationship between the length of the redundant gate extending from the bottom of the active region (Dummy_poly_extension_bottom) and the length of the gate bottom extending from the active region (PXE2), and so
  • FIG. 4 illustrates a layout 400 of transistors according to some embodiments of the present disclosure. It should be understood that layout 400 is not an actual layout used for parasitic parameter extraction, ie, is not a specific example of layout database 202 .
  • Layout 400 includes transistor 404 and its substrate terminal 402 , wherein substrate terminal 402 and transistor 404 correspond to substrate terminal 302 and transistor 304 shown in FIG. 3 . Additionally, fins 406 and 408 correspond to fins 306 and 308 shown in FIG. 3 , respectively.
  • the layout 400 further includes a redundant pattern, wherein the redundant pattern includes a first virtual redundant transistor 406, a second virtual redundant transistor 408, a third virtual redundant transistor 410, and a fourth virtual redundant transistor 412 and fifth dummy redundancy transistor 414 .
  • the first dummy redundant transistor 406, the second dummy redundant transistor 408, the third dummy redundant transistor 410, the fourth dummy redundant transistor 412 and the fifth dummy redundant transistor 414 respectively comprise a source (S du ), a gate (G du ) and drain (D du ), and includes a substrate terminal 402 shared with transistor 404 .
  • S du source
  • G du gate
  • D du drain
  • the fourth dummy redundant transistor 412 also includes a plurality of fins 468 , wherein the source electrode (S du ), the gate electrode (G du ) and the drain electrode (D du ) vertically across the plurality of fins 468 respectively.
  • other virtual redundant transistors also include multiple fins.
  • layout 400 shows the layout dimensions of the dummy redundant transistors. It should be understood that layout 400 is not the actual layout used for parasitic parameter extraction.
  • the first layout size 422 represents the spacing (Dummy_poly_spacing) of the redundant gate
  • the second layout size 424 represents the channel length (Dummy_poly_length) of the redundant gate
  • the third layout size 426 represents that the top of the redundant gate protrudes from the active region Length (Dummy_poly_extenstion_top)
  • the fourth layout size 428 indicates the length of the redundant gate extending from the bottom of the active area (Dummy_poly_extenstion_bottom)
  • the fifth layout size 430 indicates the redundant active area width (Dummy_AA_width)
  • the sixth layout size 432 indicates redundant
  • the seventh layout size 434 indicates the redundant metal layer M0 width (Dummy_M0_width)
  • the eighth layout size 436 indicates the redundant
  • the layout dimensions of the virtual redundant transistors may be used to determine the LDE parameters of the transistors.
  • FIG. 5 shows a schematic diagram of a layout 500 showing LDE parameters according to some embodiments of the present disclosure.
  • the LDE parameters may be the LDE parameters in the netlist 212, which may be the LDE parameters in the SPICE model.
  • layout 500 includes transistor 502 and its substrate terminal 502 as well as first dummy redundant transistor 506 , second dummy redundant transistor 508 , third dummy redundant transistor 510 , fourth dummy redundant transistor 512 and
  • the fifth virtual redundant transistor 514 is respectively connected with the transistor 404 and its substrate 402 shown in FIG.
  • the first layout size 522 represents the gate pitch (PS)
  • the second layout size 532 represents the vertical active area spacing (AAY)
  • the third layout size 536 represents the gate end spacing (PES)
  • the fourth layout Dimension 540 represents the active area lateral pitch (AAX).
  • LDE parameters in the netlist 212 may default to maximum values (1,000,000 meters), affecting Actual Device Performance. If the influence of redundant transistors is considered when extracting the parasitic parameters of the layout 300 , based on the layout size of the redundant transistors, the LDE parameters of the transistors can be determined through the mapping relationship between the layout size of the redundant transistors and the LDE parameters. Table 2 shows an example of a portion of netlist 212 without considering the effect of redundant transistors. Table 3 shows an example of a portion of netlist 212 when the effect of redundant transistors is considered.
  • X0 indicates the transistor device
  • D1, G1, S1, and B1 respectively indicate the drain, gate, source, and substrate terminals of the transistor
  • NMOS indicates the type of the transistor
  • L indicates the terminal of the gate.
  • NFIN represents the number of fins
  • SA represents the distance from the boundary of the active region of the source region to the gate
  • SB represents the distance from the boundary of the active region of the drain region to the gate
  • PS represents the gate spacing
  • AAX represents the lateral spacing of the active region
  • AAY indicates the vertical spacing of active regions
  • PES indicates the spacing between gate terminals.
  • the LDE parameters eg, PS, AAX, AAY, PES
  • the LDE parameter of the transistor can be corrected.
  • the extraction command file 208 may also include a path to a parasitic capacitance database 216 according to an embodiment of the present disclosure.
  • Parasitic capacitance database 216 may include parasitic capacitance introduced by redundant transistors.
  • parasitic capacitance database 216 may be provided by a foundry.
  • the parasitic capacitance database can also be provided by an EDA software company or a semiconductor design company.
  • the parasitic capacitance database 216 may be generated from the RC technology file 210 and the RC virtual redundancy rules file 214 (specifically, the layout size of redundant transistors).
  • the parasitic capacitance database 216 may include the relationship between the layout size of the predefined transistors and the coupling capacitance between the predefined transistors and the virtual redundant transistors corresponding to the predefined transistors.
  • the coupling capacitance between the transistor and the virtual redundant transistor corresponding to the transistor can be calculated by means of pattern matching.
  • the polygonal shape that makes up the transistor can be determined. Then, from the RC technical file 210, a polygon pattern matching the polygon pattern constituting the transistor is determined.
  • the polygonal figure constituting the virtual redundant transistor can be determined. Then, from the RC technical file 210, a polygon pattern matching the polygon pattern constituting the dummy redundant transistor is determined. By reading the pre-calculated capacitance values between the polygonal figures in the RC technical document 210 and combining these capacitance values, the coupling capacitance between the transistor and the virtual redundant transistor can be determined. In this manner, the parasitic capacitance database 216 can be constructed. Alternatively, similar to constructing the RC technology file 210, the parasitic capacitance database 216 may be calculated by an electromagnetic field solver based on the interconnect technology file and the layout dimensions of predefined transistors and corresponding virtual redundant transistors.
  • the parasitic parameter extractor 204 can obtain the path of the parasitic capacitance database 216, and read the parasitic capacitance database 216 from the corresponding path.
  • the parasitic parameter extractor 204 can determine the corresponding coupling capacitance in the parasitic capacitance database 216 based on the layout size of the transistor 304 in the layout database 202 .
  • the parasitic capacitance database 216 can be queried based on the layout database 202 to obtain the coupling capacitance between the transistor 304 in the layout database 202 and the corresponding virtual redundant transistor.
  • the layout size of transistor 304 in layout database 202 may be compared with the layout size of predefined transistors in parasitic capacitance database 216 to determine a predefined transistor matching transistor 304 . Then, the coupling capacitance between the transistor 304 and its corresponding virtual redundant transistor can be determined based on the coupling capacitance between the predefined transistor and its corresponding virtual redundant transistor.
  • parasitic capacitance database 216 may not include predefined transistors that are exactly equal to the layout size of transistor 304 . In this case, a predefined transistor whose layout size is closest to the transistor 304 can be used as a matching transistor. The extraction of parasitic capacitance will be described below in conjunction with FIGS. 6-8 .
  • FIG. 6 shows a layout 600 of transistors according to some embodiments of the present disclosure.
  • Layout 600 is substantially the same as layout 400 except that a portion of the coupling capacitance between transistor 404 and first dummy redundant transistor 406 , second dummy redundant transistor 408 , and fourth dummy redundant transistor 412 is shown.
  • the parasitic capacitances are shown here for convenience, and different models may include more or less parasitic capacitances.
  • only coupling capacitance between dummy redundant transistors adjacent to transistor 404 may be considered.
  • only the coupling capacitance between the corresponding electrodes of the dummy redundant transistors adjacent to the electrodes of the transistor 404 may be considered.
  • FIG. 1 shows a layout 600 of transistors according to some embodiments of the present disclosure.
  • FIG. 600 is substantially the same as layout 400 except that a portion of the coupling capacitance between transistor 404 and first dummy redundant transistor 406 , second dummy redundant transistor 408 , and fourth dummy redundant transistor 412
  • FIG. 6 shows the coupling capacitance C Gdu1 between the gate of the transistor 404 and the gate of the second dummy redundant transistor 408 , the coupling capacitance C Gdu1 between the gate of the transistor 404 and the gate of the fourth dummy redundant transistor 412
  • the coupling capacitance C Gdu2 between the gate of the transistor 404 and the gate of the first dummy redundant transistor 406, the coupling capacitance C Gdu3 between the gate of the transistor 404 and the source of the second dummy redundant transistor 408
  • the coupling capacitor C GSdu1 shows the coupling capacitance C Gdu1 between the gate of the transistor 404 and the gate of the second dummy redundant transistor 408 , the coupling capacitance C Gdu1 between the gate of the transistor 404 and the gate of the fourth dummy redundant transistor 412
  • the coupling capacitance C Gdu2 between the gate of the transistor 404 and the gate of the first dummy redundant transistor 406, the coupling capacitance C Gdu3 between the gate
  • FIG. 6 also shows the coupling capacitance C SSdu1 between the source of the transistor 404 and the source of the second dummy redundant transistor 408 , and the connection between the source of the transistor 404 and the drain of the fourth dummy redundant transistor 412 between the coupling capacitor C SDdu1 .
  • FIG. 6 does not show the coupling capacitance between the drain of transistor 404 and the dummy redundant transistor. Capacitance values of these coupling capacitors can be obtained from the parasitic capacitance database 216 .
  • FIG. 7 illustrates a gate parasitic capacitance network of a transistor according to some embodiments of the present disclosure.
  • the gate parasitic capacitance network includes coupling capacitances C Gdu1 , C Gdu2 , C Gdu3 and C GSdu1 .
  • one end of the coupling capacitors C Gdu1 , C Gdu2 , C Gdu3 and C GSdu1 can be grounded instead of being coupled to the dummy redundant transistor.
  • the coupling capacitances C Gdu1 , C Gdu2 , C Gdu3 , and C GSdu1 connect the gate of transistor 404 to ground in the gate parasitic capacitance network.
  • FIG. 8 illustrates a source parasitic capacitance network of a transistor according to some embodiments of the present disclosure.
  • the source parasitic capacitance network (NET S) includes coupling capacitors C SSdu1 and C SDdu1 .
  • the coupling capacitors C SSdu1 and C SDdu1 can be grounded instead of being coupled to a dummy redundant transistor.
  • the coupling capacitors C SSdu1 and C SDdu1 connect the source of transistor 404 to ground in the source parasitic capacitance network.
  • Table 4 shows a netlist of the gate parasitic network and the source parasitic network of the transistor 404 .
  • NET G represents the gate parasitic capacitance network of the transistor 404, and its capacitance value is 2.12145e-16.
  • C1 and C2 are respectively the gate parasitic capacitance (for example, the coupling capacitance between the gate and the source of the transistor 404, the coupling capacitance between the gate and the drain of the transistor 404) of the transistor 404 itself which has nothing to do with the virtual redundant transistor ),
  • C3-C6 denote coupling capacitors C Gdu1 , C Gdu2 , C Gdu3 and C GSdu1 respectively.
  • NET S represents the source parasitic capacitance network of the transistor 404, and its capacitance value is 8.53246e-17.
  • C1 and C2 are respectively the source parasitic capacitance (for example, the coupling capacitance between the source and the gate of the transistor 404, the coupling capacitance between the source and the drain of the transistor 404) of the transistor 404 itself which has nothing to do with the virtual redundant transistor ),
  • C3 and C4 represent the coupling capacitors C SSdu1 and C SDdu1 respectively.
  • the netlist 212 is post-simulated. Since the netlist 212 does not include redundant transistors, but only includes the effects of redundant transistors on transistors (eg, effects on parasitic capacitance, effects on LDE parameters, etc.), post-simulation efficiency can be greatly improved. Additionally, at block 126, redundant transistors may be added in the layout database 202 for use in generating mask data 128 for final chip fabrication.
  • FIG. 9 shows a flowchart of a method 900 for electronic design automation according to some embodiments of the present disclosure.
  • the method 900 can be implemented in the parasitic parameter extraction system 200 shown in FIG. 2 .
  • a layout database representing a circuit design, including transistors is obtained.
  • the circuit design may not include redundant transistors, and the layout database may not include redundant transistors.
  • the layout database may be layout database 202 as shown in FIG. 2 and the transistor may be transistor 304 as shown in FIG. 3 .
  • the parasitic capacitance database is queried to obtain the coupling capacitance between the transistor and the virtual redundant transistor corresponding to the transistor.
  • the parasitic capacitance database includes a relationship between layout sizes of predefined transistors and coupling capacitances between the predefined transistors and virtual redundant transistors corresponding to the predefined transistors.
  • a virtual redundant transistor corresponding to a transistor may be a virtual redundant transistor adjacent to the transistor, or a virtual redundant transistor within a certain spatial distance of the transistor.
  • dummy redundant transistors may be specified by the fab's redundant fill process.
  • the transistor may be transistor 404, and the dummy redundant transistor may be first dummy redundant transistor 406, second dummy redundant transistor 408, third dummy redundant transistor 410, fourth dummy redundant transistor 412, and fifth dummy redundant transistor. remaining transistor 414.
  • the layout size of transistors in the layout database may be compared with the layout size of predefined transistors in the parasitic capacitance database to determine a predefined transistor matching the transistor. Then, the coupling capacitance between the transistor and its corresponding virtual redundant transistor can be determined based on the coupling capacitance between the predefined transistor and its corresponding virtual redundant transistor.
  • the parasitic capacitance database may not include predefined transistors that are exactly equal to the transistor's layout size. In this case, a predefined transistor whose layout size is closest to the transistor can be used as a matching transistor.
  • the coupling capacitance may include a gate coupling capacitance between the gate of the transistor and an adjacent electrode of the dummy redundant transistor, and a source coupling capacitance between the source of the transistor and an adjacent electrode of the dummy redundant transistor. capacitance, and/or drain coupling capacitance between the drain of the transistor and the adjacent electrode of the dummy redundant transistor. For example, in the embodiment shown in FIG.
  • the gate coupling capacitance of the transistor 404 may include a coupling capacitance C Gdu1 between the gate of the transistor 404 and the gate of the second dummy redundant transistor 408 , the gate of the transistor 404 The coupling capacitance C Gdu2 between the gate of the fourth dummy redundant transistor 412, the coupling capacitance C Gdu3 between the gate of the transistor 404 and the gate of the first dummy redundant transistor 406, and the gate of the transistor 404 and The coupling capacitance C GSdu1 between the sources of the second dummy redundancy transistor 408 .
  • C Gdu1 between the gate of the transistor 404 and the gate of the second dummy redundant transistor 408
  • the source coupling capacitance of transistor 404 may include the coupling capacitance C SSdu1 between the source of transistor 404 and the source of second dummy redundant transistor 408 , and the source of transistor 404 and the coupling capacitor C SDdu1 between the drain of the fourth dummy redundant transistor 412 .
  • a parasitic capacitance network of the transistor is determined, the parasitic capacitance network representing the parasitic capacitance of the transistor.
  • the coupling capacitance between the transistor and the virtual redundant transistor can be equivalent to the parasitic capacitance of the transistor, so as to avoid introducing redundant transistors.
  • a coupling capacitor is connected between the transistor and ground to define the parasitic capacitance network of the transistor.
  • the gate parasitic capacitance network of the transistor can be determined based on the gate coupling capacitance; the source parasitic capacitance network of the transistor can be determined based on the source coupling capacitance; and the drain parasitic capacitance network of the transistor can be determined based on the drain coupling capacitance.
  • the parasitic capacitance network of the transistor includes the coupling capacitance of the transistor and the redundant transistor, but does not include the redundant transistor.
  • a netlist representing the circuit design is generated based on the layout database and the parasitic capacitance network of the transistors.
  • the netlist includes the layout dimensions of the transistors and the parasitic capacitance network of the transistors.
  • the layout dimensions of transistors can be determined from a layout database.
  • the method 900 further includes: performing post-layout simulation on the circuit design based on the netlist. After the circuit design is verified by post-layout simulation, redundant transistors corresponding to virtual redundant transistors can be added to the layout database for generating mask data representing the circuit design.
  • the method 900 further includes: obtaining the layout size of the virtual redundant transistor and the mapping relationship between the layout size of the virtual redundant transistor and the layout dependent effect (LDE) parameter of the transistor; The layout size, through the mapping relationship between the layout size of the virtual redundant transistor and the LDE parameter, determines the LDE parameter of the transistor in the netlist.
  • LDE layout dependent effect
  • the parasitic capacitance database may be generated based on the layout sizes of the predefined transistors and the layout sizes of virtual redundant transistors corresponding to the predefined transistors.
  • the parasitic capacitance database can be calculated by pattern matching or electromagnetic field solvers.
  • the layout hierarchies in the layout database are mapped to corresponding technology hierarchies based on a hierarchy mapping file for mapping layout hierarchies to technology hierarchies. In this way, other parasitic capacitances of the transistor besides the coupling capacitance can be determined.
  • FIG. 10 shows a schematic block diagram of a device 1000 that can be used to implement embodiments of the present disclosure.
  • the method 100 shown in FIG. 1 , the system 200 shown in FIG. 2 and the method 900 shown in FIG. 9 may be implemented by the device 1000 .
  • the device 1000 includes a central processing unit (Central Processing Unit, CPU) 1001, which can be stored in a computer program instruction in a read-only memory (Read-Only Memory, ROM) 1002 or loaded from a storage unit 1008 to Computer program instructions in a random access memory (Random Access Memory, RAM) 1003 to perform various appropriate actions and processes.
  • ROM Read-Only Memory
  • RAM Random Access Memory
  • various programs and data necessary for the operation of the device 1000 can also be stored.
  • the CPU 1001, ROM 1002, and RAM 1003 are connected to each other via a bus 1004.
  • An input/output (Input/Output, I/O) interface 1005 is also connected to the bus 1004 .
  • the I/O interface 1005 includes: an input unit 1006, such as a keyboard, a mouse, etc.; an output unit 1007, such as various types of displays, speakers, etc.; a storage unit 1008, such as a magnetic disk, an optical disk, etc. ; and a communication unit 1009, such as a network card, a modem, a wireless communication transceiver, and the like.
  • the communication unit 1009 allows the device 1000 to exchange information/data with other devices through a computer network such as the Internet and/or various telecommunication networks.
  • method 100 or 900 can be executed by the processing unit 1001 .
  • method 100 or 900 may be implemented as a computer software program tangibly embodied on a machine-readable medium, such as storage unit 1008 .
  • part or all of the computer program may be loaded and/or installed on the device 1000 via the ROM 1002 and/or the communication unit 1009.
  • the CPU 1001 may be configured to execute the method 100 or 900 in any other suitable manner (for example, by means of firmware).
  • the present disclosure may be a method, apparatus, system and/or computer program product.
  • a computer program product may include a computer-readable storage medium having computer-readable program instructions thereon for carrying out various aspects of the present disclosure.
  • a computer readable storage medium may be a tangible device that can retain and store instructions for use by an instruction execution device.
  • a computer readable storage medium may be, for example, but is not limited to, an electrical storage device, a magnetic storage device, an optical storage device, an electromagnetic storage device, a semiconductor storage device, or any suitable combination of the foregoing.
  • Computer-readable storage media include: portable computer diskettes, hard disks, random access memory (RAM), read-only memory (ROM), erasable programmable read-only memory (Erasable Programmable Read-Only Memory, EPROM) or flash memory, Static Random Access Memory (Static Random Access Memory, SRAM), Portable Compact Disc Read-Only Memory (CD-ROM), Digital Versatile Disk (Digital Video Disc, DVD), memory sticks, floppy disks, mechanically encoded devices such as punched cards or raised structures in grooves with instructions stored thereon, and any suitable combination of the foregoing.
  • RAM random access memory
  • ROM read-only memory
  • EPROM erasable programmable read-only memory
  • EPROM Erasable Programmable Read-Only Memory
  • flash memory Static Random Access Memory
  • Static Random Access Memory SRAM
  • Portable Compact Disc Read-Only Memory CD-ROM
  • Digital Versatile Disk Digital Video Disc, DVD
  • memory sticks floppy disks, mechanically encoded devices such as punched
  • computer-readable storage media are not to be construed as transient signals per se, such as radio waves or other freely propagating electromagnetic waves, electromagnetic waves propagating through waveguides or other transmission media (e.g., pulses of light through fiber optic cables), or transmitted electrical signals.
  • Computer-readable program instructions described herein may be downloaded from a computer-readable storage medium to a respective computing/processing device, or downloaded to an external computer or external storage device over a network, such as the Internet, a local area network, a wide area network, and/or a wireless network.
  • the network may include copper transmission cables, fiber optic transmission, wireless transmission, routers, firewalls, switches, gateway computers, and/or edge servers.
  • a network adapter card or a network interface in each computing/processing device receives computer-readable program instructions from the network and forwards the computer-readable program instructions for storage in a computer-readable storage medium in each computing/processing device .
  • Computer program instructions for performing the operations of the present disclosure may be assembly instructions, Instruction Set Architecture (ISA) instructions, machine instructions, machine-dependent instructions, microcode, firmware instructions, state setting data, or in the form of one or more source or object code written in any combination of programming languages, including object-oriented programming languages—such as Python, C++, etc., and conventional procedural programming languages—such as “C” or similar programming languages.
  • Computer readable program instructions may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer, or entirely on the remote computer or server implement.
  • the remote computer may be connected to the user's computer through any kind of network, including a Local Area Network (LAN) or a Wide Area Network (WAN), or it may be connected to an external computer such as use an Internet service provider to connect via the Internet).
  • electronic circuits such as programmable logic circuits, field programmable gate arrays (Field Programmable Gate Array, FPGA) or programmable logic arrays (Programmable Logic Array, PLA), the electronic circuit can execute computer-readable program instructions, thereby implementing various aspects of the present disclosure.
  • These computer-readable program instructions may be provided to a processing unit of a general purpose computer, special purpose computer, or other programmable data processing apparatus to produce a machine such that when executed by the processing unit of the computer or other programmable data processing apparatus , producing an apparatus for realizing the functions/actions specified in one or more blocks in the flowchart and/or block diagram.
  • These computer-readable program instructions can also be stored in a computer-readable storage medium, and these instructions cause computers, programmable data processing devices and/or other devices to work in a specific way, so that the computer-readable medium storing instructions includes An article of manufacture comprising instructions for implementing various aspects of the functions/acts specified in one or more blocks in flowcharts and/or block diagrams.
  • each block in a flowchart or block diagram may represent a module, a portion of a program segment, or an instruction that includes one or more Executable instructions.
  • the functions noted in the block may occur out of the order noted in the figures. For example, two blocks in succession may, in fact, be executed substantially concurrently, or they may sometimes be executed in the reverse order, depending upon the functionality involved.
  • each block of the block diagrams and/or flowchart illustrations, and combinations of blocks in the block diagrams and/or flowchart illustrations can be implemented by a dedicated hardware-based system that performs the specified function or action , or may be implemented by a combination of dedicated hardware and computer instructions.

Abstract

Embodiments of the present disclosure relate to the field of electronic design automation, and provide a method and device used for electronic design automation. On the basis of a layout database comprising transistors but not redundant transistors, a parasitic capacitance database is queried so as to obtain the coupling capacitance between a transistor and a virtual redundant transistor corresponding to the transistor. On the basis of the coupling capacitor between the transistor and the virtual redundant transistor, a parasitic capacitance network of the transistor may be determined, and then a netlist representing a circuit design is generated. In the foregoing manner, the efficiency of layout parasitic parameter extraction may be significantly increased.

Description

用于电子设计自动化的方法和设备Method and apparatus for electronic design automation 技术领域technical field
本公开的实施例涉及电子设计自动化(Electronic Design Automation,EDA),并且更具体地涉及用于电子设计自动化的方法和设备。Embodiments of the present disclosure relate to electronic design automation (Electronic Design Automation, EDA), and more particularly, to methods and devices for electronic design automation.
背景技术Background technique
在集成电路的先进工艺中,工艺平坦化可以避免集成电路产品出现短路或者断路等工艺缺陷。通常,为了确保工艺平坦化的稳定性,插入不影响电路功能的冗余图形,使得设计版图的密度足够均匀。然而,冗余图形在版图中会引入大量的寄生电容电阻网络,使得版图寄生参数抽取效率降低。特别是在先进工艺的单位面积晶体管数越来越多的情况下,冗余图形生成的寄生参数网表非常巨大,影响版图后仿真的效率和设计迭代。In the advanced process of integrated circuits, process planarization can avoid process defects such as short circuits or open circuits in integrated circuit products. Usually, in order to ensure the stability of process planarization, redundant patterns that do not affect circuit functions are inserted so that the density of the design layout is uniform enough. However, redundant graphics will introduce a large number of parasitic capacitance and resistance networks in the layout, which will reduce the extraction efficiency of layout parasitic parameters. Especially when the number of transistors per unit area of advanced technology is increasing, the parasitic parameter netlist generated by redundant graphics is very large, which affects the efficiency of post-layout simulation and design iterations.
目前的技术方案根据设计规则制定冗余自动填充代码,通过物理验证软件,在版图中自动插入冗余图形,以获得包含冗余图形的版图数据库。基于该版图数据库,通过寄生参数抽取软件进行寄生参数抽取,以获得寄生参数网表,再进行版图后仿真。寄生参数抽取软件对包含大量冗余图形的版图数据库进行抽取,这导致目前的技术方案具有较低的寄生参数抽取效率。版图数据库包含大量的冗余图形,这导致目前的技术方案具有较低的版图后仿真效率。The current technical solution formulates redundant automatic filling codes according to design rules, and automatically inserts redundant graphics into the layout through physical verification software to obtain a layout database containing redundant graphics. Based on the layout database, parasitic parameter extraction is performed by parasitic parameter extraction software to obtain a parasitic parameter netlist, and then post-layout simulation is performed. The parasitic parameter extraction software extracts the layout database containing a large number of redundant graphics, which leads to the low parasitic parameter extraction efficiency of the current technical solution. The layout database contains a large number of redundant graphics, which leads to the low post-layout simulation efficiency of the current technical solution.
发明内容Contents of the invention
目前的技术方案中,版图数据库包含大量的冗余图形,对包含大量冗余图形的版图数据库进行抽取,严重影响寄生抽取效率和版图后仿真效率。In the current technical solution, the layout database contains a large number of redundant graphics, and the extraction of the layout database containing a large number of redundant graphics seriously affects the parasitic extraction efficiency and post-layout simulation efficiency.
本公开的实施例提供了一种电子设计自动化的方案,特别是一种虚拟冗余晶体管填充的寄生参数抽取方案。Embodiments of the present disclosure provide an electronic design automation solution, in particular a parasitic parameter extraction solution for virtual redundant transistor filling.
在本公开的第一方面,提供了一种电子设计自动化的方法。该方法获取表示电路设计的版图数据库,电路设计包括晶体管。例如,电路设计可以不包括冗余晶体管,版图数据库也可以不包括冗余晶体管。根据版图数据库,可以查询寄生电容数据库,以确定电路设计中的晶体管与相应的虚拟冗余晶体管之间的耦合电容。寄生电容数据库可以包括晶体管的版图尺寸与晶体管和虚拟冗余晶体管之间的耦合电容之间的映射关系。基于获得的晶体管与虚拟冗余晶体管之间的耦合电容,该方法可以确定表示晶体管的寄生电容的晶体管的寄生电容网络。然后,该方法基于版图数据库以及晶体管的寄生电容网络,生成表示集成电路设计的网表。该网表可以称为寄生参数网表或者后仿网表。一个晶体管与其他导电部件(例如,冗余晶体管)的耦合电容表示该晶体管与该导电部件之间的耦合所导致的寄生电容。除了耦合电容之外,该晶体管内部的不同导电部件之间也存在耦合电容,这些耦合电容为该晶体管内部的寄生电容。In a first aspect of the present disclosure, a method of electronic design automation is provided. The method obtains a layout database representing a circuit design, the circuit design including transistors. For example, the circuit design may not include redundant transistors, and the layout database may not include redundant transistors. From the layout database, the parasitic capacitance database can be queried to determine the coupling capacitance between transistors in the circuit design and corresponding virtual redundant transistors. The parasitic capacitance database may include a mapping relationship between layout sizes of transistors and coupling capacitances between transistors and dummy redundant transistors. Based on the obtained coupling capacitance between the transistor and the virtual redundant transistor, the method can determine a parasitic capacitance network of the transistor representing the parasitic capacitance of the transistor. The method then generates a netlist representing the integrated circuit design based on the layout database and the parasitic capacitance network of the transistors. The netlist may be referred to as a parasitic parameter netlist or a post-simulation netlist. The coupling capacitance of a transistor to other conductive components (eg, redundant transistors) represents the parasitic capacitance caused by the coupling between the transistor and the conductive component. In addition to the coupling capacitance, there are also coupling capacitances between different conductive components inside the transistor, and these coupling capacitances are parasitic capacitances inside the transistor.
在目前的技术方案中,首先在版图中插入冗余图形,然后再进行版图寄生参数抽取。由于版图中包含冗余晶体管形成的大量的冗余图形(例如,冗余多边形、冗余网络等),版图寄生参数抽取的效率较低。与目前的技术方案不同,本公开的实施例在进行寄生参数抽取时,版图数据库并不包含冗余晶体管。相反,本公开的实施例通过虚拟冗余晶体管来模拟冗余晶 体管对寄生参数抽取的影响,从而提高了寄生参数抽取的效率。In the current technical solution, redundant graphics are first inserted into the layout, and then the parasitic parameters of the layout are extracted. Since the layout contains a large number of redundant graphics formed by redundant transistors (for example, redundant polygons, redundant networks, etc.), the efficiency of layout parasitic parameter extraction is low. Different from the current technical solutions, the layout database does not include redundant transistors when extracting parasitic parameters in the embodiments of the present disclosure. On the contrary, the embodiments of the present disclosure simulate the influence of redundant transistors on parasitic parameter extraction by virtual redundant transistors, thereby improving the efficiency of parasitic parameter extraction.
在一些实施例中,将耦合电容连接在晶体管与地之间,以确定晶体管的寄生电容网络。以这种方式,可以将虚拟冗余晶体管去除,仅保留虚拟冗余晶体管引起的寄生电容,从而确保寄生参数网表中不引入冗余晶体管。In some embodiments, a coupling capacitor is connected between the transistor and ground to define the parasitic capacitance network of the transistor. In this way, the dummy redundant transistors can be removed, and only the parasitic capacitance caused by the dummy redundant transistors remains, thereby ensuring that redundant transistors are not introduced into the parasitic parameter netlist.
在一些实施例中,基于寄生参数网表,对电路设计执行版图后仿真。在目前的技术方案中,由于冗余填充产生了额外的寄生电容,在寄生参数抽取获得的寄生参数网表中包含额外的寄生电容网络,从而影响版图后仿真的效率。相反,本公开的实施例通过虚拟冗余晶体管来模拟冗余晶体管对版图寄生参数抽取的影响,提高了版图后仿真的效率。In some embodiments, post-layout simulation is performed on the circuit design based on the parasitic netlist. In the current technical solution, due to the extra parasitic capacitance generated by the redundant filling, the parasitic parameter netlist obtained by the parasitic parameter extraction contains an extra parasitic capacitance network, thereby affecting the efficiency of the post-layout simulation. On the contrary, the embodiments of the present disclosure use virtual redundant transistors to simulate the influence of redundant transistors on the extraction of layout parasitic parameters, thereby improving the efficiency of post-layout simulation.
在一些实施例中,向版图数据库中添加与虚拟冗余晶体管对应的冗余晶体管,以用于生成表示电路设计的掩模数据。在版图后仿真之后向版图数据库添加冗余晶体管,可以降低版图后仿真的计算开销。In some embodiments, redundant transistors corresponding to virtual redundant transistors are added to the layout database for use in generating mask data representing the circuit design. Adding redundant transistors to the layout database after post-layout simulation reduces the computational overhead of post-layout simulation.
在一些实施例中,可以针对晶体管的每个电极来确定相应的寄生电容网络。具体而言,对于晶体管的栅极,可以通过查询寄生电容数据库来获取晶体管的栅极与虚拟冗余晶体管的相邻电极之间的栅极耦合电容,并且基于栅极耦合电容,确定晶体管的栅极寄生电容网络。对于晶体管的源极,可以通过查询寄生电容数据库来获取晶体管的源极与冗余晶体管的相邻电极之间的源极耦合电容,并且基于源极耦合电容,确定晶体管的源极寄生电容网络。对于晶体管的漏极,可以通过查询寄生电容数据库来获取晶体管的漏极与冗余晶体管的相邻电极之间的漏极耦合电容,并且基于漏极耦合电容,确定晶体管的漏极寄生电容网络。In some embodiments, a corresponding parasitic capacitance network may be determined for each electrode of the transistor. Specifically, for the gate of the transistor, the gate coupling capacitance between the gate of the transistor and the adjacent electrode of the virtual redundant transistor can be obtained by querying the parasitic capacitance database, and based on the gate coupling capacitance, the gate of the transistor can be determined pole parasitic capacitance network. For the source of the transistor, the source coupling capacitance between the source of the transistor and the adjacent electrode of the redundant transistor can be obtained by querying the parasitic capacitance database, and based on the source coupling capacitance, the source parasitic capacitance network of the transistor can be determined. For the drain of the transistor, the drain coupling capacitance between the drain of the transistor and the adjacent electrode of the redundant transistor can be obtained by querying the parasitic capacitance database, and the drain parasitic capacitance network of the transistor can be determined based on the drain coupling capacitance.
在一些实施例中,获取虚拟冗余晶体管的版图尺寸以及虚拟冗余晶体管的版图尺寸与晶体管的版图依赖效应(Layout-Dependent Effect,LDE)参数之间的映射关系;以及基于虚拟冗余晶体管的版图尺寸,通过虚拟冗余晶体管的版图尺寸与LDE参数之间的映射关系,确定网表中晶体管的LDE参数。以这种方式,可以通过虚拟冗余晶体管的版图尺寸来修正寄生参数网表中的LDE参数。In some embodiments, the layout size of the virtual redundant transistor and the mapping relationship between the layout size of the virtual redundant transistor and the layout-dependent effect (Layout-Dependent Effect, LDE) parameter of the transistor are obtained; and based on the virtual redundant transistor The layout size, through the mapping relationship between the layout size of the virtual redundant transistor and the LDE parameter, determines the LDE parameter of the transistor in the netlist. In this way, the LDE parameters in the parasitic parameter netlist can be corrected by the layout size of the dummy redundant transistors.
在一些实施例中,可以比较晶体管的版图尺寸与寄生电容数据库中定义的晶体管的版图尺寸,从而确定与该晶体管相匹配的寄生电容数据库中的晶体管。然后,基于寄生电容数据库的晶体管的耦合电容,来确定与该晶体管对应的耦合电容。通过比较查询的方式来获取耦合电容,可以提高寄生参数抽取的效率。In some embodiments, the layout size of the transistor can be compared with the layout size of the transistor defined in the parasitic capacitance database, so as to determine the transistor in the parasitic capacitance database that matches the transistor. Then, based on the coupling capacitance of the transistor in the parasitic capacitance database, the coupling capacitance corresponding to the transistor is determined. Obtaining the coupling capacitance by comparing and querying can improve the efficiency of parasitic parameter extraction.
在一些实施例中,可以基于预定义晶体管的版图尺寸和对应于预定义晶体管的虚拟冗余晶体管的版图尺寸,生成寄生电容数据库。例如,可以通过图形匹配或者电磁场解算器来计算寄生电容数据库。In some embodiments, the parasitic capacitance database may be generated based on the layout sizes of the predefined transistors and the layout sizes of virtual redundant transistors corresponding to the predefined transistors. For example, the parasitic capacitance database can be calculated by pattern matching or electromagnetic field solvers.
在一些实施例中,基于用于将版图层次映射到技术层次的层次映射文件,将版图数据库中的版图层次映射到相应的技术层次。以这种方式,可以确定晶体管除耦合电容之外的其他寄生电容。In some embodiments, the layout hierarchies in the layout database are mapped to corresponding technology hierarchies based on a hierarchy mapping file for mapping layout hierarchies to technology hierarchies. In this way, other parasitic capacitances of the transistor besides the coupling capacitance can be determined.
在本公开的第二方面,本公开提供了一种设备。所述设备包括:处理器;以及存储器,耦合至所述处理器并且包含存储于其上的指令,所述指令在由所述处理器执行时使所述设备执行本公开的第一方面中的方法。In a second aspect of the disclosure, the disclosure provides an apparatus. The device comprises: a processor; and a memory coupled to the processor and containing instructions stored thereon which, when executed by the processor, cause the device to perform the method.
在本公开的第三方面,提供了一种计算机可读存储介质,其上存储有计算机程序/指令,该计算机程序/指令被处理器执行时实现本公开的第一方面中的方法的步骤。In a third aspect of the present disclosure, there is provided a computer-readable storage medium on which computer programs/instructions are stored, and when the computer program/instructions are executed by a processor, the steps of the method in the first aspect of the present disclosure are implemented.
在本公开的第四方面,提供了一种计算机程序产品,包括计算机程序/指令,该计算机程序/指令被处理器执行时实现本公开的第一方面中的方法的步骤。In a fourth aspect of the present disclosure, a computer program product is provided, including computer programs/instructions, which implement the steps of the method in the first aspect of the present disclosure when executed by a processor.
提供发明内容部分是为了以简化的形式来介绍对概念的选择,它们在下文的具体实施方式中将被进一步描述。发明内容部分无意标识本公开的关键特征或主要特征,也无意限制本公开的范围。This Summary is provided to introduce a selection of concepts in a simplified form that are further described below in the Detailed Description. This Summary is not intended to identify key features or principal characteristics of the disclosure, nor is it intended to limit the scope of the disclosure.
附图说明Description of drawings
通过结合附图对本公开示例性实施例进行更详细的描述,本公开的上述以及其他目的、特征和优势将变得更加明显,其中,在本公开示例性实施例中,相同的附图标记通常代表相同部件。The above and other objects, features and advantages of the present disclosure will become more apparent by describing the exemplary embodiments of the present disclosure in more detail with reference to the accompanying drawings, wherein, in the exemplary embodiments of the present disclosure, the same reference numerals are generally represent the same part.
图1示出了根据本公开的一些实施例的用于设计集成电路的方法的流程图。FIG. 1 shows a flowchart of a method for designing an integrated circuit according to some embodiments of the present disclosure.
图2示出了根据本公开的一些实施例的寄生参数抽取系统的示意图。Fig. 2 shows a schematic diagram of a parasitic parameter extraction system according to some embodiments of the present disclosure.
图3示出了根据本公开的一些实施例的晶体管的版图。FIG. 3 illustrates a layout of transistors according to some embodiments of the present disclosure.
图4示出了根据本公开的一些实施例的晶体管的版图。FIG. 4 shows a layout of transistors according to some embodiments of the present disclosure.
图5示出了根据本公开的一些实施例的晶体管的版图。FIG. 5 shows a layout of transistors according to some embodiments of the present disclosure.
图6示出了根据本公开的一些实施例的晶体管的版图。FIG. 6 shows a layout of transistors according to some embodiments of the present disclosure.
图7示出了根据本公开的一些实施例的栅极电容网络的示意图。FIG. 7 shows a schematic diagram of a gate capacitance network according to some embodiments of the present disclosure.
图8示出了根据本公开的一些实施例的源极电容网络的示意图。FIG. 8 shows a schematic diagram of a source capacitance network according to some embodiments of the present disclosure.
图9示出了根据本公开的一些实施例的用于电子设计自动化的方法的流程图。FIG. 9 shows a flowchart of a method for electronic design automation according to some embodiments of the present disclosure.
图10示出了一个可以用来实施本公开的实施例的设备的示意性框图。FIG. 10 shows a schematic block diagram of a device that can be used to implement embodiments of the present disclosure.
根据通常的做法,附图中示出的各种特征可能未按比例绘制。因此,为了清楚起见,可以任意地扩展或减小各种特征的尺寸。另外,一些附图可能未描绘给定的系统、方法或设备的所有部件。最后,在整个说明书和附图中,类似的附图标号可用于表示类似的特征。In accordance with common practice, the various features shown in the drawings may not be drawn to scale. Accordingly, the dimensions of the various features may be arbitrarily expanded or reduced for clarity. Additionally, some figures may not depict all components of a given system, method, or device. Finally, like reference numerals may be used to represent like features throughout the specification and drawings.
具体实施方式Detailed ways
下面将参照附图更详细地描述本公开的实施例。虽然附图中显示了本公开的某些实施例,然而应当理解的是,本公开可以通过各种形式来实现,而且不应该被解释为限于这里阐述的实施例,相反提供这些实施例是为了更加透彻和完整地理解本公开。应当理解的是,本公开的附图及实施例仅用于示例性作用,并非用于限制本公开的保护范围。Embodiments of the present disclosure will be described in more detail below with reference to the accompanying drawings. Although certain embodiments of the present disclosure are shown in the drawings, it should be understood that the disclosure may be embodied in various forms and should not be construed as limited to the embodiments set forth herein; A more thorough and complete understanding of the present disclosure. It should be understood that the drawings and embodiments of the present disclosure are for exemplary purposes only, and are not intended to limit the protection scope of the present disclosure.
在本公开的实施例的描述中,术语“包括”及其类似用语应当理解为开放性包含,即“包括但不限于”。术语“基于”应当理解为“至少部分地基于”。术语“一个实施例”或“该实施例”应当理解为“至少一个实施例”。术语“第一”、“第二”等等可以指代不同的或相同的对象。术语“和/或”表示由其关联的两项的至少一项。例如“A和/或B”表示A、B,或者A和B。下文还可能包括其他明确的和隐含的定义。In the description of the embodiments of the present disclosure, the term "comprising" and its similar expressions should be interpreted as an open inclusion, that is, "including but not limited to". The term "based on" should be understood as "based at least in part on". The term "one embodiment" or "the embodiment" should be read as "at least one embodiment". The terms "first", "second", etc. may refer to different or the same object. The term "and/or" means at least one of the two items associated with it. For example "A and/or B" means A, B, or A and B. Other definitions, both express and implied, may also be included below.
应理解,本申请实施例提供的技术方案,在以下具体实施例的介绍中,某些重复之处可能不再赘述,但应视为这些具体实施例之间已有相互引用,可以相互结合。It should be understood that for the technical solutions provided by the embodiments of the present application, in the introduction of the following specific embodiments, some repetitions may not be repeated, but it should be considered that these specific embodiments have been referred to each other and can be combined with each other.
图1示出了根据本公开的一些实施例的用于设计半导体芯片的方法100的流程图。方法100可以至少部分地由电子设计自动化(Electronic Design Automation,EDA)工具来实现。在框102,定义芯片的功能要求。该芯片可以是处理器、存储器芯片或具有多个部件的片上系统(System on Chip,SoC)。功能要求可以包括芯片的性质和芯片的性能目标。FIG. 1 shows a flowchart of a method 100 for designing a semiconductor chip according to some embodiments of the present disclosure. The method 100 can be implemented at least in part by an electronic design automation (Electronic Design Automation, EDA) tool. At block 102, the functional requirements of the chip are defined. The chip can be a processor, a memory chip, or a System on Chip (SoC) with multiple components. Functional requirements may include the nature of the chip and the performance goals of the chip.
在框104,基于芯片的功能要求生成电子系统级(Electronic System Level,ESL)描述。电子系统级描述专注于更高的抽象级别而不考虑较低级别的实现。ESL描述的目标是提高成 功实现功能的可能性。使用适当的抽象来生成对要设计的芯片的全局级别的理解。At block 104, an Electronic System Level (ESL) description is generated based on the functional requirements of the chip. An electronic system-level description focuses on higher levels of abstraction without considering lower-level implementations. The goal of the ESL description is to increase the likelihood of successful functional implementation. Use appropriate abstractions to generate a global level understanding of the chip to be designed.
在框106,根据ESL描述生成寄存器转换级(Register Transfer Level,RTL)描述。RTL描述是对半导体芯片设计在其操作方面的描述。具体而言,电路的行为是根据RTL描述中硬件寄存器之间的信号流定义的。例如,可以使用硬件描述语言(Hardware Description Language,HDL)来创建电路的高级表示,从中可以导出低级表示以及最终的实际分立器件和布线。At block 106, a Register Transfer Level (RTL) description is generated from the ESL description. An RTL description is a description of a semiconductor chip design in terms of its operation. Specifically, the behavior of a circuit is defined in terms of the signal flow between hardware registers in the RTL description. For example, a Hardware Description Language (HDL) can be used to create a high-level representation of a circuit from which a low-level representation and ultimately the actual discrete components and wiring can be derived.
在框108,对芯片的RTL描述进行逻辑综合,例如,将芯片的HDL形式的RTL描述转换为芯片的门级描述。具体来说,门级描述是逻辑门基元的离散网表,即,网表110。在获得网表110之后,可以对网表110进行仿真,以确定设计是否实现了预定的功能或者设计意图,该仿真也称为“前仿真”或“版图前仿真”。In block 108, logic synthesis is performed on the RTL description of the chip, for example, the RTL description of the chip in HDL form is converted into a gate-level description of the chip. Specifically, the gate-level description is a discrete netlist of logic gate primitives, ie, netlist 110 . After the netlist 110 is obtained, the netlist 110 can be simulated to determine whether the design achieves a predetermined function or design intent. This simulation is also called "pre-simulation" or "pre-layout simulation".
在框112,基于网表110对芯片进行物理设计,以构造芯片的物理布局。例如,可以放置逻辑门等部件,并对放置的部件进行布线,以提供部件的信号和电源端子之间的互连。以这种方式,可以构造出芯片的版图114。At block 112, the chip is physically designed based on the netlist 110 to construct the physical layout of the chip. For example, you can place components such as logic gates and route the placed components to provide interconnections between the signal and power terminals of the components. In this way, a layout 114 of a chip can be constructed.
在框116,对版图114进行物理验证。例如,框116可以包括框118,在框118,对版图114进行设计规则检查(Design Rule Check,DRC)。版图要根据设计规则来进行绘制,这些设计规则可以由晶圆厂来提供。在设计规则检查中,检查版图114的绘制是否满足相应的设计规则。At block 116, layout 114 is physically verified. For example, block 116 may include block 118, and at block 118, a design rule check (Design Rule Check, DRC) is performed on the layout 114 . The layout is drawn according to design rules, which can be provided by the fab. In the design rule check, it is checked whether the drawing of the layout 114 satisfies corresponding design rules.
在版图114通过设计规则检查之后,版图114中有可能还存在错误,这些错误不是由于违反了设计规则所造成的,而是可能与电路图不一致所造成的。例如,版图114可能缺少一根连线,这种小缺陷对整个芯片而言也是致命的。因此,框116还可以包括框120,在框120,对版图114进行布局与原理图比较(Layout Versus Schematic,LVS),又称为一致性检查。在一致性检查中,从版图114抽取网表,并将抽取出的网表与网表110进行比较,以确保抽取的网表与网表110一致。After the layout 114 passes the design rule check, there may still be errors in the layout 114 , and these errors are not caused by violating the design rules, but may be inconsistent with the circuit diagram. For example, layout 114 may lack a connection, and such a small defect is also fatal to the entire chip. Therefore, block 116 may further include block 120. At block 120, a layout versus schematic (Layout Versus Schematic, LVS) is performed on the layout 114, which is also called a consistency check. In the consistency check, the netlist is extracted from the layout 114 and compared with the netlist 110 to ensure that the extracted netlist is consistent with the netlist 110 .
此外,框116还可以包括框122,在框122,对版图114进行寄生参数抽取(parasitic extraction,PEX)。在寄生参数抽取中,可以从版图114中抽取电阻和电容等寄生参数,并输出包含这些寄生参数的网表,也称寄生参数网表。在框124,对包含寄生参数的网表进行仿真,该仿真也称为“后仿真”或“版图后仿真”。因此,用于后仿真的寄生参数网表也称为后仿网表。在后仿真中,通过构造电路的精确模拟模型来模拟实际数字电路和/或模拟电路的响应。In addition, block 116 may further include block 122 , and at block 122 , parasitic extraction (parasitic extraction, PEX) is performed on layout 114 . In parasitic parameter extraction, parasitic parameters such as resistors and capacitors can be extracted from the layout 114, and a netlist including these parasitic parameters can be output, also called a parasitic parameter netlist. At block 124, the netlist including the parasitic parameters is simulated, which is also referred to as "post-simulation" or "post-layout simulation". Therefore, the parasitic parameter netlist used for post-simulation is also called post-simulation netlist. In post-simulation, the response of an actual digital and/or analog circuit is simulated by constructing an accurate analog model of the circuit.
在框126,可以对版图114进行版图后处理。例如,可以添加封环等结构,应用分辨率增强技术等。在版图后处理之后,可以产生掩模数据128,以用于最终的芯片制造。At block 126 , layout post-processing may be performed on the layout 114 . For example, structures such as sealing rings can be added, resolution enhancement techniques can be applied, and so on. After post-layout processing, mask data 128 may be generated for final chip fabrication.
应当理解,图1仅仅示出了IC设计的示意性流程图。在一些实施例中,可以增加、删除一些步骤,或者修改一部分步骤的顺序。It should be understood that Fig. 1 only shows a schematic flow chart of IC design. In some embodiments, some steps may be added or deleted, or the sequence of some steps may be modified.
图2示出了根据本公开的一些实施例的寄生参数抽取系统200的示意性框图。寄生参数抽取系统200可以在如图1所示的框122处实现。如图2所示,寄生参数抽取系统200包括寄生参数抽取器204,寄生参数抽取器204从版图数据库202抽取寄生参数,以生成网表212。版图数据库202可以是如图1所示的版图114的数据库表示。在本公开的实施例中,在进行物理验证116之前,在版图114中不插入冗余晶体管,即,版图114不包括冗余晶体管。网表212可以是参考图1所描述的用于后仿真的后仿网表。网表212包含抽取出的寄生参数,因而也可以称为寄生参数网表。FIG. 2 shows a schematic block diagram of a parasitic parameter extraction system 200 according to some embodiments of the present disclosure. The parasitic parameter extraction system 200 may be implemented at block 122 as shown in FIG. 1 . As shown in FIG. 2 , the parasitic parameter extraction system 200 includes a parasitic parameter extractor 204 , and the parasitic parameter extractor 204 extracts parasitic parameters from the layout database 202 to generate a netlist 212 . Layout database 202 may be a database representation of layout 114 as shown in FIG. 1 . In an embodiment of the present disclosure, no redundant transistors are inserted in the layout 114 before physical verification 116 is performed, ie, the layout 114 does not include redundant transistors. Netlist 212 may be a post-simulation netlist for post-simulation as described with reference to FIG. 1 . The netlist 212 includes the extracted parasitic parameters, so it can also be called a parasitic parameter netlist.
为了方便描述寄生参数抽取系统200的操作和功能,现在将参考图3来描述版图数据库 202的示例。图3示出了根据本公开的一些实施例的一个晶体管的版图300。版图300可以是如图2所示的版图数据库202所体现的版图的一部分,也可以是如图1所示的版图114的一部分。如图3所示,版图300包括晶体管304,晶体管304包括源极电极(S)、栅极电极(G)和漏极电极(D)。另外,版图300还包括该晶体管的衬底端302,其中,衬底端302包括衬底电极(B)。如图3所示,衬底302包括多个鳍306,在该示例中,为四个鳍。应当理解,鳍的数目仅作为示例提供,本公开的实施例也可以具有任何其他合适数目的鳍。如图3所示,每一个衬底电极(B)垂直横跨多个鳍306。另外,晶体管304也包括多个鳍308,其中源极电极(S)、栅极电极(G)和漏极电极(D)分别垂直横跨多个鳍308。应当理解,尽管版图300示出了鳍式场效应晶体管(FinFET),本公开的实施例也可以应用于任何其他合适的晶体管。For the convenience of describing the operation and function of the parasitic parameter extraction system 200, an example of the layout database 202 will now be described with reference to FIG. 3 . FIG. 3 illustrates a transistor layout 300 according to some embodiments of the present disclosure. The layout 300 may be a part of the layout represented by the layout database 202 shown in FIG. 2 , or a part of the layout 114 shown in FIG. 1 . As shown in FIG. 3 , the layout 300 includes a transistor 304 including a source electrode (S), a gate electrode (G) and a drain electrode (D). In addition, layout 300 also includes a substrate terminal 302 of the transistor, wherein substrate terminal 302 includes a substrate electrode (B). As shown in FIG. 3 , substrate 302 includes a plurality of fins 306 , in this example four fins. It should be understood that the number of fins is provided as an example only and that embodiments of the present disclosure may have any other suitable number of fins. As shown in FIG. 3 , each substrate electrode (B) vertically spans a plurality of fins 306 . In addition, the transistor 304 also includes a plurality of fins 308 , wherein the source electrode (S), gate electrode (G) and drain electrode (D) vertically span the plurality of fins 308 respectively. It should be understood that although layout 300 shows Fin Field Effect Transistors (FinFETs), embodiments of the present disclosure may also be applied to any other suitable transistors.
返回图2,在一些实施例中,RC技术文件210包括电阻电容(Resistance-Capacitance,RC)数据库,并且可以由晶圆厂来提供。RC技术文件210可以通过电磁场解算器基于描述导体层和介质层的工艺参数的互连技术文件(Interconnect Technology File,ITF)来生成,互连技术文件也可以由晶圆厂来提供。例如,互连技术文件可以包括导体层的厚度、导体层的电阻率、层间电介质的介电常数及其厚度、通孔的上层导体的名称、通孔的下层导体的名称、通孔的电阻,等等。例如,互连技术文件也可以包含在RC技术文件210中。Returning to FIG. 2 , in some embodiments, the RC technical file 210 includes a resistance-capacitance (RC) database, and may be provided by a fab. The RC technical file 210 may be generated by an electromagnetic field solver based on an Interconnect Technology File (ITF) describing the process parameters of the conductor layer and the dielectric layer, and the interconnect technology file may also be provided by the fab. For example, the interconnect technical file may include the thickness of the conductor layer, the resistivity of the conductor layer, the dielectric constant of the interlayer dielectric and its thickness, the name of the upper conductor of the via, the name of the lower conductor of the via, the resistance of the via ,etc. For example, the interconnect technology file may also be included in the RC technology file 210 .
例如,RC技术文件210中的RC数据库可以以表格的形式来呈现,并且包括形成晶体管的多边形图形以及与多边形图形对应的电容值。在寄生参数抽取器204从版图数据库202中抽取寄生电容时,可以将版图数据库202中的电路版图分成小块,其中每个小块包括RC技术文件210中包含的多边形图形。然后,寄生参数抽取器204通过读取存储在RC技术文件210中的多边形图形的预先计算的电容值来提取版图数据库202的寄生电容。For example, the RC database in the RC technical file 210 may be presented in the form of a table and include polygonal figures forming transistors and capacitance values corresponding to the polygonal figures. When the parasitic parameter extractor 204 extracts the parasitic capacitance from the layout database 202 , the circuit layout in the layout database 202 can be divided into small blocks, wherein each small block includes a polygonal figure contained in the RC technology file 210 . Then, the parasitic parameter extractor 204 extracts the parasitic capacitance of the layout database 202 by reading the precalculated capacitance value of the polygon pattern stored in the RC technology file 210 .
在一些实施例中,寄生参数抽取器204通过层次映射文件206将版图数据库202中的版图层次映射到RC技术文件210中的技术层次。在层次映射文件206中,版图数据库202中的每个版图层次都可以被映射到相应的技术层次。版图层次表示相应部件在版图中的层次,技术层次表示相应元件在制造技术或工艺中的层次,包含相应的技术或工艺信息,例如,寄生参数。例如,层次映射文件206可以将版图数据库202中的版图层次(M1)映射到RC技术文件210中的技术层次(Metal1),将版图数据库202中的版图层次(V1)映射到RC技术文件210中的技术层次(VIA1),等等。以这种方式,可以将版图数据库202的版图层次映射到相应的技术层次,以获得相应的技术信息,例如,寄生参数。抽取命令文件208可以包括版图数据库202、层次映射文件206和RC技术文件210的路径。寄生参数抽取器204读取抽取命令文件208,并对抽取命令文件208进行解析,以获得版图数据库202、层次映射文件206和RC技术文件210的路径,等等。然后,寄生参数抽取器204从相应的路径中读取版图数据库202、层次映射文件206和RC技术文件210。In some embodiments, the parasitic parameter extractor 204 maps the layout hierarchy in the layout database 202 to the technology hierarchy in the RC technology file 210 through the hierarchy mapping file 206 . In the layer mapping file 206, each layout layer in the layout database 202 can be mapped to a corresponding technology layer. The layout level indicates the level of the corresponding component in the layout, and the technology level indicates the level of the corresponding component in the manufacturing technology or process, including corresponding technology or process information, for example, parasitic parameters. For example, the layer mapping file 206 can map the layout layer (M1) in the layout database 202 to the technology layer (Metal1) in the RC technology file 210, and map the layout layer (V1) in the layout database 202 to the RC technology file 210 technology level (VIA1), etc. In this way, the layout level of the layout database 202 can be mapped to the corresponding technology level, so as to obtain the corresponding technology information, for example, parasitic parameters. Extract command file 208 may include paths to layout database 202 , hierarchy map file 206 , and RC technology file 210 . The parasitic parameter extractor 204 reads the extraction command file 208 and parses the extraction command file 208 to obtain the paths of the layout database 202 , the layer mapping file 206 and the RC technology file 210 , and so on. Then, the parasitic parameter extractor 204 reads the layout database 202, the layer mapping file 206 and the RC technology file 210 from corresponding paths.
在寄生参数抽取器204基于层次映射文件206将版图数据库202中的版图层次映射到RC技术文件210中的技术层次之后,寄生参数抽取器204基于版图层次中的版图尺寸以及与该版图层次对应的技术层次中的寄生参数来抽取版图数据库202的寄生参数。After the parasitic parameter extractor 204 maps the layout level in the layout database 202 to the technology level in the RC technology file 210 based on the level mapping file 206, the parasitic parameter extractor 204 based on the layout size in the layout level and the layout level corresponding to the layout level The parasitic parameters of the layout database 202 are extracted by using the parasitic parameters in the technology level.
在目前的技术方案中,在版图300中插入冗余图形,然后再进行版图寄生参数抽取。在这种情况下,版图将包含大量的冗余图形(例如,冗余多边形、冗余网络等)。在进行寄生参数抽取时,目前的技术方案需要将这些大量的冗余图形与RC技术文件210中的多边形图形进行匹配,来计算寄生电容。因此,版图寄生参数抽取的效率较低。然而,寄生参数抽取系 统200对不包含冗余图形的版图300来进行寄生参数抽取,以提高寄生参数抽取的效率。由于版图300不包含冗余图形,为了准确进行寄生参数抽取,寄生参数抽取系统200通过构造RC虚拟冗余规则文件214和寄生电容数据库216来模拟冗余图形对版图300的寄生参数的影响。以下将结合图4-图6进行详细描述。In the current technical solution, redundant graphics are inserted into the layout 300, and then layout parasitic parameters are extracted. In this case, the layout will contain a large number of redundant graphics (eg, redundant polygons, redundant nets, etc.). When extracting parasitic parameters, the current technical solution needs to match these large numbers of redundant graphics with the polygonal graphics in the RC technical file 210 to calculate the parasitic capacitance. Therefore, the efficiency of layout parasitic parameter extraction is low. However, the parasitic parameter extraction system 200 performs parasitic parameter extraction on the layout 300 that does not contain redundant patterns, so as to improve the efficiency of parasitic parameter extraction. Since the layout 300 does not contain redundant graphics, in order to accurately extract parasitic parameters, the parasitic parameter extraction system 200 simulates the influence of redundant graphics on the parasitic parameters of the layout 300 by constructing an RC virtual redundancy rule file 214 and a parasitic capacitance database 216 . A detailed description will be made below in conjunction with FIGS. 4-6 .
根据本公开的实施例,抽取命令文件208还可以包括RC虚拟冗余规则文件214的路径。RC虚拟冗余规则文件214可以定义虚拟冗余晶体管的参数,例如,虚拟冗余晶体管的层次信息、虚拟冗余晶体管的版图尺寸和/或虚拟冗余晶体管的版图尺寸与用于版图后仿真的网表212中的版图依赖效应(Layout-Dependent Effect,LDE)参数之间的映射。在早期技术中,晶体管尺寸较大,晶体管的电特性独立于晶体管在版图中的位置。然而,随着晶体管尺寸的逐渐缩小,晶体管的电特性越来越依赖于晶体管在版图中的位置。这种效应称为“版图依赖效应”,而依赖于晶体管在版图中的位置的参数称为LDE参数。According to an embodiment of the present disclosure, the extraction command file 208 may also include a path to the RC virtual redundancy rules file 214 . The RC virtual redundancy rule file 214 can define parameters of virtual redundant transistors, for example, layer information of virtual redundant transistors, layout size of virtual redundant transistors, and/or layout size of virtual redundant transistors and parameters used for post-layout simulation. Mapping between layout-dependent effect (Layout-Dependent Effect, LDE) parameters in the netlist 212 . In earlier technologies, transistors were larger in size, and the electrical characteristics of the transistor were independent of the transistor's location in the layout. However, as the size of transistors shrinks gradually, the electrical characteristics of transistors are more and more dependent on the location of transistors in the layout. This effect is called "layout-dependent effect", and the parameters that depend on the position of the transistor in the layout are called LDE parameters.
寄生参数抽取器204在对抽取命令文件208进行解析时,可以获得RC虚拟冗余规则文件214的路径,并从相应的路径中读取RC虚拟冗余规则文件214。表1提供了RC虚拟冗余规则文件214的一个示例。When parsing the extraction command file 208, the parasitic parameter extractor 204 can obtain the path of the RC virtual redundancy rule file 214, and read the RC virtual redundancy rule file 214 from the corresponding path. Table 1 provides an example of an RC virtual redundancy rules file 214 .
表1Table 1
Figure PCTCN2021116544-appb-000001
Figure PCTCN2021116544-appb-000001
Figure PCTCN2021116544-appb-000002
Figure PCTCN2021116544-appb-000002
如表1所示,RC虚拟冗余规则文件214包括三个部分,其中第一部分(Dummy_Transistor_Layers)包括虚拟冗余晶体管的层次信息,第二部分(Dummy_Transistor_Dimension)包括虚拟冗余晶体管的版图尺寸,第三部分包括虚拟冗余晶体管的版图尺寸与用于版图后仿真的网表212中的版图依赖效应(LDE)参数之间的映射。As shown in Table 1, the RC virtual redundancy rule file 214 includes three parts, wherein the first part (Dummy_Transistor_Layers) includes the level information of the virtual redundant transistor, the second part (Dummy_Transistor_Dimension) includes the layout size of the virtual redundant transistor, and the third Part includes a mapping between layout dimensions of virtual redundant transistors and layout dependent effect (LDE) parameters in netlist 212 for post-layout simulation.
如表1所示,第一部分可以包括虚拟冗余晶体管的冗余有源区层(AA_Dum)、冗余多晶硅层(Poly_dum)、冗余源漏区金属M0层(M0_dum)、N掺杂注入层(NPLUS)或P掺杂注入层(PPLUS)等。As shown in Table 1, the first part may include the redundant active area layer (AA_Dum) of the virtual redundant transistor, the redundant polysilicon layer (Poly_dum), the redundant source and drain metal M0 layer (M0_dum), and the N-doped implant layer (NPLUS) or P-doped injection layer (PPLUS), etc.
如表1所示,第二部分可以包括冗余栅极的间距(Dummy_poly_spacing)、冗余栅极的沟道长度(Dummy_poly_length)、冗余栅极顶部伸出有源区长度(Dummy_poly_extenstion_top)、冗余栅极底部伸出有源区长度(Dummy_poly_extenstion_bottom)、冗余有源区宽度(Dummy_AA_width)、冗余有源区纵向间距(Dummy_AA_spacing_vertical)、冗余金属层M0宽度(Dummy_M0_width)、冗余栅极末端间距(Dummy_poly_end_spacing)、冗余金属层M0延伸长度(Dummy_M0_extension)、冗余有源区横向间距(Dummy_AA_spacing_horizon)、冗余有源区长度(Dummy_AA_length)等。As shown in Table 1, the second part may include the spacing of the redundant gate (Dummy_poly_spacing), the channel length of the redundant gate (Dummy_poly_length), the length of the active region extending from the top of the redundant gate (Dummy_poly_extension_top), redundant The bottom of the gate protrudes from the active area length (Dummy_poly_extension_bottom), the redundant active area width (Dummy_AA_width), the redundant active area vertical spacing (Dummy_AA_spacing_vertical), the redundant metal layer M0 width (Dummy_M0_width), and the redundant gate terminal spacing (Dummy_poly_end_spacing), redundant metal layer M0 extension length (Dummy_M0_extension), redundant active area lateral spacing (Dummy_AA_spacing_horizon), redundant active area length (Dummy_AA_length), etc.
如表1所示,第三部分可以包括虚拟冗余晶体管的版图尺寸与LDE参数之间的映射关系,包括冗余栅极的间距(Dummy_poly_spacing)与栅极间距(PS)之间的映射关系,冗余有源区横向间距(Dummy_AA_spacing_horizon)与有源区横向间距(AAX)之间的映射关系,冗余有源区纵向间距(Dummy_AA_spacing_vertical)与有源区纵向间距(AAY)之间的映射关系,冗余栅极末端间距(Dummy_poly_end_spacing)与栅极末端间距(PES)之间的映射关系,冗余栅极顶部伸出有源区长度(Dummy_poly_extenstion_top)与栅极顶部伸出有源区长度(PXE1)之间的映射关系,冗余栅极底部伸出有源区长度(Dummy_poly_extenstion_bottom)与栅极底部伸出有源区长度(PXE2)之间的映射关系,等等。As shown in Table 1, the third part may include the mapping relationship between the layout size of the virtual redundant transistor and the LDE parameter, including the mapping relationship between the redundant gate spacing (Dummy_poly_spacing) and the gate spacing (PS), The mapping relationship between the redundant active area horizontal spacing (Dummy_AA_spacing_horizon) and the active area horizontal spacing (AAX), the mapping relationship between the redundant active area vertical spacing (Dummy_AA_spacing_vertical) and the active area vertical spacing (AAY), The mapping relationship between the redundant gate end spacing (Dummy_poly_end_spacing) and the gate end spacing (PES), the length of the redundant gate top protruding from the active area (Dummy_poly_extension_top) and the length of the gate top protruding from the active area (PXE1) The mapping relationship between, the mapping relationship between the length of the redundant gate extending from the bottom of the active region (Dummy_poly_extension_bottom) and the length of the gate bottom extending from the active region (PXE2), and so on.
下面将参考图4来描述RC虚拟冗余规则文件214的第二部分的示例。图4示出了根据本公开的一些实施例的晶体管的版图400。应当理解,版图400并非用于寄生参数抽取的实际版图,即,不是版图数据库202的具体示例。版图400包括晶体管404及其衬底端402,其中,衬底端402和晶体管404与图3所示的衬底端302和晶体管304相对应。另外,鳍406和408分别与图3所示的鳍306和308相对应。与版图300相比,版图400还包括冗余图形,其中冗余图形包括第一虚拟冗余晶体管406、第二虚拟冗余晶体管408、第三虚拟冗余晶体管410、第四虚拟冗余晶体管412和第五虚拟冗余晶体管414。第一虚拟冗余晶体管406、第二虚拟冗余晶体管408、第三虚拟冗余晶体管410、第四虚拟冗余晶体管412和第五虚拟冗余晶体管414分别包括源极(S du)、栅极(G du)和漏极(D du),并且包括与晶体管404共享的衬底端402。另外,如图4所示,与晶体管404相同,第四虚拟冗余晶体管412也包括多个鳍468,其中源极电极(S du)、栅极电极(G du)和漏极电极(D du)分别垂直横跨多个鳍468。类似地,其他虚拟冗余晶体管也包括多个鳍。 An example of the second part of the RC virtual redundancy rules file 214 will be described below with reference to FIG. 4 . FIG. 4 illustrates a layout 400 of transistors according to some embodiments of the present disclosure. It should be understood that layout 400 is not an actual layout used for parasitic parameter extraction, ie, is not a specific example of layout database 202 . Layout 400 includes transistor 404 and its substrate terminal 402 , wherein substrate terminal 402 and transistor 404 correspond to substrate terminal 302 and transistor 304 shown in FIG. 3 . Additionally, fins 406 and 408 correspond to fins 306 and 308 shown in FIG. 3 , respectively. Compared with the layout 300, the layout 400 further includes a redundant pattern, wherein the redundant pattern includes a first virtual redundant transistor 406, a second virtual redundant transistor 408, a third virtual redundant transistor 410, and a fourth virtual redundant transistor 412 and fifth dummy redundancy transistor 414 . The first dummy redundant transistor 406, the second dummy redundant transistor 408, the third dummy redundant transistor 410, the fourth dummy redundant transistor 412 and the fifth dummy redundant transistor 414 respectively comprise a source (S du ), a gate (G du ) and drain (D du ), and includes a substrate terminal 402 shared with transistor 404 . In addition, as shown in FIG. 4 , like the transistor 404 , the fourth dummy redundant transistor 412 also includes a plurality of fins 468 , wherein the source electrode (S du ), the gate electrode (G du ) and the drain electrode (D du ) vertically across the plurality of fins 468 respectively. Similarly, other virtual redundant transistors also include multiple fins.
在图4中,版图400示出了虚拟冗余晶体管的版图尺寸。应当理解,版图400并非用于 寄生参数抽取的实际版图。第一版图尺寸422表示冗余栅极的间距(Dummy_poly_spacing),第二版图尺寸424表示冗余栅极的沟道长度(Dummy_poly_length),第三版图尺寸426表示冗余栅极顶部伸出有源区长度(Dummy_poly_extenstion_top),第四版图尺寸428表示冗余栅极底部伸出有源区长度(Dummy_poly_extenstion_bottom),第五版图尺寸430表示冗余有源区宽度(Dummy_AA_width),第六版图尺寸432表示冗余有源区纵向间距(Dummy_AA_spacing_vertical),第七版图尺寸434表示冗余金属层M0宽度(Dummy_M0_width),第八版图尺寸436表示冗余栅极末端间距(Dummy_poly_end_spacing),第九版图尺寸438表示冗余金属层M0延伸长度(Dummy_M0_extension),第十版图尺寸440表示冗余有源区横向间距(Dummy_AA_spacing_horizon),第十一版图尺寸442表示冗余有源区长度(Dummy_AA_length)。In FIG. 4 , layout 400 shows the layout dimensions of the dummy redundant transistors. It should be understood that layout 400 is not the actual layout used for parasitic parameter extraction. The first layout size 422 represents the spacing (Dummy_poly_spacing) of the redundant gate, the second layout size 424 represents the channel length (Dummy_poly_length) of the redundant gate, and the third layout size 426 represents that the top of the redundant gate protrudes from the active region Length (Dummy_poly_extenstion_top), the fourth layout size 428 indicates the length of the redundant gate extending from the bottom of the active area (Dummy_poly_extenstion_bottom), the fifth layout size 430 indicates the redundant active area width (Dummy_AA_width), and the sixth layout size 432 indicates redundant The vertical spacing of the active area (Dummy_AA_spacing_vertical), the seventh layout size 434 indicates the redundant metal layer M0 width (Dummy_M0_width), the eighth layout size 436 indicates the redundant gate end spacing (Dummy_poly_end_spacing), and the ninth layout size 438 indicates redundant metal The layer M0 extension length (Dummy_M0_extension), the tenth layout size 440 represents the dummy active area horizontal spacing (Dummy_AA_spacing_horizon), and the eleventh layout size 442 represents the dummy active area length (Dummy_AA_length).
在一些实施例中,虚拟冗余晶体管的版图尺寸可以用于确定晶体管的LDE参数。图5示出了根据本公开的一些实施例的示出LDE参数的版图500的示意图。LDE参数可以是网表212中的LDE参数,其可以是SPICE模型中的LDE参数。如图5所示,版图500包括晶体管502及其衬底端502以及第一虚拟冗余晶体管506、第二虚拟冗余晶体管508、第三虚拟冗余晶体管510、第四虚拟冗余晶体管512和第五虚拟冗余晶体管514,分别与如图4所示的晶体管404及其衬底402以及第一虚拟冗余晶体管406、第二虚拟冗余晶体管408、第三虚拟冗余晶体管410、第四虚拟冗余晶体管412和第五虚拟冗余晶体管414相对应。在图5中,第一版图尺寸522表示栅极间距(PS),第二版图尺寸532表示有源区纵向间距(AAY),第三版图尺寸536表示栅极末端间距(PES),第四版图尺寸540表示有源区横向间距(AAX)。这些LDE参数依赖于版图,因而包含冗余晶体管的版图400与不包含冗余晶体管的版图300具有不同的参数值。In some embodiments, the layout dimensions of the virtual redundant transistors may be used to determine the LDE parameters of the transistors. FIG. 5 shows a schematic diagram of a layout 500 showing LDE parameters according to some embodiments of the present disclosure. The LDE parameters may be the LDE parameters in the netlist 212, which may be the LDE parameters in the SPICE model. As shown in FIG. 5 , layout 500 includes transistor 502 and its substrate terminal 502 as well as first dummy redundant transistor 506 , second dummy redundant transistor 508 , third dummy redundant transistor 510 , fourth dummy redundant transistor 512 and The fifth virtual redundant transistor 514 is respectively connected with the transistor 404 and its substrate 402 shown in FIG. 4 and the first virtual redundant transistor 406, the second virtual redundant transistor 408, the third virtual redundant transistor 410, the fourth The dummy redundancy transistor 412 corresponds to the fifth dummy redundancy transistor 414 . In FIG. 5, the first layout size 522 represents the gate pitch (PS), the second layout size 532 represents the vertical active area spacing (AAY), the third layout size 536 represents the gate end spacing (PES), and the fourth layout Dimension 540 represents the active area lateral pitch (AAX). These LDE parameters are layout dependent, so layout 400 that includes redundant transistors has different parameter values than layout 300 that does not include redundant transistors.
例如,如果在对版图300进行寄生参数抽取时不考虑冗余晶体管的影响,则网表212中的部分LDE参数,例如,PS、AAX和AAY等可能默认为极大值(1,000,000米),影响实际器件性能。如果在对版图300进行寄生参数抽取时考虑冗余晶体管的影响,将基于冗余晶体管的版图尺寸,通过冗余晶体管的版图尺寸与LDE参数之间的映射关系,可以确定晶体管的LDE参数。表2示出了在不考虑冗余晶体管的影响时,网表212的一部分的示例。表3示出了在考虑了冗余晶体管的影响时,网表212的一部分的示例。For example, if the influence of redundant transistors is not considered when extracting the parasitic parameters of the layout 300, some LDE parameters in the netlist 212, such as PS, AAX and AAY, may default to maximum values (1,000,000 meters), affecting Actual Device Performance. If the influence of redundant transistors is considered when extracting the parasitic parameters of the layout 300 , based on the layout size of the redundant transistors, the LDE parameters of the transistors can be determined through the mapping relationship between the layout size of the redundant transistors and the LDE parameters. Table 2 shows an example of a portion of netlist 212 without considering the effect of redundant transistors. Table 3 shows an example of a portion of netlist 212 when the effect of redundant transistors is considered.
表2Table 2
X0 D1 G1 S1 B1 NMOS L=7.2e-8 NFIN=3 SA=9e-7,SB=9e-7 PS=1e+06 AAX=1e+06 AAY=1e+06 PES=1e+06X0 D1 G1 S1 B1 NMOS L=7.2e-8 NFIN=3 SA=9e-7, SB=9e-7 PS=1e+06 AAX=1e+06 AAY=1e+06 PES=1e+06
表3table 3
X0 D1 G1 S1 B1 NMOS L=7.2e-08 NFIN=3 SA=9e-7,SB=9e-7 PS=2.1e-07 AAX=1e-07 AAY=1.2e-07 PES=5e-08X0 D1 G1 S1 B1 NMOS L=7.2e-08 NFIN=3 SA=9e-7, SB=9e-7 PS=2.1e-07 AAX=1e-07 AAY=1.2e-07 PES=5e-08
在表2和表3中,X0表示晶体管器件,D1、G1、S1、B1分别表示该晶体管的漏极、栅极、源极、衬底端,NMOS表示该晶体管的类型,L表示栅极的长度,NFIN表示鳍的数量,SA表示源区有源区边界到栅极的距离,SB表示漏区有源区边界到栅极的距离,PS表示栅极间距,AAX表示有源区横向间距,AAY表示有源区纵向间距,PES表示栅极末端间距。如表2和表3所示,LDE参数(例如,PS、AAX、AAY、PES)显著依赖于冗余晶体管的版图尺寸。因此,通过冗余晶体管的版图尺寸与LDE参数之间的映射关系,可以校正晶体管的LDE参数。In Table 2 and Table 3, X0 indicates the transistor device, D1, G1, S1, and B1 respectively indicate the drain, gate, source, and substrate terminals of the transistor, NMOS indicates the type of the transistor, and L indicates the terminal of the gate. Length, NFIN represents the number of fins, SA represents the distance from the boundary of the active region of the source region to the gate, SB represents the distance from the boundary of the active region of the drain region to the gate, PS represents the gate spacing, and AAX represents the lateral spacing of the active region, AAY indicates the vertical spacing of active regions, and PES indicates the spacing between gate terminals. As shown in Tables 2 and 3, the LDE parameters (eg, PS, AAX, AAY, PES) depend significantly on the layout size of the redundant transistors. Therefore, through the mapping relationship between the layout size of the redundant transistor and the LDE parameter, the LDE parameter of the transistor can be corrected.
返回图2,根据本公开的实施例,抽取命令文件208还可以包括寄生电容数据库216的路径。寄生电容数据库216可以包括由冗余晶体管引入的寄生电容。例如,寄生电容数据库216可以由晶圆厂来提供。备选地,寄生电容数据库也可以EDA软件公司或半导体设计公司来提供。Returning to FIG. 2 , the extraction command file 208 may also include a path to a parasitic capacitance database 216 according to an embodiment of the present disclosure. Parasitic capacitance database 216 may include parasitic capacitance introduced by redundant transistors. For example, parasitic capacitance database 216 may be provided by a foundry. Alternatively, the parasitic capacitance database can also be provided by an EDA software company or a semiconductor design company.
在一些实施例中,可以从RC技术文件210和RC虚拟冗余规则文件214(特别是冗余晶体管的版图尺寸)来生成寄生电容数据库216。寄生电容数据库216可以包括预定义晶体管的版图尺寸与预定义晶体管与对应于预定义晶体管的虚拟冗余晶体管之间的耦合电容之间的关系。例如,可以通过图形匹配的方式来计算晶体管和与晶体管相对应的虚拟冗余晶体管之间的耦合电容。基于晶体管的版图尺寸,可以确定构成该晶体管的多边形图形。然后,从RC技术文件210中确定与构成该晶体管的多边形图形匹配的多边形图形。基于虚拟冗余晶体管的版图尺寸,可以确定构成该虚拟冗余晶体管的多边形图形。然后,从RC技术文件210中确定与构成该虚拟冗余晶体管的多边形图形匹配的多边形图形。通过读取在RC技术文件210中的多边形图形之间的预先计算的电容值,并将这些电容值进行组合,可以确定晶体管与虚拟冗余晶体管之间的耦合电容。以这种方式,可以构造寄生电容数据库216。备选地,与构造RC技术文件210相似,可以基于互连技术文件以及预定义晶体管和相应的虚拟冗余晶体管的版图尺寸,通过电磁场解算器来计算寄生电容数据库216。In some embodiments, the parasitic capacitance database 216 may be generated from the RC technology file 210 and the RC virtual redundancy rules file 214 (specifically, the layout size of redundant transistors). The parasitic capacitance database 216 may include the relationship between the layout size of the predefined transistors and the coupling capacitance between the predefined transistors and the virtual redundant transistors corresponding to the predefined transistors. For example, the coupling capacitance between the transistor and the virtual redundant transistor corresponding to the transistor can be calculated by means of pattern matching. Based on the layout dimensions of a transistor, the polygonal shape that makes up the transistor can be determined. Then, from the RC technical file 210, a polygon pattern matching the polygon pattern constituting the transistor is determined. Based on the layout size of the virtual redundant transistor, the polygonal figure constituting the virtual redundant transistor can be determined. Then, from the RC technical file 210, a polygon pattern matching the polygon pattern constituting the dummy redundant transistor is determined. By reading the pre-calculated capacitance values between the polygonal figures in the RC technical document 210 and combining these capacitance values, the coupling capacitance between the transistor and the virtual redundant transistor can be determined. In this manner, the parasitic capacitance database 216 can be constructed. Alternatively, similar to constructing the RC technology file 210, the parasitic capacitance database 216 may be calculated by an electromagnetic field solver based on the interconnect technology file and the layout dimensions of predefined transistors and corresponding virtual redundant transistors.
寄生参数抽取器204在对抽取命令文件208进行解析时,可以获得寄生电容数据库216的路径,并从相应的路径中读取寄生电容数据库216。寄生参数抽取器204可以基于版图数据库202中的晶体管304的版图尺寸来确定寄生电容数据库216中的相应的耦合电容。具体而言,可以基于版图数据库202,查询寄生电容数据库216,以获取版图数据库202中的晶体管304与相应的虚拟冗余晶体管之间的耦合电容。例如,可以比较版图数据库202中的晶体管304的版图尺寸与寄生电容数据库216中的预定义晶体管的版图尺寸,以确定与晶体管304匹配的预定义晶体管。然后,可以基于该预定义晶体管与其相应的虚拟冗余晶体管之间的耦合电容,来确定晶体管304与其相应的虚拟冗余晶体管之间的耦合电容。在一些实施例中,寄生电容数据库216可能不包括与晶体管304的版图尺寸严格相等的预定义晶体管。在这种情况下,可以将与晶体管304的版图尺寸最接近的预定义晶体管作为与其匹配的晶体管。以下将结合图6-图8来介绍寄生电容的抽取。When parsing the extraction command file 208, the parasitic parameter extractor 204 can obtain the path of the parasitic capacitance database 216, and read the parasitic capacitance database 216 from the corresponding path. The parasitic parameter extractor 204 can determine the corresponding coupling capacitance in the parasitic capacitance database 216 based on the layout size of the transistor 304 in the layout database 202 . Specifically, the parasitic capacitance database 216 can be queried based on the layout database 202 to obtain the coupling capacitance between the transistor 304 in the layout database 202 and the corresponding virtual redundant transistor. For example, the layout size of transistor 304 in layout database 202 may be compared with the layout size of predefined transistors in parasitic capacitance database 216 to determine a predefined transistor matching transistor 304 . Then, the coupling capacitance between the transistor 304 and its corresponding virtual redundant transistor can be determined based on the coupling capacitance between the predefined transistor and its corresponding virtual redundant transistor. In some embodiments, parasitic capacitance database 216 may not include predefined transistors that are exactly equal to the layout size of transistor 304 . In this case, a predefined transistor whose layout size is closest to the transistor 304 can be used as a matching transistor. The extraction of parasitic capacitance will be described below in conjunction with FIGS. 6-8 .
图6示出了根据本公开的一些实施例的晶体管的版图600。版图600与版图400基本相同,不同之处在于示出了晶体管404与第一虚拟冗余晶体管406、第二虚拟冗余晶体管408和第四虚拟冗余晶体管412之间的耦合电容中的一部分。应当理解,这里为了方便起见,仅示出了一部分寄生电容,不同的模型可以包括更多或更少的寄生电容。例如,可以仅考虑与晶体管404相邻的虚拟冗余晶体管之间的耦合电容。又例如,可以仅考虑与晶体管404的电极相邻的虚拟冗余晶体管的相应电极之间的耦合电容。具体而言,图6示出了晶体管404的栅极与第二虚拟冗余晶体管408的栅极之间的耦合电容C Gdu1,晶体管404的栅极与第四虚拟冗余晶体管412的栅极之间的耦合电容C Gdu2,晶体管404的栅极与第一虚拟冗余晶体管406的栅极之间的耦合电容C Gdu3,以及晶体管404的栅极与第二虚拟冗余晶体管408的源极之间的耦合电容C GSdu1。另外,图6还示出了晶体管404的源极与第二虚拟冗余晶体管408的源极之间的耦合电容C SSdu1,以及晶体管404的源极与第四虚拟冗余晶体管412的漏极之间的耦合电容C SDdu1。为了简单起见,图6没有示出晶体管404的漏极与虚拟冗余晶体管之间的耦合电容。可以从寄生电容数据库216中获取这些耦合电容的电容值。 FIG. 6 shows a layout 600 of transistors according to some embodiments of the present disclosure. Layout 600 is substantially the same as layout 400 except that a portion of the coupling capacitance between transistor 404 and first dummy redundant transistor 406 , second dummy redundant transistor 408 , and fourth dummy redundant transistor 412 is shown. It should be understood that only a part of the parasitic capacitances are shown here for convenience, and different models may include more or less parasitic capacitances. For example, only coupling capacitance between dummy redundant transistors adjacent to transistor 404 may be considered. For another example, only the coupling capacitance between the corresponding electrodes of the dummy redundant transistors adjacent to the electrodes of the transistor 404 may be considered. Specifically, FIG. 6 shows the coupling capacitance C Gdu1 between the gate of the transistor 404 and the gate of the second dummy redundant transistor 408 , the coupling capacitance C Gdu1 between the gate of the transistor 404 and the gate of the fourth dummy redundant transistor 412 The coupling capacitance C Gdu2 between the gate of the transistor 404 and the gate of the first dummy redundant transistor 406, the coupling capacitance C Gdu3 between the gate of the transistor 404 and the source of the second dummy redundant transistor 408 The coupling capacitor C GSdu1 . In addition, FIG. 6 also shows the coupling capacitance C SSdu1 between the source of the transistor 404 and the source of the second dummy redundant transistor 408 , and the connection between the source of the transistor 404 and the drain of the fourth dummy redundant transistor 412 between the coupling capacitor C SDdu1 . For simplicity, FIG. 6 does not show the coupling capacitance between the drain of transistor 404 and the dummy redundant transistor. Capacitance values of these coupling capacitors can be obtained from the parasitic capacitance database 216 .
图7示出了根据本公开的一些实施例的晶体管的栅极寄生电容网络。如图7所示,栅极寄生电容网络(NET G)包括耦合电容C Gdu1、C Gdu2、C Gdu3和C GSdu1。在栅极寄生电容网络中,可以将耦合电容C Gdu1、C Gdu2、C Gdu3和C GSdu1的一端接地,以代替耦合到虚拟冗余晶体管。以这种方式,在栅极寄生电容网络中,耦合电容C Gdu1、C Gdu2、C Gdu3和C GSdu1将晶体管404的栅极接地。 FIG. 7 illustrates a gate parasitic capacitance network of a transistor according to some embodiments of the present disclosure. As shown in FIG. 7 , the gate parasitic capacitance network (NET G) includes coupling capacitances C Gdu1 , C Gdu2 , C Gdu3 and C GSdu1 . In the gate parasitic capacitance network, one end of the coupling capacitors C Gdu1 , C Gdu2 , C Gdu3 and C GSdu1 can be grounded instead of being coupled to the dummy redundant transistor. In this way, the coupling capacitances C Gdu1 , C Gdu2 , C Gdu3 , and C GSdu1 connect the gate of transistor 404 to ground in the gate parasitic capacitance network.
图8示出了根据本公开的一些实施例的晶体管的源极寄生电容网络。如图8所示,源极寄生电容网络(NET S)包括耦合电容C SSdu1和C SDdu1。在源极寄生电容网络中,可以将耦合电容C SSdu1和C SDdu1的一端接地,以代替耦合到虚拟冗余晶体管。以这种方式,在源极寄生电容网络中,耦合电容C SSdu1和C SDdu1将晶体管404的源极接地。表4示出了晶体管404的栅极寄生网络和源极寄生网络的网表。 FIG. 8 illustrates a source parasitic capacitance network of a transistor according to some embodiments of the present disclosure. As shown in FIG. 8 , the source parasitic capacitance network (NET S) includes coupling capacitors C SSdu1 and C SDdu1 . In the source parasitic capacitance network, one end of the coupling capacitors C SSdu1 and C SDdu1 can be grounded instead of being coupled to a dummy redundant transistor. In this way, the coupling capacitors C SSdu1 and C SDdu1 connect the source of transistor 404 to ground in the source parasitic capacitance network. Table 4 shows a netlist of the gate parasitic network and the source parasitic network of the transistor 404 .
表4Table 4
Figure PCTCN2021116544-appb-000003
Figure PCTCN2021116544-appb-000003
如表4所示,*|NET G表示晶体管404的栅极寄生电容网络,其电容值为2.12145e-16。C1和C2分别为晶体管404本身的与虚拟冗余晶体管无关的栅极寄生电容(例如,晶体管404的栅极与源极之间的耦合电容,晶体管404的栅极与漏极之间的耦合电容),C3-C6分别表示耦合电容C Gdu1、C Gdu2、C Gdu3和C GSdu1。*|NET S表示晶体管404的源极寄生电容网络,其电容值为8.53246e-17。C1和C2分别为晶体管404本身的与虚拟冗余晶体管无关的源极寄生电容(例如,晶体管404的源极与栅极之间的耦合电容,晶体管404的源极与漏极之间的耦合电容),C3和C4分别表示耦合电容C SSdu1和C SDdu1As shown in Table 4, *|NET G represents the gate parasitic capacitance network of the transistor 404, and its capacitance value is 2.12145e-16. C1 and C2 are respectively the gate parasitic capacitance (for example, the coupling capacitance between the gate and the source of the transistor 404, the coupling capacitance between the gate and the drain of the transistor 404) of the transistor 404 itself which has nothing to do with the virtual redundant transistor ), C3-C6 denote coupling capacitors C Gdu1 , C Gdu2 , C Gdu3 and C GSdu1 respectively. *|NET S represents the source parasitic capacitance network of the transistor 404, and its capacitance value is 8.53246e-17. C1 and C2 are respectively the source parasitic capacitance (for example, the coupling capacitance between the source and the gate of the transistor 404, the coupling capacitance between the source and the drain of the transistor 404) of the transistor 404 itself which has nothing to do with the virtual redundant transistor ), C3 and C4 represent the coupling capacitors C SSdu1 and C SDdu1 respectively.
现在返回图1,在框124,对网表212进行后仿真。由于网表212不包含冗余晶体管,而仅包含冗余晶体管对晶体管的影响(例如,对寄生电容的影响、对LDE参数的影响等),后仿真效率可以大大提升。另外,在框126,可以在版图数据库202中添加冗余晶体管,以用于生成掩模数据128,以用于最终的芯片制造。Returning now to FIG. 1 , at block 124 the netlist 212 is post-simulated. Since the netlist 212 does not include redundant transistors, but only includes the effects of redundant transistors on transistors (eg, effects on parasitic capacitance, effects on LDE parameters, etc.), post-simulation efficiency can be greatly improved. Additionally, at block 126, redundant transistors may be added in the layout database 202 for use in generating mask data 128 for final chip fabrication.
图9示出了根据本公开的一些实施例的用于电子设计自动化的方法900的流程图。例如,方法900可以在如图2所示的寄生参数抽取系统200中实现。FIG. 9 shows a flowchart of a method 900 for electronic design automation according to some embodiments of the present disclosure. For example, the method 900 can be implemented in the parasitic parameter extraction system 200 shown in FIG. 2 .
在框902,获取表示电路设计的版图数据库,电路设计包括晶体管。电路设计可以不包括冗余晶体管,版图数据库也可以不包括冗余晶体管。例如,版图数据库可以是如图2所示 的版图数据库202,并且晶体管可以是如图3所示的晶体管304。At block 902, a layout database representing a circuit design, including transistors, is obtained. The circuit design may not include redundant transistors, and the layout database may not include redundant transistors. For example, the layout database may be layout database 202 as shown in FIG. 2 and the transistor may be transistor 304 as shown in FIG. 3 .
在框904,基于版图数据库,查询寄生电容数据库,以获取晶体管与对应于晶体管的虚拟冗余晶体管之间的耦合电容。寄生电容数据库包括预定义晶体管的版图尺寸与预定义晶体管与对应于预定义晶体管的虚拟冗余晶体管之间的耦合电容之间的关系。在一些实施例中,与一个晶体管对应的虚拟冗余晶体管可以是与该晶体管相邻的虚拟冗余晶体管,或者在该晶体管的一定空间距离内的虚拟冗余晶体管。在又一些实施例中,虚拟冗余晶体管可以由晶圆厂的冗余填充工艺指定。例如,晶体管可以是晶体管404,虚拟冗余晶体管可以是第一虚拟冗余晶体管406、第二虚拟冗余晶体管408、第三虚拟冗余晶体管410、第四虚拟冗余晶体管412和第五虚拟冗余晶体管414。At block 904, based on the layout database, the parasitic capacitance database is queried to obtain the coupling capacitance between the transistor and the virtual redundant transistor corresponding to the transistor. The parasitic capacitance database includes a relationship between layout sizes of predefined transistors and coupling capacitances between the predefined transistors and virtual redundant transistors corresponding to the predefined transistors. In some embodiments, a virtual redundant transistor corresponding to a transistor may be a virtual redundant transistor adjacent to the transistor, or a virtual redundant transistor within a certain spatial distance of the transistor. In yet other embodiments, dummy redundant transistors may be specified by the fab's redundant fill process. For example, the transistor may be transistor 404, and the dummy redundant transistor may be first dummy redundant transistor 406, second dummy redundant transistor 408, third dummy redundant transistor 410, fourth dummy redundant transistor 412, and fifth dummy redundant transistor. remaining transistor 414.
在一些实施例中,可以比较版图数据库中的晶体管的版图尺寸与寄生电容数据库中的预定义晶体管的版图尺寸,以确定与晶体管匹配的预定义晶体管。然后,可以基于该预定义晶体管与其相应的虚拟冗余晶体管之间的耦合电容,来确定晶体管与其相应的虚拟冗余晶体管之间的耦合电容。在一些实施例中,寄生电容数据库可能不包括与晶体管的版图尺寸严格相等的预定义晶体管。在这种情况下,可以将与晶体管的版图尺寸最接近的预定义晶体管作为与其匹配的晶体管。In some embodiments, the layout size of transistors in the layout database may be compared with the layout size of predefined transistors in the parasitic capacitance database to determine a predefined transistor matching the transistor. Then, the coupling capacitance between the transistor and its corresponding virtual redundant transistor can be determined based on the coupling capacitance between the predefined transistor and its corresponding virtual redundant transistor. In some embodiments, the parasitic capacitance database may not include predefined transistors that are exactly equal to the transistor's layout size. In this case, a predefined transistor whose layout size is closest to the transistor can be used as a matching transistor.
在一些实施例中,耦合电容可以包括晶体管的栅极与虚拟冗余晶体管的相邻电极之间的栅极耦合电容,晶体管的源极与虚拟冗余晶体管的相邻电极之间的源极耦合电容,和/或晶体管的漏极与虚拟冗余晶体管的相邻电极之间的漏极耦合电容。例如,在图6所示的实施例中,晶体管404的栅极耦合电容可以包括晶体管404的栅极与第二虚拟冗余晶体管408的栅极之间的耦合电容C Gdu1,晶体管404的栅极与第四虚拟冗余晶体管412的栅极之间的耦合电容C Gdu2,晶体管404的栅极与第一虚拟冗余晶体管406的栅极之间的耦合电容C Gdu3,以及晶体管404的栅极与第二虚拟冗余晶体管408的源极之间的耦合电容C GSdu1。例如,在图6所示的示例中,晶体管404的源极耦合电容可以包括晶体管404的源极与第二虚拟冗余晶体管408的源极之间的耦合电容C SSdu1,以及晶体管404的源极与第四虚拟冗余晶体管412的漏极之间的耦合电容C SDdu1In some embodiments, the coupling capacitance may include a gate coupling capacitance between the gate of the transistor and an adjacent electrode of the dummy redundant transistor, and a source coupling capacitance between the source of the transistor and an adjacent electrode of the dummy redundant transistor. capacitance, and/or drain coupling capacitance between the drain of the transistor and the adjacent electrode of the dummy redundant transistor. For example, in the embodiment shown in FIG. 6 , the gate coupling capacitance of the transistor 404 may include a coupling capacitance C Gdu1 between the gate of the transistor 404 and the gate of the second dummy redundant transistor 408 , the gate of the transistor 404 The coupling capacitance C Gdu2 between the gate of the fourth dummy redundant transistor 412, the coupling capacitance C Gdu3 between the gate of the transistor 404 and the gate of the first dummy redundant transistor 406, and the gate of the transistor 404 and The coupling capacitance C GSdu1 between the sources of the second dummy redundancy transistor 408 . For example, in the example shown in FIG. 6 , the source coupling capacitance of transistor 404 may include the coupling capacitance C SSdu1 between the source of transistor 404 and the source of second dummy redundant transistor 408 , and the source of transistor 404 and the coupling capacitor C SDdu1 between the drain of the fourth dummy redundant transistor 412 .
在框906,基于晶体管与虚拟冗余晶体管之间的耦合电容,确定晶体管的寄生电容网络,寄生电容网络表示晶体管的寄生电容。可以晶体管与虚拟冗余晶体管之间的耦合电容等效为晶体管的寄生电容,以避免引入冗余晶体管。At block 906, based on the coupling capacitance between the transistor and the dummy redundant transistor, a parasitic capacitance network of the transistor is determined, the parasitic capacitance network representing the parasitic capacitance of the transistor. The coupling capacitance between the transistor and the virtual redundant transistor can be equivalent to the parasitic capacitance of the transistor, so as to avoid introducing redundant transistors.
在一些实施例中,将耦合电容连接在晶体管与地之间,以确定晶体管的寄生电容网络。例如,可以基于栅极耦合电容,确定晶体管的栅极寄生电容网络;基于源极耦合电容,确定晶体管的源极寄生电容网络;基于漏极耦合电容,确定晶体管的漏极寄生电容网络。以这种方式,晶体管的寄生电容网络包含了晶体管与冗余晶体管的耦合电容,但并不包含冗余晶体管。In some embodiments, a coupling capacitor is connected between the transistor and ground to define the parasitic capacitance network of the transistor. For example, the gate parasitic capacitance network of the transistor can be determined based on the gate coupling capacitance; the source parasitic capacitance network of the transistor can be determined based on the source coupling capacitance; and the drain parasitic capacitance network of the transistor can be determined based on the drain coupling capacitance. In this way, the parasitic capacitance network of the transistor includes the coupling capacitance of the transistor and the redundant transistor, but does not include the redundant transistor.
在框908,基于版图数据库以及晶体管的寄生电容网络,生成表示电路设计的网表。网表包括晶体管的版图尺寸以及晶体管的寄生电容网络。例如,晶体管的版图尺寸可以通过版图数据库来确定。At block 908, a netlist representing the circuit design is generated based on the layout database and the parasitic capacitance network of the transistors. The netlist includes the layout dimensions of the transistors and the parasitic capacitance network of the transistors. For example, the layout dimensions of transistors can be determined from a layout database.
在一些实施例中,方法900还包括:基于网表,对电路设计执行版图后仿真。在版图后仿真验证该电路设计之后,可以向版图数据库中添加与虚拟冗余晶体管对应的冗余晶体管,以用于生成表示电路设计的掩模数据。In some embodiments, the method 900 further includes: performing post-layout simulation on the circuit design based on the netlist. After the circuit design is verified by post-layout simulation, redundant transistors corresponding to virtual redundant transistors can be added to the layout database for generating mask data representing the circuit design.
在一些实施例中,方法900还包括:获取虚拟冗余晶体管的版图尺寸以及虚拟冗余晶体 管的版图尺寸与晶体管的版图依赖效应(LDE)参数之间的映射关系;以及基于虚拟冗余晶体管的版图尺寸,通过虚拟冗余晶体管的版图尺寸与LDE参数之间的映射关系,确定网表中晶体管的LDE参数。In some embodiments, the method 900 further includes: obtaining the layout size of the virtual redundant transistor and the mapping relationship between the layout size of the virtual redundant transistor and the layout dependent effect (LDE) parameter of the transistor; The layout size, through the mapping relationship between the layout size of the virtual redundant transistor and the LDE parameter, determines the LDE parameter of the transistor in the netlist.
在一些实施例中,可以基于预定义晶体管的版图尺寸和对应于预定义晶体管的虚拟冗余晶体管的版图尺寸,生成寄生电容数据库。例如,可以通过图形匹配或者电磁场解算器来计算寄生电容数据库。In some embodiments, the parasitic capacitance database may be generated based on the layout sizes of the predefined transistors and the layout sizes of virtual redundant transistors corresponding to the predefined transistors. For example, the parasitic capacitance database can be calculated by pattern matching or electromagnetic field solvers.
在一些实施例中,基于用于将版图层次映射到技术层次的层次映射文件,将版图数据库中的版图层次映射到相应的技术层次。以这种方式,可以确定晶体管除耦合电容之外的其他寄生电容。In some embodiments, the layout hierarchies in the layout database are mapped to corresponding technology hierarchies based on a hierarchy mapping file for mapping layout hierarchies to technology hierarchies. In this way, other parasitic capacitances of the transistor besides the coupling capacitance can be determined.
图10示出了一个可以用来实施本公开的实施例的设备1000的示意性框图。如图1所示的方法100、如图2所示的系统200以及如图9所示的方法900可以由设备1000来实现。FIG. 10 shows a schematic block diagram of a device 1000 that can be used to implement embodiments of the present disclosure. The method 100 shown in FIG. 1 , the system 200 shown in FIG. 2 and the method 900 shown in FIG. 9 may be implemented by the device 1000 .
如图10所示,设备1000包括中央处理单元(Central Processing Unit,CPU)1001,其可以根据存储在只读存储器(Read-Only Memory,ROM)1002中的计算机程序指令或者从存储单元1008加载到随机访问存储器(Random Access Memory,RAM)1003中的计算机程序指令,来执行各种适当的动作和处理。在RAM 1003中,还可存储设备1000操作所需的各种程序和数据。CPU 1001、ROM 1002以及RAM 1003通过总线1004彼此相连。输入/输出(Input/Output,I/O)接口1005也连接至总线1004。As shown in Figure 10, the device 1000 includes a central processing unit (Central Processing Unit, CPU) 1001, which can be stored in a computer program instruction in a read-only memory (Read-Only Memory, ROM) 1002 or loaded from a storage unit 1008 to Computer program instructions in a random access memory (Random Access Memory, RAM) 1003 to perform various appropriate actions and processes. In the RAM 1003, various programs and data necessary for the operation of the device 1000 can also be stored. The CPU 1001, ROM 1002, and RAM 1003 are connected to each other via a bus 1004. An input/output (Input/Output, I/O) interface 1005 is also connected to the bus 1004 .
设备1000中的多个部件连接至I/O接口1005,包括:输入单元1006,例如键盘、鼠标等;输出单元1007,例如各种类型的显示器、扬声器等;存储单元1008,例如磁盘、光盘等;以及通信单元1009,例如网卡、调制解调器、无线通信收发机等。通信单元1009允许设备1000通过诸如因特网的计算机网络和/或各种电信网络与其他设备交换信息/数据。Multiple components in the device 1000 are connected to the I/O interface 1005, including: an input unit 1006, such as a keyboard, a mouse, etc.; an output unit 1007, such as various types of displays, speakers, etc.; a storage unit 1008, such as a magnetic disk, an optical disk, etc. ; and a communication unit 1009, such as a network card, a modem, a wireless communication transceiver, and the like. The communication unit 1009 allows the device 1000 to exchange information/data with other devices through a computer network such as the Internet and/or various telecommunication networks.
上文所描述的各个过程和处理,例如方法100或900,可由处理单元1001执行。例如,在一些实施例中,方法100或900可被实现为计算机软件程序,其被有形地包含于机器可读介质,例如存储单元1008。在一些实施例中,计算机程序的部分或者全部可以经由ROM 1002和/或通信单元1009而被载入和/或安装到设备1000上。当计算机程序被加载到RAM 1003并由CPU 1001执行时,可以执行上文描述的方法100或900的一个或多个步骤。备选地,在其他实施例中,CPU 1001可以通过其他任何适当的方式(例如,借助于固件)而被配置为执行方法100或900。The various procedures and processes described above, such as the method 100 or 900 , can be executed by the processing unit 1001 . For example, in some embodiments, method 100 or 900 may be implemented as a computer software program tangibly embodied on a machine-readable medium, such as storage unit 1008 . In some embodiments, part or all of the computer program may be loaded and/or installed on the device 1000 via the ROM 1002 and/or the communication unit 1009. When a computer program is loaded into RAM 1003 and executed by CPU 1001, one or more steps of method 100 or 900 described above may be performed. Alternatively, in other embodiments, the CPU 1001 may be configured to execute the method 100 or 900 in any other suitable manner (for example, by means of firmware).
本公开可以是方法、设备、系统和/或计算机程序产品。计算机程序产品可以包括计算机可读存储介质,其上载有用于执行本公开的各个方面的计算机可读程序指令。The present disclosure may be a method, apparatus, system and/or computer program product. A computer program product may include a computer-readable storage medium having computer-readable program instructions thereon for carrying out various aspects of the present disclosure.
计算机可读存储介质可以是可以保持和存储由指令执行设备使用的指令的有形设备。计算机可读存储介质例如可以是――但不限于――电存储设备、磁存储设备、光存储设备、电磁存储设备、半导体存储设备或者上述的任意合适的组合。计算机可读存储介质的更具体的例子(非穷举的列表)包括:便携式计算机盘、硬盘、随机存取存储器(RAM)、只读存储器(ROM)、可擦式可编程只读存储器(Erasable Programmable Read-Only Memory,EPROM)或闪存、静态随机存取存储器(Static Random Access Memory,SRAM)、便携式压缩盘只读存储器(Compact Disc Read-Only Memory,CD-ROM)、数字多功能盘(Digital Video Disc,DVD)、记忆棒、软盘、机械编码设备、例如其上存储有指令的打孔卡或凹槽内凸起结构、以及上述的任意合适的组合。这里所使用的计算机可读存储介质不被解释为瞬时信号本身,诸如无线电波或者其他自由传播的电磁波、通过波导或其他传输媒介传播的电磁波(例如,通过光纤 电缆的光脉冲)、或者通过电线传输的电信号。A computer readable storage medium may be a tangible device that can retain and store instructions for use by an instruction execution device. A computer readable storage medium may be, for example, but is not limited to, an electrical storage device, a magnetic storage device, an optical storage device, an electromagnetic storage device, a semiconductor storage device, or any suitable combination of the foregoing. More specific examples (a non-exhaustive list) of computer-readable storage media include: portable computer diskettes, hard disks, random access memory (RAM), read-only memory (ROM), erasable programmable read-only memory (Erasable Programmable Read-Only Memory, EPROM) or flash memory, Static Random Access Memory (Static Random Access Memory, SRAM), Portable Compact Disc Read-Only Memory (CD-ROM), Digital Versatile Disk (Digital Video Disc, DVD), memory sticks, floppy disks, mechanically encoded devices such as punched cards or raised structures in grooves with instructions stored thereon, and any suitable combination of the foregoing. As used herein, computer-readable storage media are not to be construed as transient signals per se, such as radio waves or other freely propagating electromagnetic waves, electromagnetic waves propagating through waveguides or other transmission media (e.g., pulses of light through fiber optic cables), or transmitted electrical signals.
这里所描述的计算机可读程序指令可以从计算机可读存储介质下载到各个计算/处理设备,或者通过网络、例如因特网、局域网、广域网和/或无线网下载到外部计算机或外部存储设备。网络可以包括铜传输电缆、光纤传输、无线传输、路由器、防火墙、交换机、网关计算机和/或边缘服务器。每个计算/处理设备中的网络适配卡或者网络接口从网络接收计算机可读程序指令,并转发该计算机可读程序指令,以供存储在各个计算/处理设备中的计算机可读存储介质中。Computer-readable program instructions described herein may be downloaded from a computer-readable storage medium to a respective computing/processing device, or downloaded to an external computer or external storage device over a network, such as the Internet, a local area network, a wide area network, and/or a wireless network. The network may include copper transmission cables, fiber optic transmission, wireless transmission, routers, firewalls, switches, gateway computers, and/or edge servers. A network adapter card or a network interface in each computing/processing device receives computer-readable program instructions from the network and forwards the computer-readable program instructions for storage in a computer-readable storage medium in each computing/processing device .
用于执行本公开操作的计算机程序指令可以是汇编指令、指令集架构(Instruction Set Architecture,ISA)指令、机器指令、机器相关指令、微代码、固件指令、状态设置数据、或者以一种或多种编程语言的任意组合编写的源代码或目标代码,所述编程语言包括面向对象的编程语言—诸如Python、C++等,以及常规的过程式编程语言—诸如“C”语言或类似的编程语言。计算机可读程序指令可以完全地在用户计算机上执行、部分地在用户计算机上执行、作为一个独立的软件包执行、部分在用户计算机上部分在远程计算机上执行、或者完全在远程计算机或服务器上执行。在涉及远程计算机的情形中,远程计算机可以通过任意种类的网络—包括局域网(Local Area Network,LAN)或广域网(Wide Area Network,WAN)—连接到用户计算机,或者,可以连接到外部计算机(例如利用因特网服务提供商来通过因特网连接)。在一些实施例中,通过利用计算机可读程序指令的状态信息来个性化定制电子电路,例如可编程逻辑电路、现场可编程门阵列(Field Programmable Gate Array,FPGA)或可编程逻辑阵列(Programmable Logic Array,PLA),该电子电路可以执行计算机可读程序指令,从而实现本公开的各个方面。Computer program instructions for performing the operations of the present disclosure may be assembly instructions, Instruction Set Architecture (ISA) instructions, machine instructions, machine-dependent instructions, microcode, firmware instructions, state setting data, or in the form of one or more source or object code written in any combination of programming languages, including object-oriented programming languages—such as Python, C++, etc., and conventional procedural programming languages—such as “C” or similar programming languages. Computer readable program instructions may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer, or entirely on the remote computer or server implement. In cases involving a remote computer, the remote computer may be connected to the user's computer through any kind of network, including a Local Area Network (LAN) or a Wide Area Network (WAN), or it may be connected to an external computer such as use an Internet service provider to connect via the Internet). In some embodiments, electronic circuits, such as programmable logic circuits, field programmable gate arrays (Field Programmable Gate Array, FPGA) or programmable logic arrays (Programmable Logic Array, PLA), the electronic circuit can execute computer-readable program instructions, thereby implementing various aspects of the present disclosure.
这里参照根据本公开实施例的方法、装置(系统)和计算机程序产品的流程图和/或框图描述了本公开的各个方面。应当理解,流程图和/或框图的每个方框以及流程图和/或框图中各方框的组合,都可以由计算机可读程序指令实现。Aspects of the present disclosure are described herein with reference to flowchart illustrations and/or block diagrams of methods, apparatus (systems) and computer program products according to embodiments of the disclosure. It should be understood that each block of the flowcharts and/or block diagrams, and combinations of blocks in the flowcharts and/or block diagrams, can be implemented by computer-readable program instructions.
这些计算机可读程序指令可以提供给通用计算机、专用计算机或其他可编程数据处理装置的处理单元,从而生产出一种机器,使得这些指令在通过计算机或其他可编程数据处理装置的处理单元执行时,产生了实现流程图和/或框图中的一个或多个方框中规定的功能/动作的装置。也可以把这些计算机可读程序指令存储在计算机可读存储介质中,这些指令使得计算机、可编程数据处理装置和/或其他设备以特定方式工作,从而,存储有指令的计算机可读介质则包括一个制造品,其包括实现流程图和/或框图中的一个或多个方框中规定的功能/动作的各个方面的指令。These computer-readable program instructions may be provided to a processing unit of a general purpose computer, special purpose computer, or other programmable data processing apparatus to produce a machine such that when executed by the processing unit of the computer or other programmable data processing apparatus , producing an apparatus for realizing the functions/actions specified in one or more blocks in the flowchart and/or block diagram. These computer-readable program instructions can also be stored in a computer-readable storage medium, and these instructions cause computers, programmable data processing devices and/or other devices to work in a specific way, so that the computer-readable medium storing instructions includes An article of manufacture comprising instructions for implementing various aspects of the functions/acts specified in one or more blocks in flowcharts and/or block diagrams.
也可以把计算机可读程序指令加载到计算机、其他可编程数据处理装置、或其他设备上,使得在计算机、其他可编程数据处理装置或其他设备上执行一系列操作步骤,以产生计算机实现的过程,从而使得在计算机、其他可编程数据处理装置、或其他设备上执行的指令实现流程图和/或框图中的一个或多个方框中规定的功能/动作。It is also possible to load computer-readable program instructions into a computer, other programmable data processing device, or other equipment, so that a series of operation steps are performed on the computer, other programmable data processing device, or other equipment to produce a computer-implemented process , so that instructions executed on computers, other programmable data processing devices, or other devices implement the functions/actions specified in one or more blocks in the flowcharts and/or block diagrams.
附图中的流程图和框图显示了根据本公开的多个实施例的系统、方法和计算机程序产品的可能实现的体系架构、功能和操作。在这点上,流程图或框图中的每个方框可以代表一个模块、程序段或指令的一部分,所述模块、程序段或指令的一部分包含一个或多个用于实现规定的逻辑功能的可执行指令。在有些作为替换的实现中,方框中所标注的功能也可以以不同于附图中所标注的顺序发生。例如,两个连续的方框实际上可以基本并行地执行,它们有时也可以按相反的顺序执行,这依所涉及的功能而定。也要注意的是,框图和/或流程图中的 每个方框、以及框图和/或流程图中的方框的组合,可以用执行规定的功能或动作的专用的基于硬件的系统来实现,或者可以用专用硬件与计算机指令的组合来实现。The flowchart and block diagrams in the figures illustrate the architecture, functionality, and operation of possible implementations of systems, methods and computer program products according to various embodiments of the present disclosure. In this regard, each block in a flowchart or block diagram may represent a module, a portion of a program segment, or an instruction that includes one or more Executable instructions. In some alternative implementations, the functions noted in the block may occur out of the order noted in the figures. For example, two blocks in succession may, in fact, be executed substantially concurrently, or they may sometimes be executed in the reverse order, depending upon the functionality involved. It should also be noted that each block of the block diagrams and/or flowchart illustrations, and combinations of blocks in the block diagrams and/or flowchart illustrations, can be implemented by a dedicated hardware-based system that performs the specified function or action , or may be implemented by a combination of dedicated hardware and computer instructions.
以上已经描述了本公开的各实施例,上述说明是示例性的,并非穷尽性的,并且也不限于所公开的各实施例。在不偏离所说明的各实施例的范围的情况下,对于本技术领域的普通技术人员来说许多修改和变更都是显而易见的。本文中所用术语的选择,旨在最好地解释各实施例的原理、实际应用或对市场中的技术的改进,或者使本技术领域的其他普通技术人员能理解本文公开的各实施例。Having described various embodiments of the present disclosure above, the foregoing description is exemplary, not exhaustive, and is not limited to the disclosed embodiments. Many modifications and alterations will be apparent to those of ordinary skill in the art without departing from the scope of the described embodiments. The terminology used herein is chosen to best explain the principle of each embodiment, practical application or improvement of technology in the market, or to enable other ordinary skilled in the art to understand each embodiment disclosed herein.

Claims (15)

  1. 一种电子设计自动化的方法,包括:A method of electronic design automation, comprising:
    获取表示电路设计的版图数据库,所述电路设计包括晶体管,并且不包括冗余晶体管;obtaining a layout database representing a circuit design that includes transistors and does not include redundant transistors;
    基于所述版图数据库,查询寄生电容数据库,以获取所述晶体管与对应于所述晶体管的虚拟冗余晶体管之间的耦合电容,其中所述寄生电容数据库包括预定义晶体管的版图尺寸与所述预定义晶体管与对应于所述预定义晶体管的虚拟冗余晶体管之间的耦合电容之间的关系;Based on the layout database, query the parasitic capacitance database to obtain the coupling capacitance between the transistor and the virtual redundant transistor corresponding to the transistor, wherein the parasitic capacitance database includes the layout size of the predefined transistor and the predefined defining a relationship between a transistor and a coupling capacitance between a virtual redundant transistor corresponding to the predefined transistor;
    基于所述晶体管与所述虚拟冗余晶体管之间的耦合电容,确定所述晶体管的寄生电容网络,所述寄生电容网络表示所述晶体管的寄生电容;以及determining a parasitic capacitance network of the transistor based on a coupling capacitance between the transistor and the virtual redundant transistor, the network of parasitic capacitance representing the parasitic capacitance of the transistor; and
    基于所述版图数据库以及所述晶体管的寄生电容网络,生成表示所述电路设计的网表。A netlist representing the circuit design is generated based on the layout database and the parasitic capacitance network of the transistors.
  2. 根据权利要求1所述的方法,其中所述基于所述晶体管与所述虚拟冗余晶体管之间的耦合电容,确定所述晶体管的寄生电容网络包括:The method according to claim 1, wherein said determining the parasitic capacitance network of the transistor based on the coupling capacitance between the transistor and the virtual redundant transistor comprises:
    将所述耦合电容连接在所述晶体管与地之间,以得到所述晶体管的寄生电容网络。The coupling capacitance is connected between the transistor and ground to obtain a parasitic capacitance network of the transistor.
  3. 根据权利要求1或2所述的方法,还包括:The method according to claim 1 or 2, further comprising:
    基于所述网表,对所述电路设计执行版图后仿真。Based on the netlist, post-layout simulation is performed on the circuit design.
  4. 根据权利要求1-3中任一项所述的方法,其中所述晶体管包括第一电极,并且所述寄生电容网络包括所述第一电极的第一寄生电容网络,并且所述基于所述版图数据库,查询寄生电容数据库,以得到所述晶体管与对应于所述晶体管的虚拟冗余晶体管之间的耦合电容包括:基于所述版图数据库,查询所述寄生电容数据库,以获取所述晶体管的所述第一电极与所述虚拟冗余晶体管的相邻电极之间的第一耦合电容,并且The method according to any one of claims 1-3, wherein said transistor comprises a first electrode, and said parasitic capacitance network comprises a first parasitic capacitance network of said first electrode, and said based on said layout The database, querying the parasitic capacitance database to obtain the coupling capacitance between the transistor and the virtual redundant transistor corresponding to the transistor includes: based on the layout database, querying the parasitic capacitance database to obtain all of the transistors a first coupling capacitance between the first electrode and an adjacent electrode of the dummy redundant transistor, and
    其中所述基于所述晶体管与所述虚拟冗余晶体管之间的耦合电容,确定所述晶体管的寄生电容网络包括:基于所述第一耦合电容,确定所述晶体管的第一电极寄生电容网络。The determining the parasitic capacitance network of the transistor based on the coupling capacitance between the transistor and the virtual redundant transistor includes: determining the first electrode parasitic capacitance network of the transistor based on the first coupling capacitance.
  5. 根据权利要求4所述的方法,其中所述第一电极是所述晶体管的栅极、源极和漏极中的至少一项。The method of claim 4, wherein the first electrode is at least one of a gate, a source, and a drain of the transistor.
  6. 根据权利要求1-5中任一项所述的方法,其中所述基于所述版图数据库,查询寄生电容数据库,以获取所述晶体管与对应于所述晶体管的虚拟冗余晶体管之间的耦合电容包括:The method according to any one of claims 1-5, wherein the parasitic capacitance database is queried based on the layout database to obtain the coupling capacitance between the transistor and a virtual redundant transistor corresponding to the transistor include:
    比较所述晶体管的版图尺寸与所述寄生电容数据库中的预定义晶体管的版图尺寸,以确定与所述晶体管匹配的预定义晶体管;以及comparing the layout size of the transistor with the layout size of predefined transistors in the parasitic capacitance database to determine a predefined transistor matching the transistor; and
    基于与所述晶体管匹配的预定义晶体管与对应于所述预定义晶体管的虚拟冗余晶体管之间的耦合电容,确定所述晶体管与对应于所述晶体管的虚拟冗余晶体管之间的所述耦合电容。determining the coupling between the transistor and a virtual redundant transistor corresponding to the transistor based on a coupling capacitance between a predefined transistor matching the transistor and a virtual redundant transistor corresponding to the predefined transistor capacitance.
  7. 根据权利要求1-6中任一项所述的方法,还包括:The method according to any one of claims 1-6, further comprising:
    获取所述虚拟冗余晶体管的版图尺寸以及所述虚拟冗余晶体管的版图尺寸与所述晶体管的版图依赖效应(LDE)参数之间的映射关系;以及Obtaining the layout size of the virtual redundant transistor and a mapping relationship between the layout size of the virtual redundant transistor and a layout dependent effect (LDE) parameter of the transistor; and
    基于所述虚拟冗余晶体管的版图尺寸,通过所述虚拟冗余晶体管的版图尺寸与所述LDE参数之间的映射关系,确定所述网表中所述晶体管的LDE参数。Based on the layout size of the virtual redundant transistor, the LDE parameter of the transistor in the netlist is determined through a mapping relationship between the layout size of the virtual redundant transistor and the LDE parameter.
  8. 根据权利要求1-7中任一项所述的方法,还包括:The method according to any one of claims 1-7, further comprising:
    向所述版图数据库中添加与所述虚拟冗余晶体管相对应的冗余晶体管,以用于生成表示所述电路设计的掩模数据。Redundant transistors corresponding to the virtual redundant transistors are added to the layout database for use in generating mask data representing the circuit design.
  9. 根据权利要求1-7中任一项所述的方法,还包括:The method according to any one of claims 1-7, further comprising:
    基于预定义晶体管的版图尺寸和对应于所述预定义晶体管的虚拟冗余晶体管的版图尺寸, 生成所述寄生电容数据库。The parasitic capacitance database is generated based on a layout size of a predefined transistor and a layout size of a virtual redundant transistor corresponding to the predefined transistor.
  10. 根据权利要求9所述的方法,其中所述基于预定义晶体管的版图尺寸和对应于所述预定义晶体管的虚拟冗余晶体管的版图尺寸,生成所述寄生电容数据库包括:The method according to claim 9, wherein said generating the parasitic capacitance database based on the layout size of the predefined transistor and the layout size of the virtual redundant transistor corresponding to the predefined transistor comprises:
    基于所述预定义晶体管的版图尺寸和对应于所述预定义晶体管的虚拟冗余晶体管的版图尺寸,确定与所述预定义晶体管和所述虚拟冗余晶体管的多边形图形;以及determining a polygon pattern associated with the predefined transistor and the virtual redundant transistor based on the layout size of the predefined transistor and the layout size of a virtual redundant transistor corresponding to the predefined transistor; and
    通过将所述多边形图形与具有预先计算的耦合电容的电容值的预定义多边形图形进行匹配,计算所述预定义晶体管与所述虚拟冗余晶体管之间的耦合电容,以生成所述寄生电容数据库。calculating coupling capacitances between the predefined transistors and the virtual redundant transistors by matching the polygonal graphics with predefined polygonal graphics having capacitance values of pre-calculated coupling capacitances to generate the parasitic capacitance database .
  11. 根据权利要求9所述的方法,其中所述基于预定义晶体管的版图尺寸和对应于所述预定义晶体管的虚拟冗余晶体管的版图尺寸,生成所述寄生电容数据库包括:The method according to claim 9, wherein said generating the parasitic capacitance database based on the layout size of the predefined transistor and the layout size of the virtual redundant transistor corresponding to the predefined transistor comprises:
    基于表示制造工艺的互连技术文件、所述预定义晶体管的版图尺寸和对应于所述预定义晶体管的虚拟冗余晶体管的版图尺寸,通过电磁场解算器计算所述预定义晶体管与所述虚拟冗余晶体管之间的耦合电容,以生成所述寄生电容数据库。Based on the interconnect technology file representing the manufacturing process, the layout size of the predefined transistor and the layout size of the virtual redundant transistor corresponding to the predefined transistor, the relationship between the predefined transistor and the virtual transistor is calculated by an electromagnetic field solver. redundant coupling capacitance between transistors to generate the parasitic capacitance database.
  12. 根据权利要求1-11中任一项所述的方法,还包括:The method according to any one of claims 1-11, further comprising:
    基于用于将版图层次映射到技术层次的层次映射文件,将所述版图数据库中的版图层次映射到相应的技术层次。Mapping the layout hierarchy in the layout database to the corresponding technology hierarchy based on the hierarchy mapping file used for mapping the layout hierarchy to the technology hierarchy.
  13. 一种设备,包括:A device comprising:
    处理器;以及processor; and
    存储器,耦合到所述处理器并且存储指令,所述指令在被所述处理器执行时使得所述设备实现根据权利要求1-12中任一项所述的方法。A memory coupled to the processor and storing instructions that, when executed by the processor, cause the device to implement the method of any one of claims 1-12.
  14. 一种存储计算机可执行指令的计算机可读存储介质,其中,所述计算机可执行指令在由至少一个处理器执行时使所述至少一个处理器执行根据权利要求1-12中任一项所述的方法。A computer-readable storage medium storing computer-executable instructions, wherein the computer-executable instructions, when executed by at least one processor, cause the at least one processor to perform the Methods.
  15. 一种计算机程序,其中,所述计算机程序在由至少一个处理器执行时使所述至少一个处理器执行根据权利要求1-12中任一项所述的方法。A computer program, wherein said computer program, when executed by at least one processor, causes said at least one processor to perform the method according to any one of claims 1-12.
PCT/CN2021/116544 2021-09-03 2021-09-03 Method and device for electronic design automation WO2023029007A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202180100893.5A CN117751364A (en) 2021-09-03 2021-09-03 Method and apparatus for electronic design automation
PCT/CN2021/116544 WO2023029007A1 (en) 2021-09-03 2021-09-03 Method and device for electronic design automation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2021/116544 WO2023029007A1 (en) 2021-09-03 2021-09-03 Method and device for electronic design automation

Publications (1)

Publication Number Publication Date
WO2023029007A1 true WO2023029007A1 (en) 2023-03-09

Family

ID=85411873

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2021/116544 WO2023029007A1 (en) 2021-09-03 2021-09-03 Method and device for electronic design automation

Country Status (2)

Country Link
CN (1) CN117751364A (en)
WO (1) WO2023029007A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117272910A (en) * 2023-11-22 2023-12-22 江山季丰电子科技有限公司 Modularized design method and device for aging circuit board of integrated circuit

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006031297A (en) * 2004-07-15 2006-02-02 Matsushita Electric Ind Co Ltd Method for generating net list for characteristic verification in semiconductor integrated circuit
JP2006171818A (en) * 2004-12-13 2006-06-29 Matsushita Electric Ind Co Ltd Cross-talk verification device and cross-talk verification method
US20070220459A1 (en) * 2006-03-06 2007-09-20 Mentor Graphics Corp. Capacitance extraction of intergrated circuits with floating fill
JP2009009284A (en) * 2007-06-27 2009-01-15 Denso Corp Circuit design information display device and computer program
CN101923595A (en) * 2010-08-25 2010-12-22 清华大学 System and method for extracting parasitic components in analog integrated circuit layout
US20110197170A1 (en) * 2010-02-11 2011-08-11 Synopsys, Inc. Active Net Based Approach for Circuit Characterization
CN106815379A (en) * 2015-11-27 2017-06-09 中国科学院微电子研究所 A kind of method and system for extracting parasitic capacitance
CN111428435A (en) * 2019-01-09 2020-07-17 中国科学院微电子研究所 Integrated circuit layout power consumption optimization method and device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006031297A (en) * 2004-07-15 2006-02-02 Matsushita Electric Ind Co Ltd Method for generating net list for characteristic verification in semiconductor integrated circuit
JP2006171818A (en) * 2004-12-13 2006-06-29 Matsushita Electric Ind Co Ltd Cross-talk verification device and cross-talk verification method
US20070220459A1 (en) * 2006-03-06 2007-09-20 Mentor Graphics Corp. Capacitance extraction of intergrated circuits with floating fill
JP2009009284A (en) * 2007-06-27 2009-01-15 Denso Corp Circuit design information display device and computer program
US20110197170A1 (en) * 2010-02-11 2011-08-11 Synopsys, Inc. Active Net Based Approach for Circuit Characterization
CN101923595A (en) * 2010-08-25 2010-12-22 清华大学 System and method for extracting parasitic components in analog integrated circuit layout
CN106815379A (en) * 2015-11-27 2017-06-09 中国科学院微电子研究所 A kind of method and system for extracting parasitic capacitance
CN111428435A (en) * 2019-01-09 2020-07-17 中国科学院微电子研究所 Integrated circuit layout power consumption optimization method and device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117272910A (en) * 2023-11-22 2023-12-22 江山季丰电子科技有限公司 Modularized design method and device for aging circuit board of integrated circuit
CN117272910B (en) * 2023-11-22 2024-02-23 江山季丰电子科技有限公司 Modularized design method and device for aging circuit board of integrated circuit

Also Published As

Publication number Publication date
CN117751364A (en) 2024-03-22

Similar Documents

Publication Publication Date Title
US8826213B1 (en) Parasitic capacitance extraction for FinFETs
US8943455B2 (en) Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9122833B2 (en) Method of designing fin field effect transistor (FinFET)-based circuit and system for implementing the same
US8701055B1 (en) Macro cell based process design kit for advanced applications
US9495506B2 (en) Methods for layout verification for polysilicon cell edge structures in FinFET standard cells using filters
US8336002B2 (en) IC design flow enhancement with CMP simulation
CN107066681B (en) Integrated circuit and computer-implemented method of manufacturing an integrated circuit
US8769452B2 (en) Parasitic extraction in an integrated circuit with multi-patterning requirements
US8949080B2 (en) Methods of designing integrated circuits and systems thereof
KR20190135550A (en) Cell placement and routing using cell level layout dependent stress effects
US20160147928A1 (en) Method, device and computer program product for integrated circuit layout generation
TW201802712A (en) Method for integrated circuit design
WO2022198571A1 (en) Method and device for extracting parasitic resistance and capacitance parameters
TWI789911B (en) System, method and storage medium for capacitance extraction
KR20170133750A (en) Computer-implemented method for designing integrated circuit
WO2023029007A1 (en) Method and device for electronic design automation
US8930871B2 (en) Methodology on developing metal fill as library device
US20230325574A1 (en) Method for Automated Standard Cell Design
KR20170094744A (en) Integrated circuit and computer-implemented method for manufacturing the same
US10803222B1 (en) Methods, systems, and computer program product for implementing an electronic design having embedded circuits
WO2021207021A1 (en) Method for automated standard cell design
US11328873B2 (en) Parallel plate capacitor resistance modeling and extraction
Kao et al. Layout Extraction
WO2022120078A1 (en) Modelling timing behavior using augmented sensitivity data for physical parameters
TW202018549A (en) Elmore delay time (edt)-based resistance model

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21955540

Country of ref document: EP

Kind code of ref document: A1