WO2022266906A1 - Method and apparatus for generating layout of integrated circuit - Google Patents

Method and apparatus for generating layout of integrated circuit Download PDF

Info

Publication number
WO2022266906A1
WO2022266906A1 PCT/CN2021/101916 CN2021101916W WO2022266906A1 WO 2022266906 A1 WO2022266906 A1 WO 2022266906A1 CN 2021101916 W CN2021101916 W CN 2021101916W WO 2022266906 A1 WO2022266906 A1 WO 2022266906A1
Authority
WO
WIPO (PCT)
Prior art keywords
layout
information
basic
layouts
integrated circuit
Prior art date
Application number
PCT/CN2021/101916
Other languages
French (fr)
Chinese (zh)
Inventor
李定
胡贻升
李进朋
陈培杰
Original Assignee
华为技术有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 华为技术有限公司 filed Critical 华为技术有限公司
Priority to CN202180097956.6A priority Critical patent/CN117321601A/en
Priority to PCT/CN2021/101916 priority patent/WO2022266906A1/en
Publication of WO2022266906A1 publication Critical patent/WO2022266906A1/en

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Definitions

  • the present application relates to the field of electronic technology, and in particular to a method and device for generating an integrated circuit layout.
  • the layout design of integrated circuits is an essential link in the manufacture of integrated circuits. It is not only related to whether the functions of integrated circuits are correct, but also greatly affects the performance, cost and power consumption of integrated circuits.
  • the layout design process of traditional integrated circuits usually includes: the designer generates the layout of the corresponding device in the design software of the integrated circuit such as electronic design automation (electronic design automation, EDA) according to the circuit schematic diagram; The connection relationship of the device is manually placed and wired; after multiple physical verification (PV), post-simulation verification and adjustment, the final layout is obtained.
  • EDA electronic design automation
  • PV physical verification
  • post-simulation verification and adjustment the final layout is obtained.
  • this design process is time-consuming and inefficient.
  • a layout design method is provided in the prior art, specifically, through the induction and analysis of the layout of existing integrated circuits, the type of published diagram, the adjustable parameter interface and layout of the corresponding type are determined. Design logic, etc., based on the above information, parameterize the layout or specific wiring of some specific cells in the circuit to form a parameterized cell (parameter cell, PCell) with a parameter interface.
  • PCell parameterized cell
  • the user only needs to use the PCell Enter the corresponding parameters in the parameter interface to generate a layout with a fixed type and a fixed drawing method.
  • the present application provides a method and device for generating a layout of an integrated circuit, which relate to the field of electronic technology and are used to reduce manual participation in the layout design process of an integrated circuit and realize automation of the layout design of an integrated circuit.
  • a method for generating a layout of an integrated circuit includes: obtaining parameter information of a plurality of basic components included in the schematic circuit diagram, the basic components may be obtained through unified packaging, and the basic components It can include basic electronic components such as inductors, resistors, and transistors used to design or form circuits, and can also include components composed of two or more basic electronic components.
  • the parameter information can include process parameter information and Electrical parameter information; determine the multiple device layouts corresponding to the multiple basic components according to the parameter information, that is, obtain the specific shape and size of the multiple basic components in the integrated circuit layout; obtain the relative layout of the multiple device layouts Information, the relative layout information may include the relative position information of any one or more device layouts in the multiple device layouts in the integrated circuit layout; according to the relative layout information and the multiple device layouts, generate a routing-free The layout of the integrated circuit, to determine the physical location information of the multiple device layouts, for example, to determine the coordinates of each device layout in the multiple device layouts; according to the physical location information, generate the integrated circuit corresponding to the circuit schematic diagram Layout, for example, routing is performed on the layout of the integrated circuit without traces to obtain the final layout of the integrated circuit.
  • the electronic device after the electronic device obtains the process parameter information and electrical parameter information of the multiple basic components included in the circuit schematic diagram, it can determine the multiple components corresponding to the multiple basic components according to the process parameter information and electrical parameter information.
  • a device layout and then based on the relative layout information of the multiple device layouts, determine the physical location information of the multiple device layouts, and complete the wiring between different device layouts according to the physical location information to generate the corresponding integration of the circuit schematic diagram
  • the layout of the circuit that is, the automation of the layout design of the integrated circuit is realized.
  • the user can input the relative layout information of the multiple device layouts according to actual needs, that is, in the process of automatic design of the layout of the integrated circuit, the designer can carry out the overall layout of the layout of the integrated circuit without spending A lot of time is spent on manual layout and routing, etc., so as to solve the problem of long labor time in layout design.
  • determining the multiple device layouts corresponding to the multiple basic components according to the parameter information includes: for the first basic component among the multiple basic components, according to the The parameter information of the first basic component, the model device corresponding to the first basic component is instantiated into a device layout, the first basic component is any one of the multiple basic components, the first The model device corresponding to the basic component is obtained by uniformly packaging the first basic component.
  • the device layout of the first basic component can be obtained by instantiating the model device corresponding to the first basic component into a device layout, thereby improving Improves the efficiency of generating device layouts for basic components.
  • the method further includes: according to the first basic component, acquiring a model component corresponding to the first basic component from a preset model component library, the preset model component
  • the library includes multiple model devices.
  • the multiple model devices can be obtained by uniformly packaging multiple different basic units in advance.
  • the multiple model devices can be the basic components provided by different processes and different chip foundries. Units are packaged in a unified manner, and the multiple different basic units include the first basic component.
  • the multiple model devices are obtained by performing unified packaging on multiple different basic units.
  • the unified packaging can shield the differences between different processes and different chip foundries, and can provide unified The input and output interface, so that the device based on this model can be applied to the product requirements of different processes and different chip foundries.
  • determining the multiple device layouts corresponding to the multiple basic components according to the parameter information includes: for the second basic component among the multiple basic components, according to the For the parameter information of the second basic component, the device layout of the second basic component is obtained from the device layout library.
  • the second basic component is an inductor
  • the process parameter information of the inductor is TSMC28 or SMC28
  • the electrical parameter information is If the length is equal to L and the width is equal to W, then the device layout of the inductance that satisfies the above parameter information can be directly used as the device layout of the second basic component by searching the device layout library from the device layout library.
  • the device layout library includes at least one of the basic units.
  • the device layout of each basic unit under at least one set of parameter information, the device layout included in the device layout library may be obtained by collecting one or more user-generated device layouts, the second basic component is the multiple basic For any basic component in the components, the at least one basic unit includes a second basic component.
  • the second basic component can be directly used as the second basic component by querying the device layout in the previously collected device layout, without generating parameter information, so that the reuse rate of the previously generated device layout can be improved. Therefore, the generation efficiency of the layout of the integrated circuit is improved.
  • determining the physical location information of the multiple device layouts according to the relative layout information and the multiple device layouts includes: according to the relative layout information and the multiple device layouts Dimensions, the multiple device layouts are laid out to obtain the physical location information of the multiple device layouts, and the physical location information can be used to generate the layout of the integrated circuit without wiring.
  • the coordinate information of each device layout when the size of the device layout satisfies the above relative layout information is laid out, and the layout of the integrated circuit without wiring can be generated by laying out the multiple device layouts based on the coordinate information.
  • the user can input the relative layout information of the multiple device layouts according to the actual needs, that is, the designer can carry out the overall layout of the layout of the integrated circuit, and the subsequent electronic equipment can automatically generate an integrated circuit that satisfies the relative layout information layout, thereby greatly reducing the amount of manual parameters and improving the efficiency of layout generation.
  • laying out the multiple device layouts according to the relative layout information and the sizes of the multiple device layouts includes: according to the relative layout information, the dimensions of the multiple device layouts Size and at least one constraint condition, layout the multiple device layouts, the at least one constraint condition includes one or more of the following conditions: minimum area constraint, minimum distance constraint, current relationship between different device layouts or Distance relationship; wherein, the minimum area constraint may refer to the minimum area required by the layout of the final integrated circuit, that is, the area occupied by the layout of the integrated circuit is at least the area required by the minimum area constraint, and the layout of the integrated circuit The occupied area cannot be smaller than the area required by the minimum area constraint; the minimum distance constraint can refer to the minimum distance between any two adjacent device layouts, that is, the minimum distance between two adjacent device layouts is the The distance required by the minimum distance constraint, the distance between the two adjacent device layouts cannot be smaller than the distance required by the minimum distance constraint; the current relationship between different device layouts can
  • generating the layout of the integrated circuit corresponding to the circuit schematic diagram according to the physical location information includes: according to the circuit connection relationship in the circuit schematic diagram, the physical location information corresponding Routing is performed in the integrated circuit layout without traces, so as to generate the layout of the integrated circuit corresponding to the circuit schematic diagram.
  • the wiring can be automatically carried out in the layout of the integrated circuit without wiring according to the circuit connection relationship in the circuit schematic diagram, so that the designer does not need to spend a lot of time on manual wiring, thereby reducing the layout. Design is labor time consuming.
  • performing wiring on the layout of the integrated circuit corresponding to the physical position information without wiring includes: obtaining at least one wiring
  • the wiring information, the at least one wiring is a wiring in the layout of the integrated circuit corresponding to the circuit schematic diagram, the wiring information may include wiring path information, wiring width constraint information, etc., and the at least one wiring may affect the The routing in the working index of the integrated circuit, which may include but not limited to one or more of frequency, phase noise, amplitude fluctuation, power consumption, and bandwidth; according to the circuit connection in the circuit schematic diagram relationship and the routing information of the at least one routing, and routing is performed in an integrated circuit layout that does not contain routings corresponding to the physical location information.
  • the user can plan one or more routings in the layout of the integrated circuit by inputting routing information according to actual needs, so as to meet the user's special needs for some routings in the layout of the integrated circuit, thereby improving Flexibility in the generation of layouts for integrated circuits.
  • the relative layout information includes relative position information between projections of at least two device layouts in the same metal layer among the plurality of device layouts, for example, through the relative layout information Set the positions of two or more device layouts in the same metal layer that have a certain relationship between current and voltage; and/or, the relative layout information also includes the position of the metal layer where at least two device layouts are located in the multiple device layouts Relative level information among them, for example, through the relative layout information, the positions of two or more device layouts that have a certain current-voltage relationship in different metal layers are set.
  • the relative layout information is used to set the positions of two or more device layouts that have a certain relationship among the multiple device layouts, which can improve the performance of the layout of the integrated circuit while reducing the subsequent generation The complexity of the layout of an integrated circuit.
  • obtaining parameter information of multiple basic components included in the schematic circuit diagram includes: first, the user inputs process parameter information of the multiple basic components according to actual needs, and the electrical parameter information of each of the multiple basic components; the second type, based on user triggers, obtains the process parameter information of the multiple basic components from the circuit schematic diagram, and the multiple The electrical parameter information of each basic component in the basic components; third, the user inputs the process parameter information of the multiple basic components according to actual needs, and obtains the multiple basic components from the circuit schematic diagram based on the user's trigger The electrical parameter information of each basic component in the basic component.
  • electrical parameter information and the like of some basic components can also be obtained through any of the above three methods.
  • a layout generation device for an integrated circuit, the device includes: an acquisition unit, configured to acquire parameter information of a plurality of basic components included in the schematic circuit diagram, and the basic components may be obtained through a unified packaging process , the basic components may include basic electronic components such as inductors, resistors, and transistors for designing or forming circuits, or components composed of two or more basic electronic components, and the parameter information may be Including process parameter information and electrical parameter information; the processing unit is used to determine the multiple device layouts corresponding to the multiple basic components according to the parameter information, that is, to obtain the specific shape and size of the multiple basic components in the integrated circuit layout The obtaining unit is also used to obtain relative layout information of the multiple device layouts, the relative layout information may include relative position information of any one or multiple device layouts in the multiple device layouts in the integrated circuit layout; the The processing unit is further configured to determine the physical location information of the multiple device layouts according to the relative layout information and the multiple device layouts, for example, determine the coordinates of each device layout in
  • the processing unit is further configured to: for the first basic component among the plurality of basic components, according to the parameter information of the first basic component, the first basic component
  • the model device corresponding to the basic components is instantiated into a device layout, and the first basic component is any one of the multiple basic components.
  • the processing unit is further configured to: acquire a model device corresponding to the first basic component from a preset model device library according to the first basic component, and the preset
  • the model device library includes multiple model devices, which are obtained by uniformly packaging multiple different basic units.
  • the multiple model devices can be basic components provided by different processes and different chip foundries Units are packaged in a unified manner, and the multiple different basic units include the first basic component.
  • the processing unit is further configured to: for a second basic component among the plurality of basic components, according to the parameter information of the second basic component, the slave layout library Obtain the device layout of the second basic component, for example, the second basic component is an inductor, the process parameter information of the inductor is TSMC28, and the electrical parameter information is that the length is equal to L and the width is equal to W, then it can be obtained from the device version
  • the device layout of the inductance that meets the above parameter information is found in the library directly as the device layout of the second basic component, and the device layout library includes the device layout of each basic unit in at least one basic unit under at least one set of parameter information
  • the device layout included in the device layout library may be obtained by collecting one or more user-generated device layouts, the second basic component is any one of the multiple basic components, and the at least one basic unit Including the second basic component.
  • the processing unit is further configured to: layout the multiple device layouts according to the relative layout information and the sizes of the multiple device layouts, so as to obtain the multiple device layouts
  • the physical position information can be used to generate the layout of the integrated circuit without wiring, for example, when the layout of each device layout satisfying the above-mentioned relative layout information is performed according to the size of the above-mentioned multiple device layouts through correlation algorithms.
  • Coordinate information, layout of the multiple device layouts based on the coordinate information can generate a layout of an integrated circuit without traces.
  • the processing unit is further configured to: layout the multiple device layouts according to the relative layout information, the size of the multiple device layouts, and at least one constraint condition, the The at least one constraint condition includes one or more of the following conditions: minimum area constraint, minimum distance constraint, current relationship or distance relationship between different device layouts.
  • the processing unit is further configured to: perform wiring in an integrated circuit layout corresponding to the physical position information without wiring according to the circuit connection relationship in the circuit schematic diagram, To generate the layout of the integrated circuit corresponding to the circuit schematic diagram.
  • the processing unit is further configured to: acquire routing information of at least one routing, where the at least one routing is a routing in the layout of the integrated circuit corresponding to the circuit schematic diagram,
  • the routing information may include routing path information, routing width constraint information, etc.
  • the at least one routing may be a routing that affects the working index of the integrated circuit, and the operating index may include but not limited to frequency, phase noise, amplitude According to the circuit connection relationship in the circuit schematic diagram and the wiring information of the at least one wiring, the integrated circuit without wiring corresponding to the physical location information Wiring in layout.
  • the relative layout information includes relative position information between projections of at least two device layouts in the same metal layer among the plurality of device layouts, for example, through the relative layout information Set the positions of two or more device layouts in the same metal layer that have a certain current-voltage relationship; and/or, the relative layout information also includes the metal layer where at least two device layouts among the multiple device layouts are located The relative level information among them, for example, through the relative layout information, the positions of two or more device layouts that have a certain current-voltage relationship in different metal layers are set.
  • the acquisition unit is further configured to: receive the process parameter information of the plurality of basic components input by the user, and the electrical parameters of each basic component in the plurality of basic components. Parameter information; or, based on user triggers, obtain the process parameter information of the plurality of basic components and the electrical parameter information of each basic component in the plurality of basic components from the schematic circuit diagram; or, receive The process parameter information of the plurality of basic components input by the user, and the electrical parameter information of each basic component in the plurality of basic components are acquired from the schematic circuit diagram based on the trigger of the user.
  • the acquiring unit can also acquire electrical parameter information of some basic components and the like through any one of the above three ways.
  • an integrated circuit layout generation device includes a processor and a memory, the memory stores instructions, the processor runs the instructions in the memory, so that the device executes the above-mentioned first A layout generation method for an integrated circuit provided in one aspect or in any possible implementation manner of the first aspect.
  • a computer-readable storage medium is provided. Instructions are stored in the computer-readable storage medium. When the instructions are run on a device, the device executes the above-mentioned first aspect or the first aspect.
  • a layout generation method for an integrated circuit provided in any possible implementation manner.
  • a computer program product is provided.
  • the device executes the integrated Circuit layout generation method.
  • Fig. 1 is the flowchart of the layout design of a kind of traditional integrated circuit
  • Fig. 2 is a schematic flow chart of refining and generating PCell
  • FIG. 3 is a schematic flowchart of a layout generation method for an integrated circuit provided in an embodiment of the present application
  • FIG. 4 is a schematic circuit diagram of a digitally controlled oscillator provided in an embodiment of the present application.
  • FIG. 5 is a schematic diagram of a model device and a device layout provided by an embodiment of the present application.
  • FIG. 6 is a schematic diagram of relative layout information of a plurality of device layouts provided by an embodiment of the present application.
  • FIG. 7 is a flow chart of layout design of an integrated circuit provided in an embodiment of the present application.
  • FIG. 8 is a layout of an integrated circuit of a digitally controlled oscillator provided in an embodiment of the present application.
  • FIG. 9 is a schematic structural diagram of an integrated circuit layout generation device provided by an embodiment of the present application.
  • FIG. 10 is a schematic structural diagram of another integrated circuit layout generation device provided by an embodiment of the present application.
  • At least one means one or more, and “multiple” means two or more.
  • “And/or” describes the association relationship of associated objects, indicating that there may be three types of relationships, for example, A and/or B, which can mean: A exists alone, A and B exist simultaneously, and B exists alone, where A, B can be singular or plural. "At least one of the following” or similar expressions refer to any combination of these items, including any combination of single or plural items. For example, at least one (unit) of a, b or c can represent: a, b, c, a-b, a-c, b-c or a-b-c, wherein a, b and c can be single or multiple.
  • the character "/" generally indicates that the contextual objects are an "or” relationship.
  • words such as “first” and “second” do not limit the quantity and execution order.
  • the layout design of the integrated circuit is an essential link in the production of the integrated circuit. It is not only related to whether the function of the integrated circuit is correct, but also greatly affects the performance, cost and power consumption of the integrated circuit.
  • the layout design process of a traditional integrated circuit usually includes: the designer generates the layout of the corresponding device in integrated circuit design software such as electronic design automation (electronic design automation, EDA) according to the circuit schematic diagram; Perform manual layout and wiring according to the connection relationship of different devices in the circuit schematic diagram; perform physical verification (Physical verify, PV) on the initially generated layout, and return to the steps of manual layout and wiring if the PV fails (for example, adjust the layout and connection), if the PV passes the post-simulation verification, for example, the post-simulation verification can use spice simulators, parasitic parameter extraction tools, etc.; if the post-simulation verification passes, the final layout will be obtained; if the post-simulation verification fails, then Return to the steps of manual layout and wiring and re-
  • EDA electronic design
  • EDA electronic design automation
  • PCell parameterized cell
  • Cadence's PCell Designer tool can provide a graphical interface for parameterized cell (PCell) design and relatively rich design interfaces, and PCell design can be more convenient Parameterize some specific layout or specific wiring, and finally generate a customized PCell.
  • PCell parameterized cell
  • users are using these PCells, they only need to input corresponding parameters through the parameter interface of PCells to generate layouts with fixed types and fixed drawing methods. Exemplarily, as shown in FIG.
  • FIG. 2 it is a schematic flow chart of extracting and generating PCell provided by the embodiment of the present application, which may specifically include: summarizing and analyzing the layout of existing integrated circuits by PCell designers, and extracting the published map type; refine and define adjustable parameter interfaces according to the type of layout; refine the design logic of the published diagram; design and generate a modular PCell based on the design logic; Parameterized to form a PCell with a parameterized interface.
  • this method can only be applied to layouts with relatively simple design logic, but not to layouts with more complex design logic. This is because it is difficult for designers to analyze and summarize layouts with more complex design logic in the early stage. Therefore, it is impossible to accurately extract the type of publication diagram for subsequent design.
  • the above-mentioned PCells of different units can only be designed according to a specific process. Once the process is switched, it is necessary to regenerate the PCells of the corresponding process, so the reuse rate is low.
  • the above-mentioned design process requires a lot of manual participation, and requires relatively high software capabilities of designers. The design process is customized and the degree of reuse is very low. It is impossible to continuously improve design capabilities and efficiency through design accumulation.
  • the embodiment of the present application provides a layout generation method and device for an integrated circuit, which can enable layout designers to focus more on the overall layout and various physical effects without spending a lot of time on manual layout and wiring, DRC or LVS In terms of corrections, it solves the problems of long time-consuming layout design and low design reuse rate.
  • Figure 3 is a schematic flowchart of a method for generating an integrated circuit layout provided by an embodiment of the present application, the method can be used to generate a layout (layout) of an integrated circuit corresponding to a schematic circuit diagram, and the method can be executed by an electronic device Specifically, it can be executed through EDA software installed on the electronic device, and the method includes the following steps.
  • the circuit schematic diagram may refer to a circuit diagram used to represent the structure and working principle of the circuit, and the circuit schematic diagram may be generated by using EDA software, and each basic component in the circuit schematic diagram may be represented by a corresponding basic component symbol
  • the component information may include the identification and parameter information of the component.
  • the basic components may include basic electronic components used to design or form circuits, or components composed of two or more basic electronic components.
  • the basic components may include resistors (such as ordinary resistors, photoresistors, thermistors, piezoresistors, humidity-sensitive resistors, variable resistors), inductors, capacitors (such as fixed capacitors, variable capacitors, semi-variable Variable capacitance), diodes (for example, ordinary diodes, light-emitting diodes, Zener diodes, photodiodes), transistors (for example, N-type transistors, P-type transistors), switches and connectors (for example, pin headers, row seats, terminal blocks ), etc., may also include components composed of two or more of the above-mentioned electronic components.
  • the circuit schematic diagram includes a plurality of basic components, and the multiple basic components may include a plurality of different basic components, and there may also be the same basic components, and these basic components may be included in the circuit schematic diagram Structural information to distinguish or identify.
  • the circuit schematic diagram is a circuit schematic diagram of a digitally controlled oscillator, and the circuit schematic diagram includes three basic components, specifically an inductor, an adjustable capacitor module and a negative resistance module.
  • the inductor is a basic electronic component
  • the adjustable capacitor module is composed of two adjustable capacitors (for example, a group-sized adjustable capacitor C1 and a fine-grained adjustable capacitor C2, each adjustable capacitor can include A basic component composed of multiple basic electronic components, such as multiple capacitors and transistors, etc.)
  • the negative resistance module is a basic component composed of two transistors M1 and M2 (each transistor can be a basic electronic component) components.
  • the structural information of the schematic circuit diagram can be used to indicate the above three basic components and the basic electronic components included in each basic component.
  • the parameter information may include process parameter information and electrical parameter information.
  • the plurality of basic components share the same process parameter information, and the process parameter information can be determined by the layout designer according to actual needs.
  • the process parameter information of the multiple basic components can be TSMC (Taiwan semiconductor manufacturing company, TSMC) 28nm (TSMC 28 for short), TSMC 16 or SMC 28 (nm), etc.
  • the electrical parameter information includes the electrical parameter information of the multiple basic components, and the electrical parameter information of different basic components in the multiple basic components may be different.
  • the multiple basic components include resistors and transistors, and the multiple basic components include resistors and transistors.
  • the electrical parameter information of the resistor may include the resistance value
  • the electrical parameter information of the transistor may include the width and length of the channel.
  • the process parameter information can be TSMC28
  • the electrical parameter information of the inductor can include the trace width of the inductor
  • the electrical parameter information of the adjustable capacitor module can include the number of adjustable capacitors, the width and length of a single adjustable capacitor
  • the electrical parameter information of the resistor module may include the number of rows and columns of transistors, and the width and length of transistor channels.
  • the electronic device can obtain the process parameter information and electrical parameter information of the multiple basic components in the following ways: first, the user can obtain the information according to According to actual needs, input the process parameter information of the multiple basic components and the electrical parameter information of each basic component in the multiple basic components to the electronic equipment; The process parameter information of the multiple basic components and the electrical parameter information of each basic component in the multiple basic components are obtained in the schematic diagram; third, the user can input the electronic equipment according to actual needs. process parameter information of a plurality of basic components, and trigger the electronic device to acquire electrical parameter information of each basic component in the plurality of basic components from the schematic circuit diagram.
  • electrical parameter information and the like of some basic components may also be obtained through any of the above three methods, which is not specifically limited in this embodiment of the present application.
  • the plurality of basic components corresponds to the plurality of model devices one by one, that is, each basic component in the plurality of basic components corresponds to a model device.
  • the multiple basic components include multiple different basic components
  • the multiple model components included in the multiple model components are also different.
  • the model devices corresponding to the same basic component are also the same.
  • the model device can be a device formed after the basic unit is uniformly packaged, and the basic unit can include basic components provided by the same chip foundry or different chip foundries, so the basic unit can also be called a basic component , hereinafter collectively referred to as basic components.
  • the unified packaging process here can specifically include the unified packaging of the input and output interfaces of the basic components, and the unified naming of the electrical parameters and types of the basic components. The naming and type division of the corresponding basic components of other chip foundries and the division of types.
  • the model devices corresponding to the same basic components provided by different chip foundries provide consistent device parameters and consistent input and output interfaces on the basis of shielding differences between different processes and different chip foundries ( Or called external connection interface), consistent device performance, and electrical parameter access interface, so as to solve the DRC problem at the device level, and provide standardized input and output interfaces to decouple the device from the process.
  • some chip foundries name it width
  • some chip foundries name it nfin.
  • the width of the channel of the transistor can be uniformly named width .
  • the plurality of model devices having consistent input and output interfaces may mean that the input and output interfaces of each model device in the plurality of model devices are uniformly packaged according to the same standard or design specification, and the obtained after package processing
  • the input and output interfaces of any two model devices in multiple model devices can be directly connected without other processing.
  • the same standard or design specification may require that the boundary of the input and output interfaces of each model device can be directly Used to connect with the input and output interfaces of other model devices, the length of the input and output interfaces of each model device is greater than or equal to the preset length threshold, and/or the width of the input and output interfaces of each model device is greater than or equal to the preset width threshold Wait.
  • the foregoing standards and design specifications may be specifically determined according to DRC design rules, characteristics of different devices, and characteristics of different processes, which are not specifically limited in this embodiment of the present application.
  • the corresponding model devices may be consistent.
  • the port names, numbers and connection methods of the same basic component in different processes are the same.
  • the unified naming or name can be pch_mac
  • the corresponding parameter information list can include the length l and width of the channel w and the number of unit repetitions m
  • the corresponding input and output interfaces can include source S, drain D, gate G and body B
  • the connection method can be that S and D are connected to the second metal layer and routed horizontally, G Connect to the first metal layer and unify the lateral traces.
  • the electronic device when the electronic device obtains the parameter information, that is, obtains the process parameter information and the electrical parameter information of each basic component in the plurality of basic components, for each model device in the plurality of model devices , the electronic device can instantiate the model device into a device layout according to the process parameter information and the electrical parameter information of the basic components corresponding to the model device, that is, obtain the specific shape and size of the device layout, etc., so that the multiple A model device can correspond to multiple device layouts.
  • the model device of the adjustable capacitor module can be shown in (c) in FIG. 5 , and the corresponding device layout is not shown for the adjustable capacitor module.
  • the device layout of the inductor can be a polygon;
  • the device layout of the negative resistance module includes two transistors, S1, G1 and D1 can be the source, gate and drain of the first transistor M1 respectively, and S2, G2 and D2 can be the source, gate and drain of the second transistor M2 respectively, and the first transistor M1 and the second transistor can be realized through the through-hole wiring set on S1, G1, D1, S2, G2 and D2 Corresponding connection of M2.
  • the plurality of model devices may be obtained from a model device library, the model device library may be preset, and the model device library may include a plurality of different model devices, and the plurality of different model devices Each model device in corresponds to a basic component, that is, each model device is a model device of the corresponding basic component.
  • the model device library may include identifications of multiple basic components and parts, which are respectively represented as ID1 to IDn, and a plurality of different model devices, which are respectively represented as Model-1 to Model-n, ID1 to IDn corresponds to Model-1 to Model-n one by one, and n is an integer greater than 1.
  • the method may further include: for each basic component in the multiple basic components, according to the basic component from The corresponding model devices are obtained from the model device library, so that the multiple basic components correspond to the multiple model devices. For example, for each basic component, according to the identification of the basic component, the model component corresponding to the identification is obtained from the model component library, that is, the model component corresponding to the basic component is obtained.
  • the electronic device may first query the device layout sample library according to the parameter information of the multiple basic components, and the device layout sample library stores a large number of Device layout, the device layout in the device layout sample library can be obtained by collecting device layouts generated by different users. Specifically, for each basic component in the plurality of basic components, the device layout sample library can be queried according to the parameter information of the basic component, if there is a component in the layout sample library that satisfies the parameter information of the basic component device layout, the device layout can be determined as the device layout of the basic component.
  • the device layout of the basic component can be generated according to the description of S302 above; further, the electronic device can also store the generated layout device In this device layout sample library, it is convenient for subsequent use or other users.
  • S303 Obtain relative layout information of the multiple device layouts, and determine physical location information of the multiple device layouts according to the relative layout information and the multiple device layouts.
  • the relative layout information may refer to the relative layout information of the plurality of device layouts in the layout of the integrated circuit corresponding to the circuit schematic diagram.
  • the relative layout information may include relative position information between any two device layouts in the plurality of device layouts, or the relative position of any one device layout, etc., and the relative position information may include between the metal layers where the two device layouts are located.
  • the relative layout information can be used to indicate that the metal layer where A is located is located above the metal layer where B is located, and the projection of A on the metal layer where B is located is located at B on the left side.
  • the relative layout information of the device layout corresponding to the inductor, the adjustable capacitance module and the negative resistance module can be shown in FIG.
  • the device layout of the resistance module can be located between the device layout of the inductor and the device layout of the adjustable capacitor module, that is, the projections of the three basic components on the same metal layer are the device layout of the inductor, the The device layout of the negative resistance module and the device layout of the adjustable capacitor module.
  • the physical position information of the plurality of device layouts refers to the physical position information of the plurality of device layouts in the layout of the integrated circuit corresponding to the circuit schematic diagram.
  • the physical location information may be used to indicate the physical location of each device layout in the plurality of device layouts.
  • the physical location of a device layout may include the metal layer where each device layout in the plurality of device layouts is located, and where the device layout is located. Coordinate positions in the metal layer, etc.
  • the electronic device may acquire the relative layout information of the plurality of device layouts in the following ways: first, the user may input the relative layout information of the plurality of device layouts into the electronic device according to actual needs Information; the second type, the user triggers the electronic device to obtain the relative layout information of the multiple device layouts from the circuit schematic diagram; the third type, the user can input the multiple device layout information to the electronic device according to actual needs the relative layout information of a part of the device layout, and trigger the electronic device to obtain the relative layout information of another part of the device layout in the plurality of device layouts from the circuit schematic diagram.
  • the user may use the container component provided by the electronic device for setting the relative layout for input, for example, in the graphics of the electronic device
  • the plurality of device layouts can be displayed as a plurality of square thumbnails
  • the container component can be located in the main interface
  • the container component can include horizontal arrangement, vertical arrangement, array arrangement and A variety of container components surround
  • the user only needs to select the corresponding container component, and drag the device layout that needs to set the relative layout relationship to the corresponding position in the container component.
  • the step of obtaining the relative layout information and the above steps of S301 and S302 may be partially sequenced, and this step only needs to be done before determining the physical location information of the multiple device layouts. Take this as an example.
  • the electronic device can layout the multiple device layouts according to the relative layout information to obtain the layout of the integrated circuit without wiring, that is, to obtain the physical location information of the multiple device layouts .
  • the electronic device when it lays out the multiple device layouts, in addition to the relative layout information, it can also combine other constraints (for example, the minimum area constraint and the minimum distance constraint in the process constraints, and the distance between different device layouts). current relationship and distance relationship, etc.), the physical position information is determined by automatic synthesis to layout the multiple device layouts.
  • the electronic device can automatically synthesize the relative layout information, and one or more of constraints such as the minimum area constraint and the minimum distance constraint, and continuously adjust different device layouts (for example, in the bottom metal layer) during the synthesis process.
  • the physical location information of the multiple device layouts is obtained through the annealing algorithm; the multiple device layouts are laid out according to the physical location information to obtain the layout of the integrated circuit without wiring.
  • the minimum area constraint may refer to the minimum area required by the layout of the final integrated circuit, that is, the area occupied by the layout of the integrated circuit is at least the area required by the minimum area constraint, and the layout of the integrated circuit The occupied area cannot be smaller than that required by this minimum area constraint.
  • the minimum distance constraint may refer to the minimum distance between any two adjacent device layouts, that is, the minimum distance between two adjacent device layouts is the distance required by the minimum distance constraint, and the two adjacent The distance between device layouts cannot be smaller than that required by this minimum distance constraint.
  • the current relationship between different device layouts may refer to the magnitude relationship of the currents flowing through the different device layouts, for example, the currents flowing through certain two device layouts are equal.
  • the above-mentioned distance relationship may refer to a distance relationship between different device layouts, for example, the distance relationship may be used to indicate a minimum distance or a maximum distance between certain two device layouts.
  • the electronic device may first query the circuit layout sample library according to the relative layout information and the plurality of device layouts, and the circuit layout sample library stores a large number of The layout of the integrated circuit, the layout of the integrated circuit in the circuit layout sample library can be obtained by collecting the layout of a part of the integrated circuit or the layout of the complete integrated circuit that has been generated by different users.
  • the circuit layout sample library can be queried according to the corresponding associated device layouts and the relative layout information between them, if the circuit layout sample library exists in the circuit layout sample library that satisfies If there is a required layout, the layout can be determined as the layout corresponding to the associated device layout. If there is no layout that meets the requirements in the circuit layout sample library, the layout of the corresponding integrated circuit without wiring can be generated according to the description of S303 above; further, the electronic device can also generate the layout of the integrated circuit without wiring.
  • the layout of an integrated circuit or a part of the layout of an integrated circuit without traces is stored in the circuit layout sample library for subsequent use or use by other users.
  • S304 Generate the layout of the integrated circuit corresponding to the circuit schematic diagram according to the physical location information.
  • the electronic device can, according to the circuit connection relationship in the schematic circuit diagram, create a layout of the integrated circuit without traces. Generate traces to obtain the layout of the integrated circuit corresponding to the circuit schematic diagram.
  • the circuit connection relationship can be obtained by the electronic device from the schematic circuit diagram, and the circuit connection relationship can be used to indicate the connection relationship of the multiple device layouts, for example, the circuit connection relationship can include multiple wiring lines .
  • the method may further include: acquiring wiring information of at least one wiring, and the wiring information may include wiring path information, As well as wiring width constraint information, etc., the at least one routing can be a working index that affects the integrated circuit (for example, the working index can include but not limited to frequency, phase noise, amplitude fluctuation, power consumption, bandwidth, etc. One or more) key routing.
  • the at least one routing can be determined by the user, and the routing information of the at least one routing can also be input by the user; or, the electronic device can determine the at least one routing, for example, the electronic device can The at least one routing is determined in connection with the circuit.
  • the electronic device can generate the at least one routing in the layout of the integrated circuit without routing according to the routing information, and at the same time generate the at least one routing according to the circuit connection relationship.
  • the electronic device can generate the at least one routing in the layout of the integrated circuit without routing according to the routing information, and at the same time generate the at least one routing according to the circuit connection relationship.
  • the electronic device can generate the at least one routing in the layout of the integrated circuit without routing according to the routing information, and at the same time generate the at least one routing according to the circuit connection relationship.
  • the electronic device can generate the at least one routing in the layout of the integrated circuit without routing according to the routing information, and at the same time generate the at least one routing according to the circuit connection relationship.
  • the electronic device may comprehensively consider the different requirements of the chip foundry for the traces (for example, such as a maximum current requirement of a trace of 20mA, etc.), and Layout design rules and other restrictions on routing, etc., to ensure that the routing in the generated layout can meet specific requirements.
  • the electronic device can also punch holes between different metal layers to realize the deployment of the one or more routings.
  • the digitally controlled oscillator includes an inductor, an adjustable capacitor module, and a negative resistance module connected in parallel in sequence.
  • EDA software is installed on the electronic equipment, and the EDA software can include a model device library, a sample design library (which can include the above-mentioned device layout library and circuit layout library), a floor plan designer and routing Engine, as shown in FIG.
  • the method may include: the layout planning designer obtains the parameter information of each module in the inductor, the adjustable capacitor module and the negative resistance module
  • the process parameter information can be TSMC28
  • the electrical parameter information of the inductor is width and length
  • the electrical parameter information of the adjustable capacitor module is the number of adjustable capacitors
  • the width and length of a single adjustable capacitor is the electrical parameter information of the negative resistance module.
  • the parameter information is the number of rows and columns of the transistor, and the width and length of the channel of the transistor; the layout planning designer obtains the model device corresponding to each module in the inductor, adjustable capacitance module and negative resistance module, and according to the above parameter information Instantiate it into three device layouts, that is, the inductor device layout, the adjustable capacitance module device layout and the negative resistance module device layout (optional, you can query the sample design library, when the three devices exist in the sample design library One or more of the layouts can be obtained from the sample design library); the floor plan designer obtains the relative layout information of the three device layouts, and determines the layout of the multiple device layouts according to the relative layout information and process constraint information Physical location information, such as determining the metal layer where each device layout is located, and the coordinate position of the device layout in the metal layer, etc., can also generate an integrated circuit layout without traces based on the physical location information; the routing engine according to The physical location information and routing constraints generate the layout of the integrated circuit corresponding to the digitally controlled oscil
  • the line needs to be punched, it can be punched through the built-in hole punching engine accomplish).
  • the finally generated layout of the integrated circuit corresponding to the digital oscillator can be shown in FIG.
  • the adjustable capacitance module and negative resistance module in the paper are described by taking the specific structure in the circuit schematic diagram as an example.
  • the electronic device can instantiate the model devices corresponding to the multiple basic components into multiple device layouts according to the parameter information , and based on the received relative layout information of the multiple device layouts, determine the physical location information of the multiple device layouts, and then generate the layout of the integrated circuit corresponding to the circuit schematic diagram according to the physical location information. Since the model devices corresponding to the multiple basic components are obtained by uniformly packaging the multiple basic components, the differences between different processes and different chip foundries are shielded, and a unified input and output interface can be provided.
  • the electronic equipment includes corresponding hardware structures and/or software modules for performing various functions.
  • the present application can be implemented in the form of hardware or a combination of hardware and computer software in combination with the units and algorithm steps of each example described in the embodiments disclosed herein. Whether a certain function is executed by hardware or computer software drives hardware depends on the specific application and design constraints of the technical solution. Those skilled in the art may use different methods to implement the described functions for each specific application, but such implementation should not be regarded as exceeding the scope of the present application.
  • the embodiment of the present application can divide the functional modules of the layout generation device of the integrated circuit according to the above method example, for example, each functional module can be divided corresponding to each function, or two or more functions can be integrated into one processing module .
  • the above functional modules can be implemented in the form of hardware or in the form of software functional modules. It should be noted that the division of modules in the embodiment of the present application is schematic, and is only a logical function division, and there may be other division methods in actual implementation.
  • FIG. 9 shows a possible structural schematic diagram of the layout generation device of the integrated circuit involved in the above embodiment.
  • the device can be an electronic device or be applied to an electronic device. chip in.
  • the device includes: an acquisition unit 401 and a processing unit 402 .
  • the obtaining unit 401 is used to support the device to execute the steps of obtaining relative layout information in S301 and S303 in the above method embodiment, and obtaining the wiring information of at least one key routing described herein;
  • the processing unit 402 is used to support this
  • the device executes S302 and S303 in the above method embodiment to determine the physical location information of multiple device layouts, S304, and other technical processes described herein.
  • the above-mentioned processing unit 402 may be a processor, the acquisition unit 401 may be a receiver, and the receiver and the transmitter may be integrated into a transceiver, which may also be called a communication interface.
  • FIG. 10 is a schematic structural diagram of an integrated circuit layout generation device according to an embodiment of the present application.
  • the device may be an electronic device or a chip applied to an electronic device.
  • the device includes: a communication interface 413 and a processor 412 .
  • the processor 412 is used to control and manage the actions of the device, for example, the processor 412 can be used to support the device to execute S301-S304 in the above method embodiment, and/or other processes for the technologies described herein ;
  • the communication interface 413 can be used to support the device to communicate.
  • the device may further include a memory 411 for storing program codes and data of the device.
  • the processor 412 may be a central processing unit, a general-purpose processor, a digital signal processor, an application-specific integrated circuit, a processing chip, a field programmable gate array or other programmable logic device, a transistor logic device, a hardware component, or any combination thereof . It can realize or execute various logical blocks, modules and circuits described in conjunction with the disclosure of the embodiments of the present application.
  • the processor 412 may also be a combination that implements computing functions, such as a combination of one or more microprocessors, a combination of a digital signal processor and a microprocessor, and the like.
  • the communication interface 413 may be a transceiver, a transceiver circuit, or a transceiver interface or the like.
  • the memory 411 may be a volatile memory or a non-volatile memory or the like.
  • the communication interface 413, the processor 412, and the memory 411 are interconnected via a bus 414, and the bus 414 may be a peripheral component interconnect standard (peripheral component interconnect, PCI) bus or an extended industry standard architecture (extended industry standard architecture, EISA) bus Wait.
  • the bus 414 can be divided into an address bus, a data bus, a control bus, and the like. For ease of representation, only one thick line is used in FIG. 10 , but it does not mean that there is only one bus or one type of bus.
  • the disclosed devices and methods may be implemented in other ways.
  • the device embodiments described above are only illustrative.
  • the division of the modules or units is only a logical function division. In actual implementation, there may be other division methods.
  • multiple units or components can be Incorporation or may be integrated into another device, or some features may be omitted, or not implemented.
  • the unit described as a separate component may or may not be physically separated, and the component displayed as a unit may be one physical unit or multiple physical units, that is, it may be located in one place, or may be distributed to multiple different places . Part or all of the units can be selected according to actual needs to achieve the purpose of the solution of this embodiment.
  • each functional unit in each embodiment of the present application may be integrated into one processing unit, each unit may exist separately physically, or two or more units may be integrated into one unit.
  • the above-mentioned integrated units can be implemented in the form of hardware or in the form of software functional units.

Abstract

The present application relates to the technical field of electronics, and provides a method and apparatus for generating a layout of an integrated circuit, used for reducing manual participation in a layout design process of an integrated circuit, and realizing automation of layout design of the integrated circuit. The method comprises: obtaining parameter information of a plurality of basic components comprised in a schematic circuit diagram, wherein the basic components may be obtained by means of unified packaging processing; determining, according to the parameter information, a plurality of component layouts corresponding to the plurality of basic components, that is, obtaining specific shapes and sizes of the plurality of basic components in a layout of an integrated circuit; obtaining relative layout information of the plurality of component layouts, and determining physical location information of the plurality of component layouts according to the relative layout information and the plurality of component layouts, for example, determining coordinates of each component layout in the plurality of component layouts; and generating, according to the physical location information, the layout of the integrated circuit corresponding to the schematic circuit diagram.

Description

一种集成电路的版图生成方法及装置A layout generation method and device for an integrated circuit 技术领域technical field
本申请涉及电子技术领域,尤其涉及一种集成电路的版图生成方法及装置。The present application relates to the field of electronic technology, and in particular to a method and device for generating an integrated circuit layout.
背景技术Background technique
集成电路的版图(layout)设计是集成电路制作所必不可少的环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本和功耗。传统的集成电路的版图设计流程通常包括:由设计人员根据电路原理图,在诸如电子设计自动化(electronic design automation,EDA)等集成电路的设计软件中生成对应器件的版图;根据电路原理图中不同器件的连接关系进行人工布局和连线;经过多次物理验证(physical verify,PV)、后仿真验证和调整后,得到最终的版图。但是,这种设计流程非常耗时、效率很低。The layout design of integrated circuits is an essential link in the manufacture of integrated circuits. It is not only related to whether the functions of integrated circuits are correct, but also greatly affects the performance, cost and power consumption of integrated circuits. The layout design process of traditional integrated circuits usually includes: the designer generates the layout of the corresponding device in the design software of the integrated circuit such as electronic design automation (electronic design automation, EDA) according to the circuit schematic diagram; The connection relationship of the device is manually placed and wired; after multiple physical verification (PV), post-simulation verification and adjustment, the final layout is obtained. However, this design process is time-consuming and inefficient.
为了提升版图设计效率,现有技术中提供了一种版图设计方法,具体是通过对现有集成电路的版图的归纳和分析,确定出版图的类型、对应类型下可调整的参数接口和版图的设计逻辑等,基于上述信息将电路中一些特定单元的布局或者特定的布线参数化,以形成具有参数接口的参数化单元(parameter cell,PCell),用户在使用这些PCell时,只需通过PCell的参数接口输入相应的参数,即可生成固定类型和固定画法的版图。In order to improve the efficiency of layout design, a layout design method is provided in the prior art, specifically, through the induction and analysis of the layout of existing integrated circuits, the type of published diagram, the adjustable parameter interface and layout of the corresponding type are determined. Design logic, etc., based on the above information, parameterize the layout or specific wiring of some specific cells in the circuit to form a parameterized cell (parameter cell, PCell) with a parameter interface. When using these PCells, the user only needs to use the PCell Enter the corresponding parameters in the parameter interface to generate a layout with a fixed type and a fixed drawing method.
但是,这种方式只能适用于设计逻辑比较简单的版图中,且不同单元的PCell只能根据特定的工艺进行设计,从而复用率较低。此外,上述设计过程需要大量的人工参与,且对设计人员的软件能力要求比较高。However, this method can only be applied to layouts with relatively simple design logic, and PCells of different units can only be designed according to a specific process, so the reuse rate is low. In addition, the above-mentioned design process requires a lot of manual participation, and requires relatively high software capabilities of designers.
发明内容Contents of the invention
本申请提供一种集成电路的版图生成方法及装置,涉及电子技术领域,用于降低集成电路的版图设计过程中的人工参与,实现集成电路的版图设计的自动化。The present application provides a method and device for generating a layout of an integrated circuit, which relate to the field of electronic technology and are used to reduce manual participation in the layout design process of an integrated circuit and realize automation of the layout design of an integrated circuit.
第一方面,提供一种集成电路的版图生成方法,该方法包括:获取电路原理图包括的多个基本元器件的参数信息,该基本元器件可以是经过统一封装处理得到的,该基本元器件可以包括用于设计或构成电路的诸如电感、电阻和晶体管等基础电子元器件,也可以包括由两个或者两个以上的基础电子元器件组成的元器件,该参数信息可以包括工艺参数信息和电气参数信息;根据该参数信息确定该多个基本元器件对应的多个器件版图,即得到该多个基本元器件在集成电路版图中的具体形状和尺寸;获取该多个器件版图的相对布局信息,该相对布局信息可以包括该多个器件版图中任意一个或者多个器件版图在该集成电路版图中的相对位置信息;根据该相对布局信息和该多个器件版图,生成不含走线的集成电路的版图,以确定该多个器件版图的物理位置信息,比如,确定该多个器件版图中每个器件版图的坐标等;根据该物理位置信息,生成该电路原理图对应的集成电路的版图,比如,在不含走线的集成电路的版图进行布线,以得到最终的集成电路的版图。In the first aspect, a method for generating a layout of an integrated circuit is provided. The method includes: obtaining parameter information of a plurality of basic components included in the schematic circuit diagram, the basic components may be obtained through unified packaging, and the basic components It can include basic electronic components such as inductors, resistors, and transistors used to design or form circuits, and can also include components composed of two or more basic electronic components. The parameter information can include process parameter information and Electrical parameter information; determine the multiple device layouts corresponding to the multiple basic components according to the parameter information, that is, obtain the specific shape and size of the multiple basic components in the integrated circuit layout; obtain the relative layout of the multiple device layouts Information, the relative layout information may include the relative position information of any one or more device layouts in the multiple device layouts in the integrated circuit layout; according to the relative layout information and the multiple device layouts, generate a routing-free The layout of the integrated circuit, to determine the physical location information of the multiple device layouts, for example, to determine the coordinates of each device layout in the multiple device layouts; according to the physical location information, generate the integrated circuit corresponding to the circuit schematic diagram Layout, for example, routing is performed on the layout of the integrated circuit without traces to obtain the final layout of the integrated circuit.
上述技术方案中,该电子设备在获取电路原理图包括的多个基本元器件的工艺参数信息和电气参数信息后,可以根据该工艺参数信息和电气参数信息确定该多个基本元器件对应的多个器件版图,之后基于该多个器件版图的相对布局信息,确定该多个器件版图的物 理位置信息,并根据该物理位置信息完成不同器件版图之间的布线以生成该电路原理图对应的集成电路的版图,即实现了集成电路的版图设计的自动化。在此过程中,用户可以根据实际需求输入该多个器件版图的相对布局信息,即在集成电路的版图的自动化设计的过程中,设计人员可以对集成电路的版图进行整体布局,且而无需花费大量的时间进行手工布局布线等,从而解决版图设计人工耗时长的问题。In the above technical solution, after the electronic device obtains the process parameter information and electrical parameter information of the multiple basic components included in the circuit schematic diagram, it can determine the multiple components corresponding to the multiple basic components according to the process parameter information and electrical parameter information. A device layout, and then based on the relative layout information of the multiple device layouts, determine the physical location information of the multiple device layouts, and complete the wiring between different device layouts according to the physical location information to generate the corresponding integration of the circuit schematic diagram The layout of the circuit, that is, the automation of the layout design of the integrated circuit is realized. During this process, the user can input the relative layout information of the multiple device layouts according to actual needs, that is, in the process of automatic design of the layout of the integrated circuit, the designer can carry out the overall layout of the layout of the integrated circuit without spending A lot of time is spent on manual layout and routing, etc., so as to solve the problem of long labor time in layout design.
在第一方面的一种可能的实现方式中,根据该参数信息确定该多个基本元器件对应的多个器件版图,包括:对于该多个基本元器件中的第一基本元器件,根据该第一基本元器件的参数信息,将该第一基本元器件对应的模型器件例化为一个器件版图,该第一基本元器件为该多个基本元器件中的任意一个基本元器件,第一基本元器件对应的模型器件是对第一基本元器件进行统一封装处理得到的。上述可能的实现方式中,根据第一基本元器件的参数信息,可以通过将第一基本元器件对应的模型器件例化为一个器件版图,即可得到第一基本元器件的器件版图,从而提高了生成基本元器件的器件版图的效率。In a possible implementation manner of the first aspect, determining the multiple device layouts corresponding to the multiple basic components according to the parameter information includes: for the first basic component among the multiple basic components, according to the The parameter information of the first basic component, the model device corresponding to the first basic component is instantiated into a device layout, the first basic component is any one of the multiple basic components, the first The model device corresponding to the basic component is obtained by uniformly packaging the first basic component. In the above possible implementation, according to the parameter information of the first basic component, the device layout of the first basic component can be obtained by instantiating the model device corresponding to the first basic component into a device layout, thereby improving Improves the efficiency of generating device layouts for basic components.
在第一方面的一种可能的实现方式中,该方法还包括:根据该第一基本元器件,从预设模型器件库中获取该第一基本元器件对应的模型器件,该预设模型器件库包括多个模型器件,该多个模型器件可以是事先分别对多个不同的基本单元进行统一封装处理得到的,比如,该多个模型器件可以是对不同工艺和不同芯片代工厂提供的基本单元进行统一封装处理得到的,该多个不同的基本单元包括第一基本元器件。上述可能的实现方式中,该多个模型器件是分别对多个不同的基本单元进行统一封装处理得到的,该统一封装处理可以屏蔽不同工艺、不同芯片代工厂之间的差异,且能够提供统一的输入输出接口,从而使得基于该模型器件可以适用于不同工艺和不同芯片代工厂的产品要求。In a possible implementation manner of the first aspect, the method further includes: according to the first basic component, acquiring a model component corresponding to the first basic component from a preset model component library, the preset model component The library includes multiple model devices. The multiple model devices can be obtained by uniformly packaging multiple different basic units in advance. For example, the multiple model devices can be the basic components provided by different processes and different chip foundries. Units are packaged in a unified manner, and the multiple different basic units include the first basic component. In the above possible implementation, the multiple model devices are obtained by performing unified packaging on multiple different basic units. The unified packaging can shield the differences between different processes and different chip foundries, and can provide unified The input and output interface, so that the device based on this model can be applied to the product requirements of different processes and different chip foundries.
在第一方面的一种可能的实现方式中,根据该参数信息确定该多个基本元器件对应的多个器件版图,包括:对于该多个基本元器件中的第二基本元器件,根据该第二基本元器件的参数信息,从器件版图库中获取该第二基本元器件的器件版图,比如,第二基本元器件为电感,该电感的工艺参数信息为TSMC28或者SMC28、电气参数信息为长度等于L和宽度等于W,则可以通过从该器件版图库中查找满足上述参数信息的电感的器件版图直接作为该第二基本元器件的器件版图,该器件版图库包括至少一个基本单元中的每个基本单元在至少一组参数信息下的器件版图,该器件版图库包括的器件版图可以是通过收集一个或者多个用户生成的器件版图得到的,该第二基本元器件为该多个基本元器件中的任意一个基本元器件,该至少一个基本单元包括第二基本元器件。上述可能的实现方式中,可以通过查询之前收集的器件版图中的器件版图来直接作为该第二基本元器件,而无需通过参数信息来生成,从而可以提高之前生成的器件版图的复用率,从而提高集成电路的版图的生成效率。In a possible implementation manner of the first aspect, determining the multiple device layouts corresponding to the multiple basic components according to the parameter information includes: for the second basic component among the multiple basic components, according to the For the parameter information of the second basic component, the device layout of the second basic component is obtained from the device layout library. For example, the second basic component is an inductor, and the process parameter information of the inductor is TSMC28 or SMC28, and the electrical parameter information is If the length is equal to L and the width is equal to W, then the device layout of the inductance that satisfies the above parameter information can be directly used as the device layout of the second basic component by searching the device layout library from the device layout library. The device layout library includes at least one of the basic units. The device layout of each basic unit under at least one set of parameter information, the device layout included in the device layout library may be obtained by collecting one or more user-generated device layouts, the second basic component is the multiple basic For any basic component in the components, the at least one basic unit includes a second basic component. In the above possible implementation, the second basic component can be directly used as the second basic component by querying the device layout in the previously collected device layout, without generating parameter information, so that the reuse rate of the previously generated device layout can be improved. Therefore, the generation efficiency of the layout of the integrated circuit is improved.
在第一方面的一种可能的实现方式中,根据该相对布局信息和该多个器件版图,确定该多个器件版图的物理位置信息,包括:根据该相对布局信息和该多个器件版图的尺寸,对该多个器件版图进行布局,以得到该多个器件版图的物理位置信息,该物理位置信息可用于生成不含走线的集成电路的版图,比如,通过相关算法计算按照上述多个器件版图的尺寸进行满足上述相对布局信息的布局时每个器件版图的坐标信息,基于该坐标信息布局该多个器件版图即可生成不含走线的集成电路的版图。上述可能的实现方式中,用户可以根据实际需求输入该多个器件版图的相对布局信息,即设计人员可以对集成电路的版图进 行整体布局,后续电子设备可以自动生成满足该相对布局信息的集成电路的版图,从而大大降低了人工参数量,提高了版图的生成效率。In a possible implementation manner of the first aspect, determining the physical location information of the multiple device layouts according to the relative layout information and the multiple device layouts includes: according to the relative layout information and the multiple device layouts Dimensions, the multiple device layouts are laid out to obtain the physical location information of the multiple device layouts, and the physical location information can be used to generate the layout of the integrated circuit without wiring. The coordinate information of each device layout when the size of the device layout satisfies the above relative layout information is laid out, and the layout of the integrated circuit without wiring can be generated by laying out the multiple device layouts based on the coordinate information. In the above possible implementation, the user can input the relative layout information of the multiple device layouts according to the actual needs, that is, the designer can carry out the overall layout of the layout of the integrated circuit, and the subsequent electronic equipment can automatically generate an integrated circuit that satisfies the relative layout information layout, thereby greatly reducing the amount of manual parameters and improving the efficiency of layout generation.
在第一方面的一种可能的实现方式中,根据该相对布局信息和该多个器件版图的尺寸,对该多个器件版图进行布局,包括:根据该相对布局信息、该多个器件版图的尺寸和至少一种约束条件,对该多个器件版图进行布局,该至少一种约束条件包括以下条件中的一个或者多个:最小面积约束、最小距离约束、不同器件版图之间的电流关系或距离关系;其中,该最小面积约束可以是指最终生成的集成电路的版图所要求的最小面积,即该集成电路的版图占用的面积最小为该最小面积约束所要求的面积,该集成电路的版图占用的面积不能小于该最小面积约束所要求的面积;该最小距离约束可以是指任意两个相邻的器件版图之间的最小距离,即相邻的两个器件版图之间的最小距离为该最小距离约束所要求的距离,该相邻的两个器件版图之间的距离不能小于该最小距离约束所要求的距离;不同器件版图之间的电流关系可以是指流过该不同器件版图的电流的大小关系,比如,流过某两个器件版图的电流相等;该距离关系可以是指不同器件版图之间的距离关系,比如,该距离关系可用于指示某两个器件版图之间的最小距离或者最大距离等。上述可能的实现方式中,在对该多个器件版图进行布局时还可以进一步综合该集成电路的版图的其他约束条件,从而使得生成的集成电路的版图能够满足上述约束条件,进而减小了后续用户花费在检查和修正集成电路的版图的时间,即进一步减小了人工参与的时长。In a possible implementation manner of the first aspect, laying out the multiple device layouts according to the relative layout information and the sizes of the multiple device layouts includes: according to the relative layout information, the dimensions of the multiple device layouts Size and at least one constraint condition, layout the multiple device layouts, the at least one constraint condition includes one or more of the following conditions: minimum area constraint, minimum distance constraint, current relationship between different device layouts or Distance relationship; wherein, the minimum area constraint may refer to the minimum area required by the layout of the final integrated circuit, that is, the area occupied by the layout of the integrated circuit is at least the area required by the minimum area constraint, and the layout of the integrated circuit The occupied area cannot be smaller than the area required by the minimum area constraint; the minimum distance constraint can refer to the minimum distance between any two adjacent device layouts, that is, the minimum distance between two adjacent device layouts is the The distance required by the minimum distance constraint, the distance between the two adjacent device layouts cannot be smaller than the distance required by the minimum distance constraint; the current relationship between different device layouts can refer to the current flowing through the different device layouts For example, the current flowing through certain two device layouts is equal; the distance relationship can refer to the distance relationship between different device layouts, for example, the distance relationship can be used to indicate the minimum distance between certain two device layouts or max distance etc. In the above possible implementation manners, when laying out the multiple device layouts, other constraint conditions of the layout of the integrated circuit can be further synthesized, so that the layout of the generated integrated circuit can meet the above constraints, thereby reducing the subsequent The time spent by the user on checking and correcting the layout of the integrated circuit further reduces the length of manual participation.
在第一方面的一种可能的实现方式中,根据该物理位置信息,生成该电路原理图对应的集成电路的版图,包括:根据该电路原理图中的电路连接关系,在该物理位置信息对应的不含走线的集成电路版图中进行布线,以生成该电路原理图对应的集成电路的版图。上述可能的实现方式中,可以根据该电路原理图中的电路连接关系自动对应的不含走线的集成电路版图中进行布线,从而设计人员无需花费大量的时间在手工布线上,从而降低了版图设计的人工耗时。In a possible implementation manner of the first aspect, generating the layout of the integrated circuit corresponding to the circuit schematic diagram according to the physical location information includes: according to the circuit connection relationship in the circuit schematic diagram, the physical location information corresponding Routing is performed in the integrated circuit layout without traces, so as to generate the layout of the integrated circuit corresponding to the circuit schematic diagram. In the above possible implementation mode, the wiring can be automatically carried out in the layout of the integrated circuit without wiring according to the circuit connection relationship in the circuit schematic diagram, so that the designer does not need to spend a lot of time on manual wiring, thereby reducing the layout. Design is labor time consuming.
在第一方面的一种可能的实现方式中,根据该电路原理图中的电路连接关系,在该物理位置信息所对应的不含走线的集成电路的版图进行布线包括:获取至少一条走线的布线信息,该至少一条走线为该电路原理图对应的集成电路的版图中的走线,该布线信息可以包括布线路径信息、以及布线宽度约束信息等,该至少一条走线可以为影响该集成电路的工作指标中的走线,该工作指标可以包括但不限于频率、相位噪声、幅值的波动大小、功耗和带宽等中的一个或者多个;根据该电路原理图中的电路连接关系和该至少一条走线的布线信息,在该物理位置信息对应的不含走线的集成电路版图中进行布线。上述可能的实现方式中,用户可以根据实际需要通过输入布线信息对集成电路的版图中的一条或者多条走线进行规划,从而满足用户对于集成电路的版图中部分走线的特殊需求,进而提高集成电路的版图的生成的灵活性。In a possible implementation manner of the first aspect, according to the circuit connection relationship in the circuit schematic diagram, performing wiring on the layout of the integrated circuit corresponding to the physical position information without wiring includes: obtaining at least one wiring The wiring information, the at least one wiring is a wiring in the layout of the integrated circuit corresponding to the circuit schematic diagram, the wiring information may include wiring path information, wiring width constraint information, etc., and the at least one wiring may affect the The routing in the working index of the integrated circuit, which may include but not limited to one or more of frequency, phase noise, amplitude fluctuation, power consumption, and bandwidth; according to the circuit connection in the circuit schematic diagram relationship and the routing information of the at least one routing, and routing is performed in an integrated circuit layout that does not contain routings corresponding to the physical location information. In the above possible implementation manners, the user can plan one or more routings in the layout of the integrated circuit by inputting routing information according to actual needs, so as to meet the user's special needs for some routings in the layout of the integrated circuit, thereby improving Flexibility in the generation of layouts for integrated circuits.
在第一方面的一种可能的实现方式中,该相对布局信息包括该多个器件版图中至少两个器件版图在同一金属层中的投影之间的相对位置信息,比如,通过该相对布局信息对同一金属层中存在一定的电流电压等关系的两个或者多个器件版图的位置进行设置;和/或,相对布局信息还包括该多个器件版图中至少两个器件版图所在的金属层之间的相对层级信息,比如,通过该相对布局信息对不同金属层中存在一定的电流电压等关系的两个或者多个器件版图的位置进行设置。上述可能的实现方式中,通过该相对布局信息对该多个 器件版图中存在一定关系的两个或者多个器件版图的位置进行设置,可以在提高集成电路的版图的性能的同时,降低后续生成集成电路的版图的复杂度。In a possible implementation manner of the first aspect, the relative layout information includes relative position information between projections of at least two device layouts in the same metal layer among the plurality of device layouts, for example, through the relative layout information Set the positions of two or more device layouts in the same metal layer that have a certain relationship between current and voltage; and/or, the relative layout information also includes the position of the metal layer where at least two device layouts are located in the multiple device layouts Relative level information among them, for example, through the relative layout information, the positions of two or more device layouts that have a certain current-voltage relationship in different metal layers are set. In the above possible implementation manner, the relative layout information is used to set the positions of two or more device layouts that have a certain relationship among the multiple device layouts, which can improve the performance of the layout of the integrated circuit while reducing the subsequent generation The complexity of the layout of an integrated circuit.
在第一方面的一种可能的实现方式中,获取电路原理图包括的多个基本元器件的参数信息,包括:第一种、用户根据实际需求输入该多个基本元器件的工艺参数信息、以及该多个基本元器件中每个基本元器件器件的电气参数信息;第二种、基于用户的触发,从该电路原理图中获取该多个基本元器件的工艺参数信息、以及该多个基本元器件中每个基本元器件器件的电气参数信息;第三种、用户根据实际需求输入该多个基本元器件的工艺参数信息,以及基于用户的触发从该电路原理图中获取该多个基本元器件中每个基本元器件器件的电气参数信息。在实际应用中,也可以通过上述三种方式中的任一种方式来获取部分基本元器件的电气参数信息等。In a possible implementation manner of the first aspect, obtaining parameter information of multiple basic components included in the schematic circuit diagram includes: first, the user inputs process parameter information of the multiple basic components according to actual needs, and the electrical parameter information of each of the multiple basic components; the second type, based on user triggers, obtains the process parameter information of the multiple basic components from the circuit schematic diagram, and the multiple The electrical parameter information of each basic component in the basic components; third, the user inputs the process parameter information of the multiple basic components according to actual needs, and obtains the multiple basic components from the circuit schematic diagram based on the user's trigger The electrical parameter information of each basic component in the basic component. In practical applications, electrical parameter information and the like of some basic components can also be obtained through any of the above three methods.
第二方面,提供一种集成电路的版图生成装置,该装置包括:获取单元,用于获取电路原理图包括的多个基本元器件的参数信息,该基本元器件可以是经过统一封装处理得到的,该基本元器件可以包括用于设计或构成电路的诸如电感、电阻和晶体管等基础电子元器件,也可以包括由两个或者两个以上的基础电子元器件组成的元器件,该参数信息可以包括工艺参数信息和电气参数信息;处理单元,用于根据该参数信息确定该多个基本元器件对应的多个器件版图,即得到该多个基本元器件在集成电路版图中的具体形状和尺寸;该获取单元,还用于获取该多个器件版图的相对布局信息,该相对布局信息可以包括该多个器件版图中任意一个或者多个器件版图在该集成电路版图中的相对位置信息;该处理单元,还用于根据该相对布局信息和该多个器件版图,确定该多个器件版图的物理位置信息,比如,确定该多个器件版图中每个器件版图的坐标;该处理单元,还用于根据该物理位置信息,生成该电路原理图对应的集成电路的版图,比如,在不含走线的集成电路的版图进行布线,以得到最终的集成电路的版图。In the second aspect, there is provided a layout generation device for an integrated circuit, the device includes: an acquisition unit, configured to acquire parameter information of a plurality of basic components included in the schematic circuit diagram, and the basic components may be obtained through a unified packaging process , the basic components may include basic electronic components such as inductors, resistors, and transistors for designing or forming circuits, or components composed of two or more basic electronic components, and the parameter information may be Including process parameter information and electrical parameter information; the processing unit is used to determine the multiple device layouts corresponding to the multiple basic components according to the parameter information, that is, to obtain the specific shape and size of the multiple basic components in the integrated circuit layout The obtaining unit is also used to obtain relative layout information of the multiple device layouts, the relative layout information may include relative position information of any one or multiple device layouts in the multiple device layouts in the integrated circuit layout; the The processing unit is further configured to determine the physical location information of the multiple device layouts according to the relative layout information and the multiple device layouts, for example, determine the coordinates of each device layout in the multiple device layouts; the processing unit is also It is used to generate the layout of the integrated circuit corresponding to the schematic circuit diagram according to the physical position information, for example, perform wiring on the layout of the integrated circuit without wiring, so as to obtain the final layout of the integrated circuit.
在第二方面的一种可能的实现方式中,该处理单元还用于:对于该多个基本元器件中的第一基本元器件,根据该第一基本元器件的参数信息,将该第一基本元器件对应的模型器件例化为一个器件版图,该第一基本元器件为该多个基本元器件中的任意一个基本元器件。In a possible implementation manner of the second aspect, the processing unit is further configured to: for the first basic component among the plurality of basic components, according to the parameter information of the first basic component, the first basic component The model device corresponding to the basic components is instantiated into a device layout, and the first basic component is any one of the multiple basic components.
在第二方面的一种可能的实现方式中,该处理单元还用于:根据该第一基本元器件,从预设模型器件库中获取该第一基本元器件对应的模型器件,该预设模型器件库包括多个模型器件,该多个模型器件是分别对多个不同的基本单元进行统一封装处理得到的,比如,该多个模型器件可以是对不同工艺和不同芯片代工厂提供的基本单元进行统一封装处理得到的,该多个不同的基本单元包括第一基本元器件。In a possible implementation manner of the second aspect, the processing unit is further configured to: acquire a model device corresponding to the first basic component from a preset model device library according to the first basic component, and the preset The model device library includes multiple model devices, which are obtained by uniformly packaging multiple different basic units. For example, the multiple model devices can be basic components provided by different processes and different chip foundries Units are packaged in a unified manner, and the multiple different basic units include the first basic component.
在第二方面的一种可能的实现方式中,该处理单元还用于:对于该多个基本元器件中的第二基本元器件,根据该第二基本元器件的参数信息,从器件版图库中获取该第二基本元器件的器件版图,比如,第二基本元器件为电感,该电感的工艺参数信息为TSMC28、电气参数信息为长度等于L和宽度等于W,则可以通过从该器件版图库中查找满足上述参数信息的电感的器件版图直接作为该第二基本元器件的器件版图,该器件版图库包括至少一个基本单元中的每个基本单元在至少一组参数信息下的器件版图,该器件版图库包括的器件版图可以是通过收集一个或者多个用户生成的器件版图得到的,该第二基本元器件为该多个基本元器件中的任意一个基本元器件,该至少一个基本单元包括第二基本元器件。In a possible implementation manner of the second aspect, the processing unit is further configured to: for a second basic component among the plurality of basic components, according to the parameter information of the second basic component, the slave layout library Obtain the device layout of the second basic component, for example, the second basic component is an inductor, the process parameter information of the inductor is TSMC28, and the electrical parameter information is that the length is equal to L and the width is equal to W, then it can be obtained from the device version The device layout of the inductance that meets the above parameter information is found in the library directly as the device layout of the second basic component, and the device layout library includes the device layout of each basic unit in at least one basic unit under at least one set of parameter information, The device layout included in the device layout library may be obtained by collecting one or more user-generated device layouts, the second basic component is any one of the multiple basic components, and the at least one basic unit Including the second basic component.
在第二方面的一种可能的实现方式中,该处理单元还用于:根据该相对布局信息和该多个器件版图的尺寸,对该多个器件版图进行布局,以得到该多个器件版图的物理位置信息,该物理位置信息可用于生成不含走线的集成电路的版图,比如,通过相关算法计算按照上述多个器件版图的尺寸进行满足上述相对布局信息的布局时每个器件版图的坐标信息,基于该坐标信息布局该多个器件版图即可生成不含走线的集成电路的版图。In a possible implementation manner of the second aspect, the processing unit is further configured to: layout the multiple device layouts according to the relative layout information and the sizes of the multiple device layouts, so as to obtain the multiple device layouts The physical position information can be used to generate the layout of the integrated circuit without wiring, for example, when the layout of each device layout satisfying the above-mentioned relative layout information is performed according to the size of the above-mentioned multiple device layouts through correlation algorithms. Coordinate information, layout of the multiple device layouts based on the coordinate information can generate a layout of an integrated circuit without traces.
在第二方面的一种可能的实现方式中,该处理单元还用于:根据该相对布局信息、该多个器件版图的尺寸和至少一种约束条件,对该多个器件版图进行布局,该至少一种约束条件包括以下条件中的一个或者多个:最小面积约束、最小距离约束、不同器件版图之间的电流关系或距离关系。In a possible implementation manner of the second aspect, the processing unit is further configured to: layout the multiple device layouts according to the relative layout information, the size of the multiple device layouts, and at least one constraint condition, the The at least one constraint condition includes one or more of the following conditions: minimum area constraint, minimum distance constraint, current relationship or distance relationship between different device layouts.
在第二方面的一种可能的实现方式中,该处理单元还用于:根据该电路原理图中的电路连接关系,在该物理位置信息对应的不含走线的集成电路版图中进行布线,以生成该电路原理图对应的集成电路的版图。In a possible implementation manner of the second aspect, the processing unit is further configured to: perform wiring in an integrated circuit layout corresponding to the physical position information without wiring according to the circuit connection relationship in the circuit schematic diagram, To generate the layout of the integrated circuit corresponding to the circuit schematic diagram.
在第二方面的一种可能的实现方式中,该处理单元还用于:获取至少一条走线的布线信息,该至少一条走线为该电路原理图对应的集成电路的版图中的走线,该布线信息可以包括布线路径信息、以及布线宽度约束信息等,该至少一条走线可以为影响该集成电路的工作指标中的走线,该工作指标可以包括但不限于频率、相位噪声、幅值的波动大小、功耗和带宽等中的一个或者多个;根据该电路原理图中的电路连接关系和该至少一条走线的布线信息,在该物理位置信息对应的不含走线的集成电路版图中进行布线。In a possible implementation manner of the second aspect, the processing unit is further configured to: acquire routing information of at least one routing, where the at least one routing is a routing in the layout of the integrated circuit corresponding to the circuit schematic diagram, The routing information may include routing path information, routing width constraint information, etc., and the at least one routing may be a routing that affects the working index of the integrated circuit, and the operating index may include but not limited to frequency, phase noise, amplitude According to the circuit connection relationship in the circuit schematic diagram and the wiring information of the at least one wiring, the integrated circuit without wiring corresponding to the physical location information Wiring in layout.
在第二方面的一种可能的实现方式中,该相对布局信息包括该多个器件版图中至少两个器件版图在同一金属层中的投影之间的相对位置信息,比如,通过该相对布局信息对同一金属层中存在一定的电流电压等关系的两个或者多个器件版图的位置进行设置;和/或,该相对布局信息还包括该多个器件版图中至少两个器件版图所在的金属层之间的相对层级信息,比如,通过该相对布局信息对不同金属层中存在一定的电流电压等关系的两个或者多个器件版图的位置进行设置。In a possible implementation manner of the second aspect, the relative layout information includes relative position information between projections of at least two device layouts in the same metal layer among the plurality of device layouts, for example, through the relative layout information Set the positions of two or more device layouts in the same metal layer that have a certain current-voltage relationship; and/or, the relative layout information also includes the metal layer where at least two device layouts among the multiple device layouts are located The relative level information among them, for example, through the relative layout information, the positions of two or more device layouts that have a certain current-voltage relationship in different metal layers are set.
在第二方面的一种可能的实现方式中,获取单元还用于:接收用户输入的该多个基本元器件的工艺参数信息、以及该多个基本元器件中每个基本元器件器件的电气参数信息;或者,基于用户的触发,从该电路原理图中获取该多个基本元器件的工艺参数信息、以及该多个基本元器件中每个基本元器件器件的电气参数信息;或者,接收用户输入的该多个基本元器件的工艺参数信息,以及基于用户的触发从该电路原理图中获取该多个基本元器件中每个基本元器件器件的电气参数信息。在实际应用中,获取单元也可以通过上述三种方式中的任一种方式来获取部分基本元器件的电气参数信息等。In a possible implementation of the second aspect, the acquisition unit is further configured to: receive the process parameter information of the plurality of basic components input by the user, and the electrical parameters of each basic component in the plurality of basic components. Parameter information; or, based on user triggers, obtain the process parameter information of the plurality of basic components and the electrical parameter information of each basic component in the plurality of basic components from the schematic circuit diagram; or, receive The process parameter information of the plurality of basic components input by the user, and the electrical parameter information of each basic component in the plurality of basic components are acquired from the schematic circuit diagram based on the trigger of the user. In practical applications, the acquiring unit can also acquire electrical parameter information of some basic components and the like through any one of the above three ways.
在本申请的又一方面,提供一种集成电路的版图生成装置,该装置包括处理器和存储器,该存储器中存储有指令,该处理器运行该存储器中的指令,以使该装置执行上述第一方面或者第一方面的任一种可能的实现方式所提供的集成电路的版图生成方法。In yet another aspect of the present application, an integrated circuit layout generation device is provided, the device includes a processor and a memory, the memory stores instructions, the processor runs the instructions in the memory, so that the device executes the above-mentioned first A layout generation method for an integrated circuit provided in one aspect or in any possible implementation manner of the first aspect.
在本申请的又一方面,提供一种计算机可读存储介质,该计算机可读存储介质中存储有指令,当该指令在设备上运行时,使得该设备执行上述第一方面或者第一方面的任一种可能的实现方式所提供的集成电路的版图生成方法。In yet another aspect of the present application, a computer-readable storage medium is provided. Instructions are stored in the computer-readable storage medium. When the instructions are run on a device, the device executes the above-mentioned first aspect or the first aspect. A layout generation method for an integrated circuit provided in any possible implementation manner.
在本申请的又一方面,提供一种计算机程序产品,当该计算机程序产品在设备上运行时,使得该设备执行上述第一方面或者第一方面的任一种可能的实现方式所提供的集 成电路的版图生成方法。In yet another aspect of the present application, a computer program product is provided. When the computer program product runs on a device, the device executes the integrated Circuit layout generation method.
应当理解的是,上述提供的任一种集成电路的版图生成装置、计算机可读存储介质和计算机程序产品的有益效果均可以对应参考上文对应方面提供的方法的有益效果,此处不再赘述。It should be understood that, for the beneficial effects of any one of the integrated circuit layout generation device, computer-readable storage medium, and computer program product provided above, reference may be made to the beneficial effects of the method provided in the corresponding aspect above, and details will not be repeated here. .
附图说明Description of drawings
图1为一种传统的集成电路的版图设计的流程图;Fig. 1 is the flowchart of the layout design of a kind of traditional integrated circuit;
图2为一种提炼和生成PCell的流程示意图;Fig. 2 is a schematic flow chart of refining and generating PCell;
图3为本申请实施例提供的一种集成电路的版图生成方法的流程示意图;FIG. 3 is a schematic flowchart of a layout generation method for an integrated circuit provided in an embodiment of the present application;
图4为本申请实施例提供的一种数字控制振荡器的电路原理图;FIG. 4 is a schematic circuit diagram of a digitally controlled oscillator provided in an embodiment of the present application;
图5为本申请实施例提供的一种模型器件和器件版图的示意图;FIG. 5 is a schematic diagram of a model device and a device layout provided by an embodiment of the present application;
图6为本申请实施例提供的一种多个器件版图的相对布局信息的示意图;FIG. 6 is a schematic diagram of relative layout information of a plurality of device layouts provided by an embodiment of the present application;
图7为本申请实施例提供的一种集成电路的版图设计的流程图;FIG. 7 is a flow chart of layout design of an integrated circuit provided in an embodiment of the present application;
图8为本申请实施例提供的一种数字控制振荡器的集成电路的版图;FIG. 8 is a layout of an integrated circuit of a digitally controlled oscillator provided in an embodiment of the present application;
图9为本申请实施例提供的一种集成电路的版图生成装置的结构示意图;FIG. 9 is a schematic structural diagram of an integrated circuit layout generation device provided by an embodiment of the present application;
图10为本申请实施例提供的另一种集成电路的版图生成装置的结构示意图。FIG. 10 is a schematic structural diagram of another integrated circuit layout generation device provided by an embodiment of the present application.
具体实施方式detailed description
本申请中,“至少一个”是指一个或者多个,“多个”是指两个或两个以上。“和/或”,描述关联对象的关联关系,表示可以存在三种关系,例如,A和/或B,可以表示:单独存在A,同时存在A和B,单独存在B的情况,其中A,B可以是单数或者复数。“以下至少一项(个)”或其类似表达,是指的这些项中的任意组合,包括单项(个)或复数项(个)的任意组合。例如,a,b或c中的至少一项(个),可以表示:a,b,c,a-b,a-c,b-c或a-b-c,其中a、b和c可以是单个,也可以是多个。字符“/”一般表示前后关联对象是一种“或”的关系。另外,在本申请的实施例中,“第一”、“第二”等字样并不对数量和执行次序进行限定。In this application, "at least one" means one or more, and "multiple" means two or more. "And/or" describes the association relationship of associated objects, indicating that there may be three types of relationships, for example, A and/or B, which can mean: A exists alone, A and B exist simultaneously, and B exists alone, where A, B can be singular or plural. "At least one of the following" or similar expressions refer to any combination of these items, including any combination of single or plural items. For example, at least one (unit) of a, b or c can represent: a, b, c, a-b, a-c, b-c or a-b-c, wherein a, b and c can be single or multiple. The character "/" generally indicates that the contextual objects are an "or" relationship. In addition, in the embodiments of the present application, words such as "first" and "second" do not limit the quantity and execution order.
需要说明的是,本申请中,“示例性的”或者“例如”等词用于表示作例子、例证或说明。本申请中被描述为“示例性的”或者“例如”的任何实施例或设计方案不应被解释为比其他实施例或设计方案更优选或更具优势。确切而言,使用“示例性的”或者“例如”等词旨在以具体方式呈现相关概念。It should be noted that, in this application, words such as "exemplary" or "for example" are used as examples, illustrations or illustrations. Any embodiment or design described herein as "exemplary" or "for example" is not to be construed as preferred or advantageous over other embodiments or designs. Rather, the use of words such as "exemplary" or "such as" is intended to present related concepts in a concrete manner.
在介绍本申请实施例之前,首先对本申请所涉及的集成电路的版图(layout)设计的相关技术背景进行介绍说明。Before introducing the embodiments of the present application, firstly, the related technical background of the layout design of the integrated circuit involved in the present application will be described.
其中,集成电路的版图设计是集成电路制作所必不可少的环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本和功耗。如图1所示,传统的集成电路的版图设计流程通常包括:由设计人员根据电路原理图,在诸如电子设计自动化(electronic design automation,EDA)等集成电路的设计软件中生成对应器件的版图;根据电路原理图中不同器件的连接关系进行人工布局和连线;对初步生成的版图进行物理验证(physical verify,PV),若PV不通过则返回人工布局和连线的步骤(比如,调整布局和连线),若PV通过则进程后仿真验证,比如,该后仿真验证可以使用spice仿真器、寄生参数抽取工具等;若后仿真验证通过则得到最终的版图;若后仿真验证不通过则返回人工布局和连线的步骤重新执行,直至PV和后仿真验证均通过为止。其中,在人工 布局和连线、PV和后仿真验证过程中,可以根据芯片代工厂(foundry)的工艺设计工具包(process design kit,PDK)和工艺设计约束条件来进行。Among them, the layout design of the integrated circuit is an essential link in the production of the integrated circuit. It is not only related to whether the function of the integrated circuit is correct, but also greatly affects the performance, cost and power consumption of the integrated circuit. As shown in Figure 1, the layout design process of a traditional integrated circuit usually includes: the designer generates the layout of the corresponding device in integrated circuit design software such as electronic design automation (electronic design automation, EDA) according to the circuit schematic diagram; Perform manual layout and wiring according to the connection relationship of different devices in the circuit schematic diagram; perform physical verification (Physical verify, PV) on the initially generated layout, and return to the steps of manual layout and wiring if the PV fails (for example, adjust the layout and connection), if the PV passes the post-simulation verification, for example, the post-simulation verification can use spice simulators, parasitic parameter extraction tools, etc.; if the post-simulation verification passes, the final layout will be obtained; if the post-simulation verification fails, then Return to the steps of manual layout and wiring and re-execute until both PV and post-simulation verification pass. Among them, in the process of manual layout and wiring, PV and post-simulation verification, it can be carried out according to the foundry's process design kit (PDK) and process design constraints.
但是,随着工艺尺寸的越来越小,芯片代工厂要求的版图设计规则(design rule)随之越来越多,需要满足设计规则越来越复杂,常见的设计规则如:最小距离约束、金属宽度约束等。因此,版图工程师需要花费大量的实践,通过不停的PV、后仿真验证、以及调整版图的布局和连线等方式,才能达到通过设计规则检查(design rule checking,DRC)和版图原理图对比(layout versus schematic,LVS)验证。这种版图设计流程非常耗时、效率很低;此外,当工艺调整或者电路设计参数发生比较大的变化时,原先的版图布局将不再适用,需要重新进行布局和布线等,从而整个设计过程重用性很低。However, as the process size becomes smaller and smaller, chip foundries require more and more layout design rules, and the design rules that need to be satisfied become more and more complex. Common design rules such as: minimum distance constraints, Metal width constraints, etc. Therefore, layout engineers need to spend a lot of practice, through non-stop PV, post-simulation verification, and adjusting the layout and wiring of the layout, in order to pass the design rule checking (design rule checking, DRC) and layout schematic comparison ( layout versus schematic, LVS) verification. This layout design process is very time-consuming and inefficient; in addition, when the process adjustment or circuit design parameters change greatly, the original layout layout will no longer be applicable, and it is necessary to re-layout and route, etc., so that the entire design process Reusability is low.
目前,部分电子设计自动化(electronic design automation,EDA)软件(比如,Cadence的PCell Designer工具)能够提供参数化单元(parameterized cell,PCell)设计的图形界面和比较丰富的设计接口,PCell设计可以比较方便的将一些特定的布局或者特定的布线参数化,最终生成一个定制的PCell。当用户在使用这些PCell时,只需通过PCell的参数接口输入相应的参数,即可生成固定类型和固定画法的版图。示例性的,如图2所示,为本申请实施例提供的一种提炼和生成PCell的流程示意图,具体可以包括:由PCell设计人员对现有集成电路的版图的归纳和分析,提炼出版图的类型;根据版图的类型提炼并定义可调整的参数接口;提炼出版图的设计逻辑;基于该设计逻辑来设计生成模块化的PCell,基于上述信息将电路中一些特定单元的布局或者特定的布线参数化,以形成具有参数接口的PCell。在设计生成模块化的PCell时,需要使用PCell设计工具,并通过该工具中的模块调用组件、逻辑组件和运算组件等在设计界面上来进行设计。At present, some electronic design automation (EDA) software (such as Cadence's PCell Designer tool) can provide a graphical interface for parameterized cell (PCell) design and relatively rich design interfaces, and PCell design can be more convenient Parameterize some specific layout or specific wiring, and finally generate a customized PCell. When users are using these PCells, they only need to input corresponding parameters through the parameter interface of PCells to generate layouts with fixed types and fixed drawing methods. Exemplarily, as shown in FIG. 2 , it is a schematic flow chart of extracting and generating PCell provided by the embodiment of the present application, which may specifically include: summarizing and analyzing the layout of existing integrated circuits by PCell designers, and extracting the published map type; refine and define adjustable parameter interfaces according to the type of layout; refine the design logic of the published diagram; design and generate a modular PCell based on the design logic; Parameterized to form a PCell with a parameterized interface. When designing and generating a modularized PCell, it is necessary to use a PCell design tool, and design on the design interface through the module calling components, logic components, and computing components in the tool.
但是,这种方式只能适用于设计逻辑比较简单的版图中,而不能适用于设计逻辑比较复杂的版图中,这是因为对于设计逻辑比较复杂的版图,设计人员前期很难通过分析和归纳准确地得出正确的逻辑,从而无法准确的提炼出版图的类型进行后续的设计。另外,上述不同单元的PCell只能根据特定的工艺进行设计,一旦切换工艺则需要针对重新生成对应工艺的Pcell,从而复用率较低。此外,上述设计过程需要大量的人工参与,且对设计人员的软件能力要求比较高,设计过程定制化,复用度很低,无法通过设计的积累持续提升设计能力和效率。However, this method can only be applied to layouts with relatively simple design logic, but not to layouts with more complex design logic. This is because it is difficult for designers to analyze and summarize layouts with more complex design logic in the early stage. Therefore, it is impossible to accurately extract the type of publication diagram for subsequent design. In addition, the above-mentioned PCells of different units can only be designed according to a specific process. Once the process is switched, it is necessary to regenerate the PCells of the corresponding process, so the reuse rate is low. In addition, the above-mentioned design process requires a lot of manual participation, and requires relatively high software capabilities of designers. The design process is customized and the degree of reuse is very low. It is impossible to continuously improve design capabilities and efficiency through design accumulation.
基于此,本申请实施例提供一种集成电路的版图生成方法及装置,能够使版图设计人员更专注于整体布局以及各种物理效应上,而无需花费大量的时间在手工布局布线、DRC或者LVS的修正上,从而解决版图设计人工耗时长、以及设计复用率低的问题。Based on this, the embodiment of the present application provides a layout generation method and device for an integrated circuit, which can enable layout designers to focus more on the overall layout and various physical effects without spending a lot of time on manual layout and wiring, DRC or LVS In terms of corrections, it solves the problems of long time-consuming layout design and low design reuse rate.
图3为本申请实施例提供的一种集成电路的版图生成方法的流程示意图,该方法可用于生成电路原理图(schematic)对应的集成电路的版图(layout),该方法可以由电子设备来执行,具体可以通过电子设备上安装的EDA软件来执行,该方法包括以下几个步骤。Figure 3 is a schematic flowchart of a method for generating an integrated circuit layout provided by an embodiment of the present application, the method can be used to generate a layout (layout) of an integrated circuit corresponding to a schematic circuit diagram, and the method can be executed by an electronic device Specifically, it can be executed through EDA software installed on the electronic device, and the method includes the following steps.
S301:获取电路原理图包括的多个基本元器件的参数信息。S301: Obtain parameter information of a plurality of basic components included in the schematic circuit diagram.
其中,该电路原理图可以是指用于表示电路的结构和工作原理的电路图,该电路原理图可以利用EDA软件生成,该电路原理图中的每个基本元器件可以通过对应的基本元器件符号来表示,每个基本元器件可以具有对应的元器件信息,比如,该元器件信息可以包括器件的标识和参数信息等。该基本元器件可以包括用于设计或构成电路的基础电子元器件,也可以包括由两个或者两个以上的基础电子元器件组成的元器件。比如,该基本元器 件可以包括电阻(比如,普通电阻、光敏电阻、热敏电阻、压敏电阻、湿敏电阻、可变电阻)、电感、电容(比如,固定电容、可变电容、半可变电容)、二极管(比如,普通二极管、发光二极管、稳压二极管、光电二极管)、晶体管(比如,N型晶体管、P型晶体管)、开关和接插件(比如,排针、排座、接线柱)等,也可以包括由上述电子元器件中的两个或者两个以上的电子元器件组成的元器件。该电路原理图包括多个基本元器件,该多个基本元器件可以包括多个不同的基本元器件,也可以存在相同的基本元器件,这些基本元器件可以通过该电路原理图中所包含的结构信息进行区分或识别。Wherein, the circuit schematic diagram may refer to a circuit diagram used to represent the structure and working principle of the circuit, and the circuit schematic diagram may be generated by using EDA software, and each basic component in the circuit schematic diagram may be represented by a corresponding basic component symbol To indicate that each basic component may have corresponding component information, for example, the component information may include the identification and parameter information of the component. The basic components may include basic electronic components used to design or form circuits, or components composed of two or more basic electronic components. For example, the basic components may include resistors (such as ordinary resistors, photoresistors, thermistors, piezoresistors, humidity-sensitive resistors, variable resistors), inductors, capacitors (such as fixed capacitors, variable capacitors, semi-variable Variable capacitance), diodes (for example, ordinary diodes, light-emitting diodes, Zener diodes, photodiodes), transistors (for example, N-type transistors, P-type transistors), switches and connectors (for example, pin headers, row seats, terminal blocks ), etc., may also include components composed of two or more of the above-mentioned electronic components. The circuit schematic diagram includes a plurality of basic components, and the multiple basic components may include a plurality of different basic components, and there may also be the same basic components, and these basic components may be included in the circuit schematic diagram Structural information to distinguish or identify.
示例性的,如图4所示,假设该电路原理图为数字控制振荡器的电路原理图,该电路原理图中包括三个基本元器件,具体为电感、可调电容模块和负阻模块。其中,该电感为基础电子元器件,该可调电容模块是由两个可调电容(比如,一个组粒度的可调电容C1和一个细粒度的可调电容C2,每个可调电容可以包括多个基础电子元器件,比如,包括多个电容和晶体管等)组成的基本元器件,该负阻模块是由两个晶体管M1和M2(每个晶体管可以为一个基础电子元器件)组成的基本元器件。该电路原理图的结构信息可用于指示上述三个基本元器件、以及每个基本元器件所包括的基础电子元器件。Exemplarily, as shown in FIG. 4 , it is assumed that the circuit schematic diagram is a circuit schematic diagram of a digitally controlled oscillator, and the circuit schematic diagram includes three basic components, specifically an inductor, an adjustable capacitor module and a negative resistance module. Wherein, the inductor is a basic electronic component, and the adjustable capacitor module is composed of two adjustable capacitors (for example, a group-sized adjustable capacitor C1 and a fine-grained adjustable capacitor C2, each adjustable capacitor can include A basic component composed of multiple basic electronic components, such as multiple capacitors and transistors, etc.), the negative resistance module is a basic component composed of two transistors M1 and M2 (each transistor can be a basic electronic component) components. The structural information of the schematic circuit diagram can be used to indicate the above three basic components and the basic electronic components included in each basic component.
另外,该参数信息可以包括工艺参数信息和电气参数信息。该多个基本元器件共用同一个工艺参数信息,该工艺参数信息具体可以由版图设计人员根据实际需求进行确定,比如,该多个基本元器件的工艺参数信息可以为台积电(Taiwan semiconductor manufacturing company,TSMC)28nm(简称TSMC 28)、TSMC 16或者SMC 28(nm)等。该电气参数信息包括该多个基本元器件的电气参数信息,该多个基本元器件中不同基本元器件的电气参数信息可以是不同的,比如,该多个基本元器件包括电阻和晶体管,该电阻的电气参数信息可以包括电阻值,该晶体管的电气参数信息可以包括沟道的宽度和长度。In addition, the parameter information may include process parameter information and electrical parameter information. The plurality of basic components share the same process parameter information, and the process parameter information can be determined by the layout designer according to actual needs. For example, the process parameter information of the multiple basic components can be TSMC (Taiwan semiconductor manufacturing company, TSMC) 28nm (TSMC 28 for short), TSMC 16 or SMC 28 (nm), etc. The electrical parameter information includes the electrical parameter information of the multiple basic components, and the electrical parameter information of different basic components in the multiple basic components may be different. For example, the multiple basic components include resistors and transistors, and the multiple basic components include resistors and transistors. The electrical parameter information of the resistor may include the resistance value, and the electrical parameter information of the transistor may include the width and length of the channel.
示例性的,以图4所示的该数字控制振荡器的电路原理图为例,在该电路原理图包括的三个基本元器件中,该电感、该可调电容模块和该负阻模块的工艺参数信息可以为TSMC28,该电感的电气参数信息可以包括该电感的走线宽度,该可调电容模块的电气参数信息可以包括可调电容的数量、单个可调电容的宽度和长度,该负阻模块的电气参数信息可以包括晶体管的行数和列数、以及晶体管沟道的宽度和长度。Exemplarily, taking the circuit schematic diagram of the digitally controlled oscillator shown in FIG. 4 as an example, among the three basic components included in the circuit schematic diagram, the inductor, the adjustable capacitance module and the negative resistance module The process parameter information can be TSMC28, the electrical parameter information of the inductor can include the trace width of the inductor, the electrical parameter information of the adjustable capacitor module can include the number of adjustable capacitors, the width and length of a single adjustable capacitor, the negative The electrical parameter information of the resistor module may include the number of rows and columns of transistors, and the width and length of transistor channels.
具体的,当用户需要生成该电路原理图对应的集成电路的版图时,该电子设备可以通过以下方式获取该多个基本元器件的工艺参数信息和电气参数信息:第一种、该用户可以根据实际需求向该电子设备输入该多个基本元器件的工艺参数信息、以及该多个基本元器件中每个基本元器件器件的电气参数信息;第二种、该用户触发该电子设备从该电路原理图中获取该多个基本元器件的工艺参数信息、以及该多个基本元器件中每个基本元器件器件的电气参数信息;第三种、该用户可以根据实际需求向该电子设备输入该多个基本元器件的工艺参数信息,并触发该电子设备从该电路原理图中获取该多个基本元器件中每个基本元器件器件的电气参数信息。在实际应用中,也可以通过上述三种方式中的任一种方式来获取部分基本元器件的电气参数信息等,本申请实施例对此不作具体限制。Specifically, when the user needs to generate the layout of the integrated circuit corresponding to the circuit schematic diagram, the electronic device can obtain the process parameter information and electrical parameter information of the multiple basic components in the following ways: first, the user can obtain the information according to According to actual needs, input the process parameter information of the multiple basic components and the electrical parameter information of each basic component in the multiple basic components to the electronic equipment; The process parameter information of the multiple basic components and the electrical parameter information of each basic component in the multiple basic components are obtained in the schematic diagram; third, the user can input the electronic equipment according to actual needs. process parameter information of a plurality of basic components, and trigger the electronic device to acquire electrical parameter information of each basic component in the plurality of basic components from the schematic circuit diagram. In practical applications, electrical parameter information and the like of some basic components may also be obtained through any of the above three methods, which is not specifically limited in this embodiment of the present application.
S302:根据该参数信息将该多个基本元器件对应的多个模型器件例化为多个器件版图,该多个模型器件是分别对该多个基本元器件进行统一封装处理得到的。S302: According to the parameter information, multiple model devices corresponding to the multiple basic components are instantiated into multiple device layouts, and the multiple model devices are obtained by performing unified packaging processing on the multiple basic components respectively.
其中,该多个基本元器件与该多个模型器件一一对应,也即是,该多个基本元器件中的每个基本元器件对应一个模型器件。当该多个基本元器件包括多个不同的基本元器件 时,该多个模型器件包括的多个模型器件也是不同的。当该多个基本元器件中存在相同的基本元器件时,该相同的基本元器件对应的模型器件也是相同的。Wherein, the plurality of basic components corresponds to the plurality of model devices one by one, that is, each basic component in the plurality of basic components corresponds to a model device. When the multiple basic components include multiple different basic components, the multiple model components included in the multiple model components are also different. When the same basic component exists in the plurality of basic components, the model devices corresponding to the same basic component are also the same.
另外,该模型器件可以是对基本单元进行统一封装处理后形成的器件,该基本单元可以包括同一芯片代工厂或者不同芯片代工厂提供的基本元器件,从而该基本单元也可以称为基本元器件,下文中统称为基本元器件。这里的统一封装处理具体可以包括对基本元器件的输入输出接口进行统一封装、以及统一命名该基本元器件的电气参数和类型等,比如,按照不同芯片代工厂中某一代工厂对于不同基本元器件的命名和类型的划分对其他芯片代工厂对应的基本元器件的进行命名和类型的划分。也即是,不同芯片代工厂提供的同一基本元器件对应的模型器件是在屏蔽了不同工艺、不同芯片代工厂之间的差异的基础上,提供了一致的器件参数、一致的输入输出接口(或称为外部连接接口)、一致器件性能、电气参数访问接口,从而能够解决器件级的DRC问题,并提供标准化的输入输出接口,将器件与工艺解耦。比如,以晶体管的沟道的宽度的命名为例,有的芯片代工厂的命名为width,而有的芯片代工厂的命名为nfin,本申请中可以将晶体管的沟道的宽度统一命名为width。In addition, the model device can be a device formed after the basic unit is uniformly packaged, and the basic unit can include basic components provided by the same chip foundry or different chip foundries, so the basic unit can also be called a basic component , hereinafter collectively referred to as basic components. The unified packaging process here can specifically include the unified packaging of the input and output interfaces of the basic components, and the unified naming of the electrical parameters and types of the basic components. The naming and type division of the corresponding basic components of other chip foundries and the division of types. That is, the model devices corresponding to the same basic components provided by different chip foundries provide consistent device parameters and consistent input and output interfaces on the basis of shielding differences between different processes and different chip foundries ( Or called external connection interface), consistent device performance, and electrical parameter access interface, so as to solve the DRC problem at the device level, and provide standardized input and output interfaces to decouple the device from the process. For example, taking the naming of the width of the channel of the transistor as an example, some chip foundries name it width, and some chip foundries name it nfin. In this application, the width of the channel of the transistor can be uniformly named width .
再者,该多个模型器件具有一致的输入输出接口可以是指该多个模型器件中每个模型器件的输入输出接口是按照相同标准或设计规范进行统一封装处理的,封装处理后得到的该多个模型器件中任意两个模型器件的输入输出接口之间可以直接进行连接,而无需做其他处理,比如,该相同标准或设计规范可以是要求每个模型器件的输入输出接口的边界可直接用于和其他模型器件的输入输出接口连接,每个模型器件的输入输出接口的长度大于或等于预设长度阈值,和/或每个模型器件的输入输出接口的宽度大于或等于预设宽度阈值等。上述标准和设计规范具体可以根据DRC设计规则、不同器件的特性、以及不同工艺的特性来进行确定,本申请实施例对此不作具体限制。另外,对于同一基本元器件的不同工艺,对应的模型器件可以是一致的,比如,同一基本元器件在不同工艺下的模型器件所具有的端口名称、数目和连接方式等是相同的。Furthermore, the plurality of model devices having consistent input and output interfaces may mean that the input and output interfaces of each model device in the plurality of model devices are uniformly packaged according to the same standard or design specification, and the obtained after package processing The input and output interfaces of any two model devices in multiple model devices can be directly connected without other processing. For example, the same standard or design specification may require that the boundary of the input and output interfaces of each model device can be directly Used to connect with the input and output interfaces of other model devices, the length of the input and output interfaces of each model device is greater than or equal to the preset length threshold, and/or the width of the input and output interfaces of each model device is greater than or equal to the preset width threshold Wait. The foregoing standards and design specifications may be specifically determined according to DRC design rules, characteristics of different devices, and characteristics of different processes, which are not specifically limited in this embodiment of the present application. In addition, for different processes of the same basic component, the corresponding model devices may be consistent. For example, the port names, numbers and connection methods of the same basic component in different processes are the same.
示例性的,以晶体管为例,对于同样都是p型(p-type)的核心(core)管,统一的命名或名称可以为pch_mac,对应的参数信息列表可以包括沟道的长度l、宽度w和单元重复数量m,对应的输入输出接口可以包括源极S、漏极D、栅极G和体极B,连接方式可以为S和D连接到第二层金属层并横向走线、G连接到第一层金属层并统一横向走线。Exemplarily, taking a transistor as an example, for the same p-type (p-type) core (core) tube, the unified naming or name can be pch_mac, and the corresponding parameter information list can include the length l and width of the channel w and the number of unit repetitions m, the corresponding input and output interfaces can include source S, drain D, gate G and body B, and the connection method can be that S and D are connected to the second metal layer and routed horizontally, G Connect to the first metal layer and unify the lateral traces.
具体的,当该电子设备获取到该参数信息,即获取到工艺参数信息和该多个基本元器件中每个基本元器件的电气参数信息时,对于该多个模型器件中的每个模型器件,该电子设备可以根据该工艺参数信息和该模型器件对应的基本元器件的电气参数信息,将该模型器件例化为器件版图,即得到该器件版图的具体形状和尺寸等,从而该多个模型器件可以对应得到多个器件版图。Specifically, when the electronic device obtains the parameter information, that is, obtains the process parameter information and the electrical parameter information of each basic component in the plurality of basic components, for each model device in the plurality of model devices , the electronic device can instantiate the model device into a device layout according to the process parameter information and the electrical parameter information of the basic components corresponding to the model device, that is, obtain the specific shape and size of the device layout, etc., so that the multiple A model device can correspond to multiple device layouts.
示例性的,以图4所示的数字控制振荡器的工作原理图为例,在该电路原理图包括的三个基本元器件中,该电感和该负阻模块对应的模型器件和器件版图可以分别如图5中的(a)和(b)所示,该可调电容模块的模型器件可以如图5中的(c)所示,该可调电容模块未示出对应的器件版图。其中,该电感的器件版图可以为多边形;该负阻模块的器件版图包括两个晶体管,S1、G1和D1可以分别为第一个晶体管M1的源极、栅极和漏极,S2、G2和D2可以分别为第二个晶体管M2的源极、栅极和漏极,通过S1、G1、D1、S2、G2 和D2上所设置的通孔走线可实现第一个晶体管M1和第二晶体管M2的相应连接。Exemplarily, take the working schematic diagram of the digitally controlled oscillator shown in FIG. As shown in (a) and (b) in FIG. 5 respectively, the model device of the adjustable capacitor module can be shown in (c) in FIG. 5 , and the corresponding device layout is not shown for the adjustable capacitor module. Wherein, the device layout of the inductor can be a polygon; the device layout of the negative resistance module includes two transistors, S1, G1 and D1 can be the source, gate and drain of the first transistor M1 respectively, and S2, G2 and D2 can be the source, gate and drain of the second transistor M2 respectively, and the first transistor M1 and the second transistor can be realized through the through-hole wiring set on S1, G1, D1, S2, G2 and D2 Corresponding connection of M2.
进一步的,该多个模型器件可以是从模型器件库中获取的,该模型器件库可以是预先设置的,且该模型器件库中可以包括多个不同的模型器件,该多个不同的模型器件中的每个模型器件对应一个基本元器件,即每个模型器件为对应的基本元器件的模型器件。比如,如下表1所示,该模型器件库可以包括多个基本元器件的标识且分别表示为ID1至IDn、以及多个不同的模型器件且分别表示为Model-1至Model-n,ID1至IDn与Model-1至Model-n一一对应,n为大于1的整数。Further, the plurality of model devices may be obtained from a model device library, the model device library may be preset, and the model device library may include a plurality of different model devices, and the plurality of different model devices Each model device in corresponds to a basic component, that is, each model device is a model device of the corresponding basic component. For example, as shown in Table 1 below, the model device library may include identifications of multiple basic components and parts, which are respectively represented as ID1 to IDn, and a plurality of different model devices, which are respectively represented as Model-1 to Model-n, ID1 to IDn corresponds to Model-1 to Model-n one by one, and n is an integer greater than 1.
表1Table 1
基本元器件的标识Identification of basic components 模型器件model device
ID1ID1 Model-1Model-1
ID2ID2 Model-2Model-2
……... ……...
IDnID Model-nModel-n
具体的,在根据该参数信息将该多个模型器件例化为多个器件版图之前,该方法还可以包括:对于该多个基本元器件中的每个基本元器件,根据该基本元器件从该模型器件库中获取对应的模型器件,从而该多个基本元器件对应得到该多个模型器件。比如,对于每个基本元器件,根据该基本元器件的标识从该模型器件库中获取与该标识对应的模型器件,即得到该基本元器件对应的模型器件。Specifically, before instantiating the multiple model devices into multiple device layouts according to the parameter information, the method may further include: for each basic component in the multiple basic components, according to the basic component from The corresponding model devices are obtained from the model device library, so that the multiple basic components correspond to the multiple model devices. For example, for each basic component, according to the identification of the basic component, the model component corresponding to the identification is obtained from the model component library, that is, the model component corresponding to the basic component is obtained.
可选的,在获取到该多个基本元器件的参数信息时,该电子设备还可以根据该多个基本元器件的参数信息先查询器件版图样本库,该器件版图样本库中存储有大量的器件版图,该器件版图样本库中的器件版图可以是通过收集不同用户已生成的器件版图得到的。具体的,对于该多个基本元器件中的每个基本元器件,可以根据该基本元器件的参数信息查询该器件版图样本库,若该版图样本库中存在满足该基本元器件的参数信息的器件版图,则可以将该器件版图确定为该基本元器件的器件版图。若该版图样本库中不存在满足该基本元器件的参数信息的器件版图,则可以按照上述S302的描述生成该基本元器件的器件版图;进一步的,该电子设备还可以将生成的版图器件存储在该器件版图样本库中,以便于后续使用或者其他用户使用。Optionally, when obtaining the parameter information of the multiple basic components, the electronic device may first query the device layout sample library according to the parameter information of the multiple basic components, and the device layout sample library stores a large number of Device layout, the device layout in the device layout sample library can be obtained by collecting device layouts generated by different users. Specifically, for each basic component in the plurality of basic components, the device layout sample library can be queried according to the parameter information of the basic component, if there is a component in the layout sample library that satisfies the parameter information of the basic component device layout, the device layout can be determined as the device layout of the basic component. If there is no device layout satisfying the parameter information of the basic component in the layout sample library, the device layout of the basic component can be generated according to the description of S302 above; further, the electronic device can also store the generated layout device In this device layout sample library, it is convenient for subsequent use or other users.
S303:获取该多个器件版图的相对布局信息,并根据该相对布局信息和该多个器件版图,确定该多个器件版图的物理位置信息。S303: Obtain relative layout information of the multiple device layouts, and determine physical location information of the multiple device layouts according to the relative layout information and the multiple device layouts.
其中,该相对布局信息可以是指该多个器件版图在该电路原理图对应的集成电路的版图中的相对布局信息。该相对布局信息可以包括该多个器件版图中任意两个器件版图之间的相对位置信息,或者任意一个器件版图的相对位置等,该相对位置信息可以包括该两个器件版图所在的金属层之间的相对关系,该两个器件版图在同一金属层中的投影之间的相对位置、以及任意一个器件版图所该集成电路的版图中的相对位置等。比如,以该两个器件版图为A和B为例,该相对布局信息可以用于指示A所在的金属层位于B所在的金属层之上,且A在B所在的金属层上的投影位于B的左侧。示例性的,以图4所示的数字振荡器的电路原理图为例,该电感、该可调电容模块和该负阻模块对应的器件版图的相对布局信息可以如图6所示,该负阻模块的器件版图可以位于该电感的器件版图与该可调电容模块的器件版图之间,即该三个基本元器件在同一金属层的投影从上而下依次为该电感 的器件版图、该负阻模块的器件版图、该可调电容模块的器件版图。Wherein, the relative layout information may refer to the relative layout information of the plurality of device layouts in the layout of the integrated circuit corresponding to the circuit schematic diagram. The relative layout information may include relative position information between any two device layouts in the plurality of device layouts, or the relative position of any one device layout, etc., and the relative position information may include between the metal layers where the two device layouts are located. The relative relationship between the two device layouts, the relative position between the projections of the two device layouts in the same metal layer, and the relative position of any one device layout in the layout of the integrated circuit, etc. For example, taking the two device layouts as A and B as an example, the relative layout information can be used to indicate that the metal layer where A is located is located above the metal layer where B is located, and the projection of A on the metal layer where B is located is located at B on the left side. Exemplarily, taking the circuit schematic diagram of the digital oscillator shown in FIG. 4 as an example, the relative layout information of the device layout corresponding to the inductor, the adjustable capacitance module and the negative resistance module can be shown in FIG. The device layout of the resistance module can be located between the device layout of the inductor and the device layout of the adjustable capacitor module, that is, the projections of the three basic components on the same metal layer are the device layout of the inductor, the The device layout of the negative resistance module and the device layout of the adjustable capacitor module.
另外,该多个器件版图的物理位置信息是指该多个器件版图在该电路原理图对应的集成电路的版图中的物理位置信息。该物理位置信息可以用于指示该多个器件版图中每个器件版图的物理位置,一个器件版图的物理位置可以包括该多个器件版图中每个器件版图所在的金属层、以及该器件版图在金属层中的坐标位置等。In addition, the physical position information of the plurality of device layouts refers to the physical position information of the plurality of device layouts in the layout of the integrated circuit corresponding to the circuit schematic diagram. The physical location information may be used to indicate the physical location of each device layout in the plurality of device layouts. The physical location of a device layout may include the metal layer where each device layout in the plurality of device layouts is located, and where the device layout is located. Coordinate positions in the metal layer, etc.
具体的,该电子设备在获取该多个器件版图的相对布局信息时可以通过以下几种方式来获取:第1种、该用户可以根据实际需求向该电子设备输入该多个器件版图的相对布局信息;第2种、该用户触发该电子设备从该电路原理图中获取该多个器件版图的相对布局信息;第3种、该用户可以根据实际需求向该电子设备输入该多个器件版图中一部分器件版图的相对布局信息,并触发该电子设备从该电路原理图中获取该多个器件版图中另一部分器件版图的相对布局信息。可选的,当该用户向该电子设备输入该多个器件版图的相对布局信息时,可以利用该电子设备提供的用于设置相对布局的容器组件来进行输入,比如,在该电子设备的图形用户界面中,该多个器件版图可以被展示为多个方形缩略图,容器组件可以位于主界面中,该容器组件可以包括用于实现至少两个器件版图的水平排列、垂直排列、阵列排列和环绕的多种容器组件,该用户只需选择对应的容器组件,并将需要设置相对布局关系的器件版图拖拽至该容器组件中的对应位置即可。需要说明的是,获取该相对布局信息的步骤与上述S301和S302可以部分先后顺序,该步骤只需在确定该多个器件版图的物理位置信息之前即可,本申请实施例以该步骤在S303为例进行说明。Specifically, the electronic device may acquire the relative layout information of the plurality of device layouts in the following ways: first, the user may input the relative layout information of the plurality of device layouts into the electronic device according to actual needs Information; the second type, the user triggers the electronic device to obtain the relative layout information of the multiple device layouts from the circuit schematic diagram; the third type, the user can input the multiple device layout information to the electronic device according to actual needs the relative layout information of a part of the device layout, and trigger the electronic device to obtain the relative layout information of another part of the device layout in the plurality of device layouts from the circuit schematic diagram. Optionally, when the user inputs the relative layout information of the plurality of device layouts to the electronic device, the user may use the container component provided by the electronic device for setting the relative layout for input, for example, in the graphics of the electronic device In the user interface, the plurality of device layouts can be displayed as a plurality of square thumbnails, and the container component can be located in the main interface, and the container component can include horizontal arrangement, vertical arrangement, array arrangement and A variety of container components surround, the user only needs to select the corresponding container component, and drag the device layout that needs to set the relative layout relationship to the corresponding position in the container component. It should be noted that the step of obtaining the relative layout information and the above steps of S301 and S302 may be partially sequenced, and this step only needs to be done before determining the physical location information of the multiple device layouts. Take this as an example.
当获取到该相对布局信息之后,该电子设备可以根据该相对布局信息对该多个器件版图进行布局,以得到不含走线的集成电路的版图,即得到该多个器件版图的物理位置信息。其中,该电子设备在对该多个器件版图进行布局时,除了根据该相对布局信息,还可以结合其他约束条件(比如,工艺约束条件中的最小面积约束和最小距离约束,不同器件版图之间的电流关系和距离关系等),通过自动综合的方式来确定该物理位置信息以对该多个器件版图进行布局。可选的,该电子设备可以自动综合该相对布局信息,以及最小面积约束和最小距离约束等约束条件中的一个或者多个,并在综合过程中不断调整不同器件版图(比如,底层金属层中的器件版图)的位置信息等,通过退火算法得到该多个器件版图的物理位置信息;根据该物理位置信息对该多个器件版图进行布局,以得到不含走线的集成电路的版图。After obtaining the relative layout information, the electronic device can layout the multiple device layouts according to the relative layout information to obtain the layout of the integrated circuit without wiring, that is, to obtain the physical location information of the multiple device layouts . Wherein, when the electronic device lays out the multiple device layouts, in addition to the relative layout information, it can also combine other constraints (for example, the minimum area constraint and the minimum distance constraint in the process constraints, and the distance between different device layouts). current relationship and distance relationship, etc.), the physical position information is determined by automatic synthesis to layout the multiple device layouts. Optionally, the electronic device can automatically synthesize the relative layout information, and one or more of constraints such as the minimum area constraint and the minimum distance constraint, and continuously adjust different device layouts (for example, in the bottom metal layer) during the synthesis process. The physical location information of the multiple device layouts is obtained through the annealing algorithm; the multiple device layouts are laid out according to the physical location information to obtain the layout of the integrated circuit without wiring.
需要说明的是,该最小面积约束可以是指最终生成的集成电路的版图所要求的最小面积,即该集成电路的版图占用的面积最小为该最小面积约束所要求的面积,该集成电路的版图占用的面积不能小于该最小面积约束所要求的面积。该最小距离约束可以是指任意两个相邻的器件版图之间的最小距离,即相邻的两个器件版图之间的最小距离为该最小距离约束所要求的距离,该相邻的两个器件版图之间的距离不能小于该最小距离约束所要求的距离。不同器件版图之间的电流关系可以是指流过该不同器件版图的电流的大小关系,比如,流过某两个器件版图的电流相等。上述距离关系可以是指不同器件版图之间的距离关系,比如,该距离关系可用于指示某两个器件版图之间的最小距离或者最大距离等。It should be noted that the minimum area constraint may refer to the minimum area required by the layout of the final integrated circuit, that is, the area occupied by the layout of the integrated circuit is at least the area required by the minimum area constraint, and the layout of the integrated circuit The occupied area cannot be smaller than that required by this minimum area constraint. The minimum distance constraint may refer to the minimum distance between any two adjacent device layouts, that is, the minimum distance between two adjacent device layouts is the distance required by the minimum distance constraint, and the two adjacent The distance between device layouts cannot be smaller than that required by this minimum distance constraint. The current relationship between different device layouts may refer to the magnitude relationship of the currents flowing through the different device layouts, for example, the currents flowing through certain two device layouts are equal. The above-mentioned distance relationship may refer to a distance relationship between different device layouts, for example, the distance relationship may be used to indicate a minimum distance or a maximum distance between certain two device layouts.
可选的,在获取该相对布局信息和该多个器件版图时,该电子设备还可以根据该相对布局信息和该多个器件版图先查询电路版图样本库,该电路版图样本库中存储有大量的集成电路的版图,该电路版图样本库中的集成电路的版图可以是通过收集不同用户已生成的 部分集成电路的版图或者完整的集成电路的版图得到的。具体的,对于该多个器件版图中与该相对布局信息关联的器件版图,可以根据对应关联的器件版图以及之间的相对布局信息查询该电路版图样本库,若该电路版图样本库中存在满足要求的版图,则可以将该版图确定为该关联的器件版图对应的版图。若该电路版图样本库中不存在满足要求的版图,则可以按照上述S303的描述生成对应的不含走线的集成电路的版图;进一步的,该电子设备还可以将生成的不含走线的集成电路的版图或者部分不含走线的集成电路的版图存储在该电路版图样本库中,以便于后续使用或者其他用户使用。Optionally, when acquiring the relative layout information and the plurality of device layouts, the electronic device may first query the circuit layout sample library according to the relative layout information and the plurality of device layouts, and the circuit layout sample library stores a large number of The layout of the integrated circuit, the layout of the integrated circuit in the circuit layout sample library can be obtained by collecting the layout of a part of the integrated circuit or the layout of the complete integrated circuit that has been generated by different users. Specifically, for the device layouts associated with the relative layout information in the plurality of device layouts, the circuit layout sample library can be queried according to the corresponding associated device layouts and the relative layout information between them, if the circuit layout sample library exists in the circuit layout sample library that satisfies If there is a required layout, the layout can be determined as the layout corresponding to the associated device layout. If there is no layout that meets the requirements in the circuit layout sample library, the layout of the corresponding integrated circuit without wiring can be generated according to the description of S303 above; further, the electronic device can also generate the layout of the integrated circuit without wiring. The layout of an integrated circuit or a part of the layout of an integrated circuit without traces is stored in the circuit layout sample library for subsequent use or use by other users.
S304:根据该物理位置信息,生成该电路原理图对应的集成电路的版图。S304: Generate the layout of the integrated circuit corresponding to the circuit schematic diagram according to the physical location information.
当该电子设备得到按照该物理位置信息布局的不含走线的集成电路的版图时,该电子设备可以根据该电路原理图中的电路连接关系,在该不含走线的集成电路的版图中生成走线,以得到该电路原理图对应的集成电路的版图。其中,该电路连接关系可以由该电子设备从该电路原理图中的进行获取,该电路连接关系可以用于指示该多个器件版图的连接关系,比如,该电路连接关系可以包括多条连线。When the electronic device obtains the layout of the integrated circuit without traces laid out according to the physical location information, the electronic device can, according to the circuit connection relationship in the schematic circuit diagram, create a layout of the integrated circuit without traces. Generate traces to obtain the layout of the integrated circuit corresponding to the circuit schematic diagram. Wherein, the circuit connection relationship can be obtained by the electronic device from the schematic circuit diagram, and the circuit connection relationship can be used to indicate the connection relationship of the multiple device layouts, for example, the circuit connection relationship can include multiple wiring lines .
进一步的,根据该电路连接关系,在该不含走线的集成电路的版图中生成走线之前,该方法还可以包括:获取至少一条走线的布线信息,该布线信息可以包括布线路径信息、以及布线宽度约束信息等,该至少一条走线可以为影响该集成电路的工作指标(比如,该工作指标可以包括但不限于频率、相位噪声、幅值的波动大小、功耗和带宽等中的一个或者多个)的关键走线。其中,该至少一条走线可以是由用户确定,该至少一条走线的布线信息,也可以由用户输入;或者,该电子设备确定该至少一条走线,比如,该电子设备可以根据预设条件和该电路连接关系确定该至少一条走线。在获取到该至少一条走线的布线信息之后,该电子设备可以根据该布线信息在该不含走线的集成电路的版图中生成该至少一条走线,同时根据该电路连接关系生成除该至少一条走线之外的其他走线,比如,利用算法分析出该其他走线的走线路径和跳层等并进行布线,以即得到该电路原理图对应的集成电路的版图。Further, according to the circuit connection relationship, before generating the wiring in the layout of the integrated circuit without wiring, the method may further include: acquiring wiring information of at least one wiring, and the wiring information may include wiring path information, As well as wiring width constraint information, etc., the at least one routing can be a working index that affects the integrated circuit (for example, the working index can include but not limited to frequency, phase noise, amplitude fluctuation, power consumption, bandwidth, etc. One or more) key routing. Wherein, the at least one routing can be determined by the user, and the routing information of the at least one routing can also be input by the user; or, the electronic device can determine the at least one routing, for example, the electronic device can The at least one routing is determined in connection with the circuit. After acquiring the routing information of the at least one routing, the electronic device can generate the at least one routing in the layout of the integrated circuit without routing according to the routing information, and at the same time generate the at least one routing according to the circuit connection relationship. For other routings other than one routing, for example, use an algorithm to analyze the routing paths and layer jumps of the other routings and perform routing, so as to obtain the layout of the integrated circuit corresponding to the circuit schematic diagram.
其中,在生成该至少一条关键走线和该其他走线时,该电子设备可以综合考虑芯片代工厂对于走线的不同要求(比如,诸如某一走线最大承载20mA的电流要求等)、以及版图设计规则等对于走线的限制等,以保证生成的版图中的走线能够满足特定的要求。另外,在生成该至少一条关键走线和该其他走线的过程中,若存在一条或者多条走线穿过(via)不同金属层,该电子设备还可以通过在不同金属层之间打孔来实现该一条或者多条走线的部署。Wherein, when generating the at least one key trace and the other traces, the electronic device may comprehensively consider the different requirements of the chip foundry for the traces (for example, such as a maximum current requirement of a trace of 20mA, etc.), and Layout design rules and other restrictions on routing, etc., to ensure that the routing in the generated layout can meet specific requirements. In addition, during the process of generating the at least one key routing and the other routing, if there are one or more routings passing through (via) different metal layers, the electronic device can also punch holes between different metal layers To realize the deployment of the one or more routings.
为便于理解,下面结合图4所示的数字控制振荡器为例,对本申请实施例提供的方法进行举例说明,该数字控制振荡器包括依次并联的电感、可调电容模块和负阻模块。具体的,该电子设备上安装有EDA软件,该EDA软件可以包括模型器件库、样本设计库(可以包括上述器件版图库和电路版图库)、布局规划(floor plan)设计器和路径(routing)引擎,如图7所示,在生成该数字控制振荡器对应的集成电路的版图时,该方法可以包括:布局规划设计器获取电感、可调电容模块和负阻模块中每个模块的参数信息,比如,工艺参数信息可以为TSMC28,电感的电气参数信息为宽度和长度,可调电容模块的电气参数信息为可调电容的数量、以及单个可调电容的宽度和长度,负阻模块的电气参数信息为晶体管的行数和列数、以及晶体管的沟道的宽度和长度;布局规划设计器获取电感、可调电 容模块和负阻模块中每个模块对应的模型器件,并根据上述参数信息将其例化为三个器件版图,即电感器件版图、可调电容模块器件版图和负阻模块器件版图(可选的,可以通过查询样本设计库,当该样本设计库中存在该三个器件版图中的一个或者多个时可以从该样本设计库中获取);布局规划设计器获取该三个器件版图的相对布局信息,并根据该相对布局信息和工艺约束信息确定该多个器件版图的物理位置信息,比如,确定每个器件版图所在的金属层、以及该器件版图在金属层中的坐标位置等,还可以根据该物理位置信息生成不含走线的集成电路的版图;路径引擎根据该物理位置信息和走线约束,生成该数字控制振荡器对应的集成电路的版图,其中该版图中的关键走线的路径和宽度可以是由用户给定的,比如,作为关键走线的主通路(图4中表示为粗线)走线可以由用户手动约束、除该关键走线之外的非关键走线可以由路径引擎字段规划,若走线需要打孔可以通过内置的打孔引擎实现)。其中,最后生成的该数字振荡器对应的集成电路的版图可以如图8所示,图8所示的集成电路的版图中的走线和不同模的版图块仅为示例性,且该集成电路中的可调电容模块和负阻模块以电路原理图中的具体结构为例进行说明。For ease of understanding, the method provided in the embodiment of the present application will be described below with reference to the digitally controlled oscillator shown in FIG. 4 as an example. The digitally controlled oscillator includes an inductor, an adjustable capacitor module, and a negative resistance module connected in parallel in sequence. Specifically, EDA software is installed on the electronic equipment, and the EDA software can include a model device library, a sample design library (which can include the above-mentioned device layout library and circuit layout library), a floor plan designer and routing Engine, as shown in FIG. 7, when generating the layout of the integrated circuit corresponding to the digitally controlled oscillator, the method may include: the layout planning designer obtains the parameter information of each module in the inductor, the adjustable capacitor module and the negative resistance module For example, the process parameter information can be TSMC28, the electrical parameter information of the inductor is width and length, the electrical parameter information of the adjustable capacitor module is the number of adjustable capacitors, and the width and length of a single adjustable capacitor, and the electrical parameter information of the negative resistance module. The parameter information is the number of rows and columns of the transistor, and the width and length of the channel of the transistor; the layout planning designer obtains the model device corresponding to each module in the inductor, adjustable capacitance module and negative resistance module, and according to the above parameter information Instantiate it into three device layouts, that is, the inductor device layout, the adjustable capacitance module device layout and the negative resistance module device layout (optional, you can query the sample design library, when the three devices exist in the sample design library One or more of the layouts can be obtained from the sample design library); the floor plan designer obtains the relative layout information of the three device layouts, and determines the layout of the multiple device layouts according to the relative layout information and process constraint information Physical location information, such as determining the metal layer where each device layout is located, and the coordinate position of the device layout in the metal layer, etc., can also generate an integrated circuit layout without traces based on the physical location information; the routing engine according to The physical location information and routing constraints generate the layout of the integrated circuit corresponding to the digitally controlled oscillator, where the path and width of the key routing in the layout can be given by the user, for example, as the main routing of the critical routing Paths (represented as thick lines in Figure 4) can be manually constrained by the user, and non-critical lines other than the critical line can be planned by the path engine field. If the line needs to be punched, it can be punched through the built-in hole punching engine accomplish). Wherein, the finally generated layout of the integrated circuit corresponding to the digital oscillator can be shown in FIG. The adjustable capacitance module and negative resistance module in the paper are described by taking the specific structure in the circuit schematic diagram as an example.
在本申请实施例中,该电子设备可以在获取电路原理图包括的多个基本元器件的参数信息后,根据该参数信息将该多个基本元器件对应的模型器件例化为多个器件版图,并基于接收到的该多个器件版图的相对布局信息,确定该多个器件版图的物理位置信息,之后根据该物理位置信息生成该电路原理图对应的集成电路的版图。由于该多个基本元器件对应的模型器件是分别对该多个基本元器件进行统一封装处理得到的,屏蔽了不同工艺、不同芯片代工厂之间的差异,且能够提供统一的输入输出接口,用户可以根据实际需求输入多个器件版图的相对布局信息、以及影响集成电路的工作指标的关键走线的布线信息,从而在实现集成电路的版图的自动化设计的同时,能够使版图设计人员更专注于整体布局,而无需花费大量的时间在手工布局布线、DRC或者LVS的修正上,从而解决版图设计人工耗时长的问题。此外,在集成电路的版图设计过程中,还可以复用之前已生成的器件版图或集成电路的版图,从而可以进一步提高设计的复用率。In the embodiment of the present application, after obtaining the parameter information of the multiple basic components included in the circuit schematic diagram, the electronic device can instantiate the model devices corresponding to the multiple basic components into multiple device layouts according to the parameter information , and based on the received relative layout information of the multiple device layouts, determine the physical location information of the multiple device layouts, and then generate the layout of the integrated circuit corresponding to the circuit schematic diagram according to the physical location information. Since the model devices corresponding to the multiple basic components are obtained by uniformly packaging the multiple basic components, the differences between different processes and different chip foundries are shielded, and a unified input and output interface can be provided. Users can input the relative layout information of multiple device layouts and the wiring information of key routings that affect the working indicators of integrated circuits according to actual needs, so that the layout designers can be more focused while realizing the automatic layout design of integrated circuits It is based on the overall layout without spending a lot of time on manual layout and routing, DRC or LVS correction, thus solving the problem of long labor time in layout design. In addition, during the layout design process of the integrated circuit, the layout of the device or the layout of the integrated circuit that has been generated before can also be reused, so that the reuse rate of the design can be further improved.
上述主要从电子设备的角度对本申请实施例提供的方案进行了介绍,可以理解的是,电子设备为了实现上述功能,其包含了执行各个功能相应的硬件结构和/或软件模块。本领域技术人员应该很容易意识到,结合本文中所公开的实施例描述的各示例的单元及算法步骤,本申请能够以硬件或硬件和计算机软件的结合形式来实现。某个功能究竟以硬件还是计算机软件驱动硬件的方式来执行,取决于技术方案的特定应用和设计约束条件。专业技术人员可以对每个特定的应用来使用不同方法来实现所描述的功能,但是这种实现不应认为超出本申请的范围。The foregoing mainly introduces the solutions provided by the embodiments of the present application from the perspective of electronic equipment. It can be understood that, in order to realize the above functions, the electronic equipment includes corresponding hardware structures and/or software modules for performing various functions. Those skilled in the art should easily realize that the present application can be implemented in the form of hardware or a combination of hardware and computer software in combination with the units and algorithm steps of each example described in the embodiments disclosed herein. Whether a certain function is executed by hardware or computer software drives hardware depends on the specific application and design constraints of the technical solution. Those skilled in the art may use different methods to implement the described functions for each specific application, but such implementation should not be regarded as exceeding the scope of the present application.
本申请实施例可以根据上述方法示例对集成电路的版图生成装置进行功能模块的划分,例如,可以对应各个功能划分各个功能模块,也可以将两个或两个以上的功能集成在一个处理模块中。上述功能模块既可以采用硬件的形式实现,也可以采用软件功能模块的形式实现。需要说明的是,本申请实施例中对模块的划分是示意性的,仅仅为一种逻辑功能划分,实际实现时可以有另外的划分方式。The embodiment of the present application can divide the functional modules of the layout generation device of the integrated circuit according to the above method example, for example, each functional module can be divided corresponding to each function, or two or more functions can be integrated into one processing module . The above functional modules can be implemented in the form of hardware or in the form of software functional modules. It should be noted that the division of modules in the embodiment of the present application is schematic, and is only a logical function division, and there may be other division methods in actual implementation.
在采用对应各个功能划分各个功能模块的情况下,图9示出了上述实施例中所涉及的集成电路的版图生成装置的一种可能的结构示意图,该装置可以为电子设备或者应用于电子设备中的芯片。该装置包括:获取单元401和处理单元402。其中,获取单元401用于 支持该装置执行上述方法实施例中的S301、S303中获取相对布局信息、以及本文所描述的获取至少一条关键走线的布线信息的步骤;处理单元402用于支持该装置执行上述方法实施例中的S302、S303中确定多个器件版图的物理位置信息、S304、以及本文所描述的其他技术过程等。In the case of dividing each functional module corresponding to each function, FIG. 9 shows a possible structural schematic diagram of the layout generation device of the integrated circuit involved in the above embodiment. The device can be an electronic device or be applied to an electronic device. chip in. The device includes: an acquisition unit 401 and a processing unit 402 . Wherein, the obtaining unit 401 is used to support the device to execute the steps of obtaining relative layout information in S301 and S303 in the above method embodiment, and obtaining the wiring information of at least one key routing described herein; the processing unit 402 is used to support this The device executes S302 and S303 in the above method embodiment to determine the physical location information of multiple device layouts, S304, and other technical processes described herein.
在采用硬件实现的基础上,上述处理单元402可以为处理器,获取单元401可以为接收器,接收器和发送器可以集成为收发器,该收发器也可以称为通信接口。On the basis of hardware implementation, the above-mentioned processing unit 402 may be a processor, the acquisition unit 401 may be a receiver, and the receiver and the transmitter may be integrated into a transceiver, which may also be called a communication interface.
图10为本申请实施例所涉及的集成电路的版图生成装置的结构示意图,该装置可以为电子设备或者应用于电子设备中的芯片。该装置包括:通信接口413和处理器412。其中,处理器412用于对该装置的动作进行控制管理,例如,处理器412可用于支持该装置执行上述方法实施例中的S301-S304,和/或用于本文所描述的技术的其他过程;通信接口413可用于支持该装置进行通信。可选的,该装置还可以包括存储器411,存储器411用于存储该装置的程序代码和数据。FIG. 10 is a schematic structural diagram of an integrated circuit layout generation device according to an embodiment of the present application. The device may be an electronic device or a chip applied to an electronic device. The device includes: a communication interface 413 and a processor 412 . Wherein, the processor 412 is used to control and manage the actions of the device, for example, the processor 412 can be used to support the device to execute S301-S304 in the above method embodiment, and/or other processes for the technologies described herein ; The communication interface 413 can be used to support the device to communicate. Optionally, the device may further include a memory 411 for storing program codes and data of the device.
其中,处理器412可以是中央处理器单元,通用处理器,数字信号处理器,专用集成电路,处理芯片、现场可编程门阵列或者其他可编程逻辑器件,晶体管逻辑器件,硬件部件或者其任意组合。其可以实现或执行结合本申请实施例公开内容所描述的各种例如逻辑方框,模块和电路。处理器412也可以是实现计算功能的组合,例如包含一个或多个微处理器组合,数字信号处理器和微处理器的组合等等。通信接口413可以是收发器、收发电路或收发接口等。存储器411可以是易失性存储器或者非易失性存储器等。Wherein, the processor 412 may be a central processing unit, a general-purpose processor, a digital signal processor, an application-specific integrated circuit, a processing chip, a field programmable gate array or other programmable logic device, a transistor logic device, a hardware component, or any combination thereof . It can realize or execute various logical blocks, modules and circuits described in conjunction with the disclosure of the embodiments of the present application. The processor 412 may also be a combination that implements computing functions, such as a combination of one or more microprocessors, a combination of a digital signal processor and a microprocessor, and the like. The communication interface 413 may be a transceiver, a transceiver circuit, or a transceiver interface or the like. The memory 411 may be a volatile memory or a non-volatile memory or the like.
例如,通信接口413、处理器412以及存储器411通过总线414相互连接,总线414可以是外设部件互连标准(peripheral component interconnect,PCI)总线或扩展工业标准结构(extended industry standard architecture,EISA)总线等。总线414可以分为地址总线、数据总线、控制总线等。为便于表示,图10中仅用一条粗线表示,但并不表示仅有一根总线或一种类型的总线。For example, the communication interface 413, the processor 412, and the memory 411 are interconnected via a bus 414, and the bus 414 may be a peripheral component interconnect standard (peripheral component interconnect, PCI) bus or an extended industry standard architecture (extended industry standard architecture, EISA) bus Wait. The bus 414 can be divided into an address bus, a data bus, a control bus, and the like. For ease of representation, only one thick line is used in FIG. 10 , but it does not mean that there is only one bus or one type of bus.
需要说明的是,上述方法实施例中的所有内容均可对应援引到该装置实施例中,本申请实施例在此不再赘述。It should be noted that all the content in the foregoing method embodiments can be referenced correspondingly in the device embodiments, and details will not be repeated here in the embodiments of the present application.
在本申请所提供的几个实施例中,应该理解到,所揭露的装置和方法,可以通过其它的方式实现。例如,以上所描述的装置实施例仅仅是示意性的,例如,所述模块或单元的划分,仅仅为一种逻辑功能划分,实际实现时可以有另外的划分方式,例如多个单元或组件可以结合或者可以集成到另一个装置,或一些特征可以忽略,或不执行。In the several embodiments provided in this application, it should be understood that the disclosed devices and methods may be implemented in other ways. For example, the device embodiments described above are only illustrative. For example, the division of the modules or units is only a logical function division. In actual implementation, there may be other division methods. For example, multiple units or components can be Incorporation or may be integrated into another device, or some features may be omitted, or not implemented.
所述作为分离部件说明的单元可以是或者也可以不是物理上分开的,作为单元显示的部件可以是一个物理单元或多个物理单元,即可以位于一个地方,或者也可以分布到多个不同地方。可以根据实际的需要选择其中的部分或者全部单元来实现本实施例方案的目的。The unit described as a separate component may or may not be physically separated, and the component displayed as a unit may be one physical unit or multiple physical units, that is, it may be located in one place, or may be distributed to multiple different places . Part or all of the units can be selected according to actual needs to achieve the purpose of the solution of this embodiment.
另外,在本申请各个实施例中的各功能单元可以集成在一个处理单元中,也可以是各个单元单独物理存在,也可以两个或两个以上单元集成在一个单元中。上述集成的单元既可以采用硬件的形式实现,也可以采用软件功能单元的形式实现。In addition, each functional unit in each embodiment of the present application may be integrated into one processing unit, each unit may exist separately physically, or two or more units may be integrated into one unit. The above-mentioned integrated units can be implemented in the form of hardware or in the form of software functional units.
最后应说明的是:以上所述,仅为本申请的具体实施方式,但本申请的保护范围并不局限于此,任何在本申请揭露的技术范围内的变化或替换,都应涵盖在本申请的保护范围之内。因此,本申请的保护范围应以所述权利要求的保护范围为准。Finally, it should be noted that: the above is only a specific implementation of the application, but the scope of protection of the application is not limited thereto, and any changes or replacements within the technical scope disclosed in the application shall be covered by this application. within the scope of the application. Therefore, the protection scope of the present application should be determined by the protection scope of the claims.

Claims (25)

  1. 一种集成电路的版图生成方法,其特征在于,所述方法包括:A layout generation method for an integrated circuit, characterized in that the method comprises:
    获取电路原理图包括的多个基本元器件的参数信息;Obtain the parameter information of multiple basic components included in the circuit schematic diagram;
    根据所述参数信息确定所述多个基本元器件对应的多个器件版图;determining a plurality of device layouts corresponding to the plurality of basic components according to the parameter information;
    获取所述多个器件版图的相对布局信息,并根据所述相对布局信息和所述多个器件版图,确定所述多个器件版图的物理位置信息;Acquiring relative layout information of the plurality of device layouts, and determining physical location information of the plurality of device layouts according to the relative layout information and the plurality of device layouts;
    根据所述物理位置信息,生成所述电路原理图对应的集成电路的版图。According to the physical location information, the layout of the integrated circuit corresponding to the circuit schematic diagram is generated.
  2. 根据权利要求1所述的方法,其特征在于,所述根据所述参数信息确定所述多个基本元器件对应的多个器件版图,包括:The method according to claim 1, wherein said determining multiple device layouts corresponding to said multiple basic components according to said parameter information comprises:
    对于所述多个基本元器件中的第一基本元器件,根据所述第一基本元器件的参数信息,将所述第一基本元器件对应的模型器件例化为一个器件版图,所述第一基本元器件为所述多个基本元器件中的任意一个基本元器件。For the first basic component among the plurality of basic components, instantiate the model device corresponding to the first basic component into a device layout according to the parameter information of the first basic component, and the first basic component A basic component is any one of the multiple basic components.
  3. 根据权利要求2所述的方法,其特征在于,所述方法还包括:The method according to claim 2, further comprising:
    根据所述第一基本元器件,从预设模型器件库中获取所述第一基本元器件对应的模型器件,所述预设模型器件库包括多个模型器件,所述多个模型器件是分别对多个不同的基本单元进行统一封装处理得到的,所述多个不同的基本单元包括所述第一基本元器件。According to the first basic component, the model device corresponding to the first basic component is obtained from the preset model device library, the preset model device library includes a plurality of model devices, and the plurality of model devices are respectively It is obtained by performing a unified packaging process on multiple different basic units, where the multiple different basic units include the first basic component.
  4. 根据权利要求1-3任一项所述的方法,其特征在于,所述根据所述参数信息确定所述多个基本元器件对应的多个器件版图,包括:The method according to any one of claims 1-3, wherein the determining the multiple device layouts corresponding to the multiple basic components according to the parameter information includes:
    对于所述多个基本元器件中的第二基本元器件,根据所述第二基本元器件的参数信息,从器件版图库中获取所述第二基本元器件的器件版图,所述器件版图库包括至少一个基本单元中的每个基本单元在至少一组参数信息下的器件版图,所述第二基本元器件为所述多个基本元器件中的任意一个基本元器件,所述至少一个基本单元包括所述第二基本元器件。For the second basic component among the plurality of basic components, according to the parameter information of the second basic component, the device layout of the second basic component is obtained from the device layout library, and the device layout library including a device layout of each basic unit in at least one basic unit under at least one set of parameter information, the second basic component is any one of the plurality of basic components, and the at least one basic A unit includes said second basic element.
  5. 根据权利要求1-4任一项所述的方法,其特征在于,所述根据所述相对布局信息和所述多个器件版图,确定所述多个器件版图的物理位置信息,包括:The method according to any one of claims 1-4, wherein the determining the physical location information of the multiple device layouts according to the relative layout information and the multiple device layouts includes:
    根据所述相对布局信息和所述多个器件版图的尺寸,对所述多个器件版图进行布局,以得到所述多个器件版图的物理位置信息,所述物理位置信息可用于生成不含走线的集成电路的版图。According to the relative layout information and the size of the multiple device layouts, the multiple device layouts are laid out to obtain the physical location information of the multiple device layouts, and the physical location information can be used to generate layout of an integrated circuit.
  6. 根据权利要求5所述的方法,其特征在于,所述根据所述相对布局信息和所述多个器件版图的尺寸,对所述多个器件版图进行布局,包括:The method according to claim 5, wherein the laying out the multiple device layouts according to the relative layout information and the sizes of the multiple device layouts comprises:
    根据所述相对布局信息、所述多个器件版图的尺寸和至少一种约束条件,对所述多个器件版图进行布局,所述至少一种约束条件包括以下条件中的一个或者多个:最小面积约束、最小距离约束、不同器件版图之间的电流关系或距离关系。According to the relative layout information, the size of the plurality of device layouts and at least one constraint condition, the layout of the plurality of device layouts is performed, and the at least one constraint condition includes one or more of the following conditions: minimum Area constraints, minimum distance constraints, current relationships or distance relationships between different device layouts.
  7. 根据权利要求1-6任一项所述的方法,其特征在于,所述根据所述物理位置信息,生成所述电路原理图对应的集成电路的版图,包括:The method according to any one of claims 1-6, wherein the generating the layout of the integrated circuit corresponding to the circuit schematic diagram according to the physical location information includes:
    根据所述电路原理图中的电路连接关系,在所述物理位置信息对应的不含走线的集成电路版图中进行布线,以生成所述电路原理图对应的集成电路的版图。According to the circuit connection relationship in the circuit schematic diagram, wiring is performed in the layout of the integrated circuit corresponding to the physical position information without wiring, so as to generate the layout of the integrated circuit corresponding to the circuit schematic diagram.
  8. 根据权利要求7所述的方法,其特征在于,所述根据所述电路原理图中的电路连接 关系,在所述物理位置信息所对应的不含走线的集成电路的版图进行布线,包括:The method according to claim 7, wherein, according to the circuit connection relationship in the circuit schematic diagram, wiring is carried out on the layout of the integrated circuit corresponding to the physical position information without wiring, including:
    获取至少一条走线的布线信息,所述至少一条走线为所述电路原理图对应的集成电路的版图中的走线;Acquiring routing information of at least one routing, where the at least one routing is a routing in the layout of the integrated circuit corresponding to the circuit schematic diagram;
    根据所述电路原理图中的电路连接关系和所述至少一条走线的布线信息,在所述物理位置信息对应的不含走线的集成电路版图中进行布线。According to the circuit connection relationship in the circuit schematic diagram and the routing information of the at least one routing, routing is performed in the integrated circuit layout corresponding to the physical position information without routing.
  9. 根据权利要求1-8任一项所述的方法,其特征在于,所述相对布局信息包括所述多个器件版图中至少两个器件版图在同一金属层中的投影之间的相对位置信息。The method according to any one of claims 1-8, wherein the relative layout information includes relative position information between projections of at least two device layouts in the same metal layer among the plurality of device layouts.
  10. 根据权利要求1-9任一项所述的方法,其特征在于,所述相对布局信息还包括所述多个器件版图中至少两个器件版图所在的金属层之间的相对层级信息。The method according to any one of claims 1-9, wherein the relative layout information further includes relative level information between metal layers where at least two device layouts among the plurality of device layouts are located.
  11. 根据权利要求1-10任一项所述的方法,其特征在于,所述参数信息包括工艺参数信息和电气参数信息。The method according to any one of claims 1-10, wherein the parameter information includes process parameter information and electrical parameter information.
  12. 一种集成电路的版图生成装置,其特征在于,所述装置包括:A layout generation device for an integrated circuit, characterized in that the device comprises:
    获取单元,用于获取电路原理图包括的多个基本元器件的参数信息;an acquisition unit, configured to acquire parameter information of a plurality of basic components included in the schematic circuit diagram;
    处理单元,用于根据所述参数信息确定所述多个基本元器件对应的多个器件版图;a processing unit, configured to determine a plurality of device layouts corresponding to the plurality of basic components according to the parameter information;
    所述获取单元,还用于获取所述多个器件版图的相对布局信息;The acquiring unit is further configured to acquire relative layout information of the plurality of device layouts;
    所述处理单元,还用于根据所述相对布局信息和所述多个器件版图,确定所述多个器件版图的物理位置信息;The processing unit is further configured to determine physical location information of the multiple device layouts according to the relative layout information and the multiple device layouts;
    所述处理单元,还用于根据所述物理位置信息,生成所述电路原理图对应的集成电路的版图。The processing unit is further configured to generate the layout of the integrated circuit corresponding to the circuit schematic diagram according to the physical location information.
  13. 根据权利要求12所述的装置,其特征在于,所述处理单元还用于:The device according to claim 12, wherein the processing unit is further used for:
    对于所述多个基本元器件中的第一基本元器件,根据所述第一基本元器件的参数信息,将所述第一基本元器件对应的模型器件例化为一个器件版图,所述第一基本元器件为所述多个基本元器件中的任意一个基本元器件。For the first basic component among the plurality of basic components, instantiate the model device corresponding to the first basic component into a device layout according to the parameter information of the first basic component, and the first basic component A basic component is any one of the multiple basic components.
  14. 根据权利要求13所述的装置,其特征在于,所述处理单元还用于:The device according to claim 13, wherein the processing unit is further used for:
    根据所述第一基本元器件,从预设模型器件库中获取所述第一基本元器件对应的模型器件,所述预设模型器件库包括多个模型器件,所述多个模型器件是分别对多个不同的基本单元进行统一封装处理得到的,所述多个不同的基本单元包括所述第一基本元器件。According to the first basic component, the model device corresponding to the first basic component is obtained from the preset model device library, the preset model device library includes a plurality of model devices, and the plurality of model devices are respectively It is obtained by performing a unified packaging process on multiple different basic units, where the multiple different basic units include the first basic component.
  15. 根据权利要求12-14任一项所述的装置,其特征在于,所述处理单元还用于:The device according to any one of claims 12-14, wherein the processing unit is further configured to:
    对于所述多个基本元器件中的第二基本元器件,根据所述第二基本元器件的参数信息,从器件版图库中获取所述第二基本元器件的器件版图,所述器件版图库包括至少一个基本单元中的每个基本单元在至少一组参数信息下的器件版图,所述第二基本元器件为所述多个基本元器件中的任意一个基本元器件,所述至少一个基本单元包括所述第二基本元器件。For the second basic component among the plurality of basic components, according to the parameter information of the second basic component, the device layout of the second basic component is obtained from the device layout library, and the device layout library including a device layout of each basic unit in at least one basic unit under at least one set of parameter information, the second basic component is any one of the plurality of basic components, and the at least one basic A unit includes said second basic element.
  16. 根据权利要求12-15任一项所述的装置,其特征在于,所述处理单元还用于:The device according to any one of claims 12-15, wherein the processing unit is further configured to:
    根据所述相对布局信息和所述多个器件版图的尺寸,对所述多个器件版图进行布局,以得到所述多个器件版图的物理位置信息,所述物理位置信息可用于生成不含走线的集成电路的版图。According to the relative layout information and the size of the multiple device layouts, the multiple device layouts are laid out to obtain the physical location information of the multiple device layouts, and the physical location information can be used to generate layout of an integrated circuit.
  17. 根据权利要求16所述的装置,其特征在于,所述处理单元还用于:The device according to claim 16, wherein the processing unit is further used for:
    根据所述相对布局信息、所述多个器件版图的尺寸和至少一种约束条件,对所述多个 器件版图进行布局,所述至少一种约束条件包括以下条件中的一个或者多个:最小面积约束、最小距离约束、不同器件版图之间的电流关系或距离关系。According to the relative layout information, the size of the plurality of device layouts and at least one constraint condition, the layout of the plurality of device layouts is performed, and the at least one constraint condition includes one or more of the following conditions: minimum Area constraints, minimum distance constraints, current relationships or distance relationships between different device layouts.
  18. 根据权利要求12-17任一项所述的装置,其特征在于,所述处理单元还用于:The device according to any one of claims 12-17, wherein the processing unit is further configured to:
    根据所述电路原理图中的电路连接关系,在所述物理位置信息对应的不含走线的集成电路版图中进行布线,以生成所述电路原理图对应的集成电路的版图。According to the circuit connection relationship in the circuit schematic diagram, wiring is performed in the layout of the integrated circuit corresponding to the physical position information without wiring, so as to generate the layout of the integrated circuit corresponding to the circuit schematic diagram.
  19. 根据权利要求18所述的装置,其特征在于,The device according to claim 18, characterized in that,
    所述处理单元,还用于获取至少一条走线的布线信息,所述至少一条走线为所述电路原理图对应的集成电路的版图中的走线;The processing unit is further configured to acquire routing information of at least one routing, and the at least one routing is a routing in the layout of the integrated circuit corresponding to the circuit schematic diagram;
    所述处理单元,还用于根据所述电路原理图中的电路连接关系和所述至少一条走线的布线信息,在所述物理位置信息对应的不含走线的集成电路版图中进行布线。The processing unit is further configured to, according to the circuit connection relationship in the circuit schematic diagram and the routing information of the at least one routing, perform routing in the integrated circuit layout corresponding to the physical position information without routing.
  20. 根据权利要求12-19任一项所述的装置,其特征在于,所述相对布局信息包括所述多个器件版图中至少两个器件版图在同一金属层中的投影之间的相对位置信息。The device according to any one of claims 12-19, wherein the relative layout information includes relative position information between projections of at least two device layouts in the same metal layer among the plurality of device layouts.
  21. 根据权利要求12-20任一项所述的装置,其特征在于,所述相对布局信息还包括所述多个器件版图中至少两个器件版图所在的金属层之间的相对层级信息。The device according to any one of claims 12-20, wherein the relative layout information further includes relative level information between metal layers where at least two device layouts among the plurality of device layouts are located.
  22. 根据权利要求12-21任一项所述的装置,其特征在于,所述参数信息包括工艺参数信息和电气参数信息。The device according to any one of claims 12-21, wherein the parameter information includes process parameter information and electrical parameter information.
  23. 一种集成电路的版图生成装置,其特征在于,所述装置包括处理器和存储器,所述存储器中存储有指令,所述处理器运行所述存储器中的指令,以使所述装置执行如权利要求1-11任一项所述的集成电路的版图生成方法。A layout generation device for an integrated circuit, characterized in that the device includes a processor and a memory, instructions are stored in the memory, and the processor executes the instructions in the memory, so that the device executes the A layout generation method for an integrated circuit as described in any one of Claims 1-11.
  24. 一种计算机可读存储介质,其特征在于,所述计算机可读存储介质中存储有指令,当所述指令在设备上运行时,使得所述设备执行如权利要求1-11任一项所述的集成电路的版图生成方法。A computer-readable storage medium, characterized in that instructions are stored in the computer-readable storage medium, and when the instructions are run on a device, the device is made to perform the operation described in any one of claims 1-11. A layout generation method for integrated circuits.
  25. 一种计算机程序产品,其特征在于,当所述计算机程序产品在设备上运行时,使得所述设备执行如权利要求1-11任一项所述的集成电路的版图生成方法。A computer program product, characterized in that, when the computer program product is run on a device, the device is made to execute the layout generation method for an integrated circuit according to any one of claims 1-11.
PCT/CN2021/101916 2021-06-23 2021-06-23 Method and apparatus for generating layout of integrated circuit WO2022266906A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202180097956.6A CN117321601A (en) 2021-06-23 2021-06-23 Layout generation method and device of integrated circuit
PCT/CN2021/101916 WO2022266906A1 (en) 2021-06-23 2021-06-23 Method and apparatus for generating layout of integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2021/101916 WO2022266906A1 (en) 2021-06-23 2021-06-23 Method and apparatus for generating layout of integrated circuit

Publications (1)

Publication Number Publication Date
WO2022266906A1 true WO2022266906A1 (en) 2022-12-29

Family

ID=84545064

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2021/101916 WO2022266906A1 (en) 2021-06-23 2021-06-23 Method and apparatus for generating layout of integrated circuit

Country Status (2)

Country Link
CN (1) CN117321601A (en)
WO (1) WO2022266906A1 (en)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116050336A (en) * 2023-03-31 2023-05-02 北京芯愿景软件技术股份有限公司 Integrated circuit layout generation method and device
CN116402007A (en) * 2023-06-08 2023-07-07 牛芯半导体(深圳)有限公司 Layout transplanting method, device, terminal and medium
CN116736075A (en) * 2023-05-09 2023-09-12 珠海妙存科技有限公司 Transistor matching precision detection method, controller and storage medium
CN116757145A (en) * 2023-08-16 2023-09-15 合肥奎芯集成电路设计有限公司 Integrated circuit design layout processing method and device, electronic equipment and storage medium
CN116756049A (en) * 2023-08-17 2023-09-15 上海燧原科技有限公司 Universal verification method and device for chip, electronic equipment and storage medium
CN117057303A (en) * 2023-10-07 2023-11-14 全芯智造技术有限公司 Layout graph generation method, equipment and medium
CN117077611A (en) * 2023-10-13 2023-11-17 青岛展诚科技有限公司 Integrated circuit layout graph cutting method
CN117077610A (en) * 2023-10-13 2023-11-17 青岛展诚科技有限公司 Spiral inductor automatic generation method for integrated circuit
CN117217156A (en) * 2023-08-31 2023-12-12 中山大学 Radio frequency circuit layout iteration method, equipment and medium based on Bayesian optimization
CN117272910A (en) * 2023-11-22 2023-12-22 江山季丰电子科技有限公司 Modularized design method and device for aging circuit board of integrated circuit
CN117272916A (en) * 2023-11-21 2023-12-22 杭州广立微电子股份有限公司 Automatic layout generation method, device, equipment and readable storage medium
CN117371387A (en) * 2023-12-08 2024-01-09 浙江集迈科微电子有限公司 Integrated circuit device layout parameterization construction method and device, storage medium and terminal
CN117391038A (en) * 2023-10-23 2024-01-12 北京市合芯数字科技有限公司 Metal stack space information dividing method of chip layout and chip
CN116757145B (en) * 2023-08-16 2024-04-30 合肥奎芯集成电路设计有限公司 Integrated circuit design layout processing method and device, electronic equipment and storage medium

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100199238A1 (en) * 2009-01-30 2010-08-05 Fu-Chieh Hsu Systematic Method for Variable Layout Shrink
CN104915528A (en) * 2015-07-15 2015-09-16 中国科学院微电子研究所 Layout design method, layout design system and manufacture method of integrated circuit
CN104933214A (en) * 2014-03-21 2015-09-23 台湾积体电路制造股份有限公司 Integrated circuit designing method and device
CN109992808A (en) * 2017-12-30 2019-07-09 杭州广立微电子有限公司 A method of quickly generating parameterized units
CN112100966A (en) * 2019-06-17 2020-12-18 台湾积体电路制造股份有限公司 Method and system for manufacturing integrated circuits by means of programmable circuit synthesis

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100199238A1 (en) * 2009-01-30 2010-08-05 Fu-Chieh Hsu Systematic Method for Variable Layout Shrink
CN104933214A (en) * 2014-03-21 2015-09-23 台湾积体电路制造股份有限公司 Integrated circuit designing method and device
CN104915528A (en) * 2015-07-15 2015-09-16 中国科学院微电子研究所 Layout design method, layout design system and manufacture method of integrated circuit
CN109992808A (en) * 2017-12-30 2019-07-09 杭州广立微电子有限公司 A method of quickly generating parameterized units
CN112100966A (en) * 2019-06-17 2020-12-18 台湾积体电路制造股份有限公司 Method and system for manufacturing integrated circuits by means of programmable circuit synthesis

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116050336A (en) * 2023-03-31 2023-05-02 北京芯愿景软件技术股份有限公司 Integrated circuit layout generation method and device
CN116736075A (en) * 2023-05-09 2023-09-12 珠海妙存科技有限公司 Transistor matching precision detection method, controller and storage medium
CN116736075B (en) * 2023-05-09 2024-04-19 珠海妙存科技有限公司 Transistor matching precision detection method, controller and storage medium
CN116402007A (en) * 2023-06-08 2023-07-07 牛芯半导体(深圳)有限公司 Layout transplanting method, device, terminal and medium
CN116402007B (en) * 2023-06-08 2023-09-01 牛芯半导体(深圳)有限公司 Layout transplanting method, device, terminal and medium
CN116757145A (en) * 2023-08-16 2023-09-15 合肥奎芯集成电路设计有限公司 Integrated circuit design layout processing method and device, electronic equipment and storage medium
CN116757145B (en) * 2023-08-16 2024-04-30 合肥奎芯集成电路设计有限公司 Integrated circuit design layout processing method and device, electronic equipment and storage medium
CN116756049A (en) * 2023-08-17 2023-09-15 上海燧原科技有限公司 Universal verification method and device for chip, electronic equipment and storage medium
CN116756049B (en) * 2023-08-17 2023-11-07 上海燧原科技有限公司 Universal verification method and device for chip, electronic equipment and storage medium
CN117217156A (en) * 2023-08-31 2023-12-12 中山大学 Radio frequency circuit layout iteration method, equipment and medium based on Bayesian optimization
CN117057303A (en) * 2023-10-07 2023-11-14 全芯智造技术有限公司 Layout graph generation method, equipment and medium
CN117057303B (en) * 2023-10-07 2024-01-26 全芯智造技术有限公司 Layout graph generation method, equipment and medium
CN117077610A (en) * 2023-10-13 2023-11-17 青岛展诚科技有限公司 Spiral inductor automatic generation method for integrated circuit
CN117077610B (en) * 2023-10-13 2024-01-23 青岛展诚科技有限公司 Spiral inductor automatic generation method for integrated circuit
CN117077611B (en) * 2023-10-13 2024-01-23 青岛展诚科技有限公司 Integrated circuit layout graph cutting method
CN117077611A (en) * 2023-10-13 2023-11-17 青岛展诚科技有限公司 Integrated circuit layout graph cutting method
CN117391038A (en) * 2023-10-23 2024-01-12 北京市合芯数字科技有限公司 Metal stack space information dividing method of chip layout and chip
CN117272916A (en) * 2023-11-21 2023-12-22 杭州广立微电子股份有限公司 Automatic layout generation method, device, equipment and readable storage medium
CN117272916B (en) * 2023-11-21 2024-04-19 杭州广立微电子股份有限公司 Automatic layout generation method, device, equipment and readable storage medium
CN117272910B (en) * 2023-11-22 2024-02-23 江山季丰电子科技有限公司 Modularized design method and device for aging circuit board of integrated circuit
CN117272910A (en) * 2023-11-22 2023-12-22 江山季丰电子科技有限公司 Modularized design method and device for aging circuit board of integrated circuit
CN117371387B (en) * 2023-12-08 2024-02-13 浙江集迈科微电子有限公司 Integrated circuit device layout parameterization construction method and device, storage medium and terminal
CN117371387A (en) * 2023-12-08 2024-01-09 浙江集迈科微电子有限公司 Integrated circuit device layout parameterization construction method and device, storage medium and terminal

Also Published As

Publication number Publication date
CN117321601A (en) 2023-12-29

Similar Documents

Publication Publication Date Title
WO2022266906A1 (en) Method and apparatus for generating layout of integrated circuit
US7448003B2 (en) Signal flow driven circuit analysis and partitioning technique
JP4001449B2 (en) Unnecessary radiation analysis method
US8966425B1 (en) Clock tree generation and routing
US8521483B1 (en) Method and apparatus for concurrent design of modules across different design entry tools targeted to single simulation
US7689964B2 (en) System and method for routing connections
CN105631087B (en) Method, device and the computer program product generated for integrated circuit layout
US7143385B2 (en) Wiring design method and system for electronic wiring boards
US8806413B2 (en) Gradient AOCV methodology enabling graph-based timing closure with AOCV timing models
Lourenço et al. Automatic analog IC sizing and optimization constrained with PVT corners and layout effects
US9201999B1 (en) Integrated circuit floorplan having feedthrough buffers
US8650518B2 (en) Method and apparatus for rule-based automatic layout parasitic extraction in a multi-technology environment
JP2008009776A (en) Design method of semiconductor integrated circuit, design device, semiconductor integrated circuit system, semiconductor integrated circuit mounting substrate, package, and semiconductor integrated circuit
US9747409B2 (en) Method of parameter extraction and system thereof
US7398499B2 (en) Method of searching paths suffering from the electrostatic discharge in the process of an integrated circuit design
Martins et al. Analog Integrated Circuit Design Automation
TWI410819B (en) Method for analog placement and global routing considering wiring symmetry
US6684373B1 (en) Optimize global net timing with repeater buffers
US8850374B2 (en) Method of reducing parasitic mismatch
JP2005158075A (en) Integrated circuit design adapted to interconnection
US8671374B2 (en) Information processing apparatus
US20160378900A1 (en) Non-transitory computer-readable storage medium, circuit design support method, and information processing device
WO2003088098A2 (en) Method and apparatus for routing an integrated circuit
US9747399B2 (en) Method and apparatus for providing rule patterns on grids
US9293450B2 (en) Synthesis of complex cells

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21946405

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE