WO2022161247A1 - Wafer-level package system-in-package structure and packaging method - Google Patents

Wafer-level package system-in-package structure and packaging method Download PDF

Info

Publication number
WO2022161247A1
WO2022161247A1 PCT/CN2022/072997 CN2022072997W WO2022161247A1 WO 2022161247 A1 WO2022161247 A1 WO 2022161247A1 CN 2022072997 W CN2022072997 W CN 2022072997W WO 2022161247 A1 WO2022161247 A1 WO 2022161247A1
Authority
WO
WIPO (PCT)
Prior art keywords
chip
wafer
bonding
device wafer
interconnect
Prior art date
Application number
PCT/CN2022/072997
Other languages
French (fr)
Chinese (zh)
Inventor
黄河
刘孟彬
向阳辉
Original Assignee
中芯集成电路(宁波)有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from CN202110129132.4A external-priority patent/CN114823376A/en
Priority claimed from CN202110130772.7A external-priority patent/CN114823395A/en
Priority claimed from CN202110130766.1A external-priority patent/CN114823392A/en
Priority claimed from CN202110130750.0A external-priority patent/CN114823388A/en
Priority claimed from CN202110130752.XA external-priority patent/CN114823389A/en
Application filed by 中芯集成电路(宁波)有限公司 filed Critical 中芯集成电路(宁波)有限公司
Publication of WO2022161247A1 publication Critical patent/WO2022161247A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Definitions

  • the invention relates to the field of semiconductor device manufacturing, in particular to a wafer-level system packaging structure and a packaging method.
  • advanced packaging methods mainly adopt the three-dimensional stacking mode of wafer level system in package (WLPSIP) .
  • WLPSIP wafer level system in package
  • the wafer-level system packaging is to complete the packaging integration process on the wafer, which has the advantages of greatly reducing the area of the packaging structure, reducing the manufacturing cost, optimizing the electrical performance, and batch manufacturing. Reduce workload and equipment requirements.
  • the purpose of the present invention is to provide a wafer-level system packaging method, which simplifies the packaging process.
  • the present invention provides a wafer-level system packaging method, including: providing a device wafer, the device wafer includes a plurality of first chips, and the first chips have exposed surfaces on the device wafer. a first bonding pad on the surface; a conductive bump is formed on the first bonding pad by an electroplating process; after the conductive bump is formed, at least one second chip is provided, and the lower surface of the second chip has a second bonding pad ; Bond the second chip on the device wafer, and electrically connect the second pad of the second chip with the conductive bump.
  • the present invention also provides a wafer-level system packaging structure, comprising: a device wafer, the upper surface of the device wafer has a first pad, the first pad is electrically connected with a conductive bump, the conductive bump
  • the block is formed by an electroplating process; the upper surface of the device wafer is provided with a photolithographic bonding material, and the photolithographic bonding material has a cavity; a second chip, the second chip passes through the A photolithographic bonding material is bonded on the device wafer and covers the cavity, and the cavity is used as a working cavity of the second chip; the lower surface of the second chip has a second pad , the second pad is electrically connected to the conductive bump.
  • the beneficial effects of the present invention are that the conductive bumps are formed by the process, and the electroplating process can simultaneously form the conductive bumps on the entire wafer, which can improve the efficiency, and is compatible with the front-end process of the semiconductor, so that the wafer-level system can be completed by the front-end process.
  • the integration greatly improves the process efficiency of the entire system integration and saves the transition between the front-end process and the packaging process.
  • the second chip and the first chip and the interconnecting chip and the first chip are bonded by a dry film.
  • the dry film is a photoresistable material, and a desired pattern can be formed by a semiconductor process.
  • the process is simple and compatible with semiconductors. Process compatible, mass production is possible.
  • the elastic modulus of the dry film is relatively small, which can be easily deformed without being damaged when subjected to thermal stress, thereby reducing the bonding stress between the second chip/interconnect chip and the first chip.
  • the dry film of the wall structure can be reserved around the area where the external conductive bumps and conductive bumps are pre-formed, so that when the external conductive bumps and conductive bumps are formed, due to the blocking of the dry film, the expected formation can be achieved.
  • the photolithographic bonding material when forming the photolithographic bonding material, its projection is centered on the center of the second chip, and the coverage area is greater than 10% of the area of the second chip, preferably covering the entire lower surface of the second chip (except the second pad). In this way, when the plastic encapsulation layer is formed in the subsequent process, it is ensured that there is no void under the second chip, the bonding strength is improved, and the yield is improved.
  • the surface of the external electrode is usually lower than the surface of the first chip. Therefore, the electrical properties of the external electrode are drawn out by electroplating external conductive bumps, and the external conductive bumps protrude from the external surface.
  • the surface of the electrode is easy to carry out the wire bonding process, and the connection performance between the bonding wire and the external conductive bump is higher, which is beneficial to improve the reliability of the package; when the conductive bump is formed, the external conductive bump is formed at the same time.
  • the second chip is bonded to the surface of the first chip, and the external conductive bumps are exposed, which can provide accommodation space for the bonding wires connecting the external electrodes, so that the bonding wires are compatible with three-dimensional stacked crystals.
  • Round-level packaging does not lead to an increase in the height of the packaging structure, and has the advantages of simple wire bonding process and low cost.
  • the leading end (for example, the I/O end) of the chip module composed of the first chip and the second chip is led to the side of the device wafer with the first bonding pad and the external electrode, and Compared with the solution of leading the terminal to the side of the device wafer facing away from the first pad and the external electrode, subsequent processing of the device wafer (for example, backside thinning or through-silicon via interconnection process) can be performed. Therefore, the damage to the device wafer is reduced, which is beneficial to improve the packaging reliability, and the packaging method is suitable for system integration of various wafers, and the packaging compatibility is correspondingly improved.
  • the pre-alignment of the plurality of second chips is realized, so that the plurality of second chips and the conductive bumps can be thermally bonded at the same time.
  • the manufacturing efficiency is greatly improved.
  • a plurality of second chips and conductive bumps and/or a plurality of interconnecting chips and external conductive bumps can be thermally bonded at the same time, compared to single-point thermal pressing of each second chip or interconnecting chip. Bonding greatly improves manufacturing efficiency.
  • the projection of the first bonding pad and the second bonding pad in the direction perpendicular to the surface of the device wafer has an overlapping area, and the area of the overlapping area is greater than half of the area of the first bonding pad or the second bonding pad, so as to improve the binding strength.
  • the adhesive layer when the adhesive layer is formed, its projection is centered on the center of the second chip/interconnect chip, and the coverage area is greater than 10% of the area of the second chip/interconnect chip, preferably covering the entire second chip/interconnect chip.
  • the lower surface except the area where the electrodes are located, in this way, when the plastic encapsulation layer is formed in the subsequent process, it is ensured that there is no gap under the second chip/interconnect chip, which improves the bonding strength and improves the yield.
  • FIGS. 1 to 8 are schematic diagrams of structures corresponding to different steps in a wafer-level system packaging method according to Embodiment 1 of the present invention.
  • FIG. 9 to FIG. 13 show schematic structural diagrams corresponding to different steps in a wafer-level system packaging method according to Embodiment 2 of the present invention.
  • FIG. 14 to FIG. 18 show schematic structural diagrams corresponding to different steps in a wafer-level system packaging method according to Embodiment 3 of the present invention.
  • FIGS. 19 to 21 are schematic diagrams illustrating structures corresponding to the formation of openings in a wafer-level system packaging method according to Embodiment 4 of the present invention.
  • a method herein includes a series of steps, the order of the steps presented herein is not necessarily the only order in which the steps may be performed, and some of the steps may be omitted and/or some other steps not described herein may be added to this method. If the components in a certain drawing are the same as the components in other drawings, although these components can be easily identified in all the drawings, in order to make the description of the drawings clearer, this specification will not refer to all the same components. Numbers are attached to each figure.
  • An embodiment of the present invention provides a wafer-level system packaging method, including the following steps: S01 : providing a device wafer, the device wafer includes a first chip, and the first chip has a first solder joint exposing an upper surface of the device wafer pads; S02: forming conductive bumps on the first pads by an electroplating process S03: after forming the conductive bumps, at least one second chip is provided, and the lower surface of the second chip has a second bonding pad; S04: bonding the second chip The device is assembled on the device wafer, and the second bonding pad of the second chip is electrically connected with the conductive bump. It should be noted that the SON in this specification does not represent the order of the manufacturing processes.
  • FIGS. 1 to 8 are schematic structural diagrams corresponding to different steps of the wafer-level system packaging method of the present embodiment. Please refer to FIGS. 1 to 8 for detailed description of each step.
  • the device wafer includes a wafer 10 and a first chip 101 formed on the upper surface of the wafer 10 .
  • the upper surface of the first chip 101 exposes first bonding pads 110 , and the first bonding pads 110 Can be a pad.
  • the wafer 10 is a silicon substrate.
  • the material of the wafer 10 can also be other semiconductor materials such as germanium, silicon germanium, silicon carbide, gallium arsenide or indium gallium, and the semiconductor substrate can also be a silicon-on-insulator substrate or an on-insulator substrate germanium substrates and other types of substrates.
  • the semiconductor material may be a material suitable for process requirements or ease of integration. According to actual process requirements, the thickness of the wafer 10 is 10 micrometers to 100 micrometers.
  • the first chip 101 may be formed on the wafer 10 through a semiconductor process.
  • the device wafer is a to-be-packaged wafer that has completed device fabrication.
  • the plurality of first chips 101 formed in the wafer 10 may be of the same type or different types of chips.
  • the device wafer can be fabricated by using an integrated circuit fabrication technology, for example, an N-type metal-oxide-semiconductor (N-Metal-Oxide-Semiconductor, NMOS) is formed on the first semiconductor substrate through processes such as deposition and etching.
  • N-Metal-Oxide-Semiconductor N-Metal-Oxide-Semiconductor
  • first chip 101 is integrated in the wafer.
  • the first chip 101 may be a fabricated chip, which is adhered to the upper surface of the device wafer.
  • the device wafer may also be internally integrated with micro-devices such as MOS transistors, and the first chip 101 and the micro-devices are electrically connected through an interconnection structure.
  • a dielectric layer 12 exposing the first pads 110 is formed on the upper surface of the device wafer.
  • the dielectric layer 12 has a certain thickness, which can provide space in the subsequent steps of forming conductive bumps.
  • a photolithographic bonding material 40 is formed on the upper surface of the device wafer, and the photolithographic bonding material 40 is used to bond the second chip to the upper surface of the device wafer in a later process.
  • the photolithographic bonding material 40 includes a film-like dry film or a liquid dry film.
  • other photosensitive adhesive materials may also be selected.
  • Film-like dry film is to coat the solvent-free photoresist on the polyester film base, and then cover the polyethylene film; when using, remove the polyethylene film, and press the solvent-free photoresist on the base plate , After exposure and development, graphics can be formed in the dry film.
  • Liquid dry film means that the components in the film-like dry film exist in liquid form.
  • Dry film is a permanently bonded film with high bond strength.
  • the film-like dry film can be formed on the device wafer by means of film sticking, and the liquid dry film is coated on the device wafer by a spin coating process, and then the liquid dry film is cured.
  • the second chip and the device wafer are bonded by dry film.
  • the dry film is a photolithographic material, which can be used to form a desired pattern through a semiconductor process. The process is simple and compatible with the semiconductor process, and can be mass-produced.
  • the elastic modulus of the dry film is relatively small, which can be easily deformed without being damaged when subjected to thermal stress, thereby reducing the bonding stress between the second chip and the device wafer.
  • the method further includes: patterning the photolithographic bonding material, and forming a surrounding wall structure around the area where the conductive bumps are pre-formed.
  • the interior enclosed by the enclosure wall structure is an area where conductive bumps are formed, the enclosure wall structure is preferably a closed annular structure, and the enclosed space is cylindrical.
  • the photolithographic bonding material of the surrounding wall structure is retained around the area where the conductive bumps are pre-formed, so that when the conductive bumps are formed, due to the blocking of the surrounding walls, the desired shape can be formed.
  • the photolithographic bonding material 40 is formed on the surface of the device wafer. In another embodiment, the photolithographic bonding material 40 can also be formed on the surface of the second chip 20 .
  • the thickness of the formed photolithographic bonding material 40 is 5-200 ⁇ m, such as 15 ⁇ m, 30 ⁇ m, 80 ⁇ m, 150 ⁇ m, and the like.
  • the projection of the photolithographic bonding material 40 on the surface of the device wafer is centered on the center of the second chip 20 and covers at least 10% of the area of the second chip.
  • the thickness of the photolithographic bonding material 40 is related to the height of the conductive bumps formed in the later process. The correlation between the two will be described in detail later when the conductive bumps are formed.
  • the photolithographic bonding material 40 covers at least 10% of the area of the second chip, and covers the center of the second chip.
  • the photolithographic bonding material 40 of this solution not only serves as a bonding agent It also plays a role of sealing in advance.
  • the photolithographic bonding material 40 and the plastic sealing layer in the subsequent process jointly play the role of sealing the second chip.
  • the photolithographic bonding material 40 covers the entire lower surface of the second chip 20 (except for the area where the second pad is located), so that there is no gap under the second chip when the plastic encapsulation layer is formed in the subsequent process. , improve the bonding strength and improve the yield.
  • the conductive bumps 30 are formed on the first pads 110 through an electroplating process.
  • the material of the conductive bump includes any one of copper, titanium, aluminum, gold, nickel, iron, tin, silver, zinc or chromium.
  • the height of the formed conductive bump 30 is related to the height of the dry film and the structure of the second chip. When the second pad of the second chip is equal to the lower surface of the second chip, the height of the conductive bump 30 is related to the height of the dry film.
  • the heights of (including the height of the dielectric layer 12 in this embodiment) are approximately the same height, so that when the second chip and the dry film are adhered, the second pads 21 are just in contact with the conductive bumps 30 .
  • the height of the conductive bump 30 is equal to the depth of the recess+dry film thickness+the thickness of the dielectric layer 12 .
  • the height of the conductive bump is 5-200 ⁇ m. Such as 10 ⁇ m, 50 ⁇ m, 100 ⁇ m.
  • the electroplating process includes electroless palladium immersion gold (ENEPIG) or electroless nickel gold (ENIG), wherein the process parameters of ENEPIG or ENIG can refer to Table 1.
  • the conductive bumps are formed by the electroplating process, and then the soldering process is performed to complete the wafer-level system integration.
  • the electroplating process can form the conductive bumps on the entire wafer at the same time, which can improve the efficiency. Moreover, it is compatible with the front-end process of the semiconductor, so that the front-end process can be used. The process completes the wafer-level system integration, which greatly improves the process efficiency of the entire system integration and saves the transition between the front-end process and the packaging process.
  • the surface of the pad Before electroless plating, in order to better complete the electroplating process, the surface of the pad can be cleaned first to remove the natural oxide layer on the surface of the pad and improve the surface wettability of the pad; after that, activation can be performed process to promote the nucleation and growth of the coating metal on the metal to be plated.
  • the settings of the first pad and the second pad also need to meet certain requirements.
  • the exposed area of the first pad is 5-200 square microns. Within this range, the pads can be in sufficient contact with the plating solution to avoid insufficient contact between the pads and the plating solution, which will affect the contact between the conductive bumps and the pads.
  • the cross-sectional area of the formed conductive bumps is greater than 10 square micrometers, which can not only ensure that the area occupied by the conductive bumps is not too large, but also ensure the bonding strength between the conductive bumps and the bonding pads.
  • the material of the conductive bump is the same as the material of the first bonding pad, which makes it easier to form the conductive bump.
  • the material of the first pad can be different from the material of the conductive bump.
  • a material layer can be formed on the first pad first.
  • the material of the material layer is the same as the material of the conductive bump.
  • the method of forming the material layer may be a deposition process.
  • the second chip 20 is used as a chip to be integrated in the wafer-level packaging, and the wafer-level packaging method in this embodiment can realize heterogeneous integration.
  • the second chip 20 may be a chip made of a silicon wafer, or a chip made of other materials.
  • the second chip 20 is made by using an integrated circuit manufacturing technology, and can be a memory chip, a communication chip, a processor or a logic chip.
  • the second chip 20 generally includes an NMOS device or a PMOS device or the like formed on a semiconductor substrate.
  • the second bonding pads 21 are located on the lower surface of the second chip 20 and are used to realize electrical connection between the second chip 200 and other devices.
  • the second pad 21 may be a pad.
  • the material of the second pad 21 includes any one of copper, titanium, aluminum, gold, nickel, iron, tin, silver, zinc or chromium.
  • the second pad and the conductive bump The material combinations include gold-gold, copper-copper, copper-tin or gold-tin.
  • the plurality of second chips are chips with the same function; the plurality of second chips include at least two chips with different functions; and the first chips are passive devices or active devices.
  • the second chip can be a sensor module chip, a MEMS chip, a filter chip, a logic chip, a memory chip, a capacitor, an inductor, etc., and the capacitor can be an MLCC capacitor.
  • the sensor module chip includes a module chip for sensing at least one of radio frequency signals, infrared radiation signals, visible light signals, acoustic wave signals, and electromagnetic wave signals; the filter chip includes at least one of surface acoustic wave resonators and bulk acoustic wave resonators.
  • the second chip may be an encapsulated chip, and a subsequent plastic encapsulation process is not required.
  • the second chip may also be a bare chip, and the second chip may also be a chip with a shielding layer on the top surface.
  • the materials of the second bonding pads 21 and the conductive bumps 30 are metal, and the second bonding pads 21 and the conductive bumps 30 are electrically connected through a thermocompression bonding process.
  • Each second bonding pad 21 and each conductive bump 30 are thermocompression bonded one by one; or a plurality of second bonding pads 21 and a plurality of conductive bumps 30 are thermocompression bonded simultaneously.
  • the area of the first bonding pad 110 or the second bonding pad 21 is 5-200 square micrometers; the area of the overlapping area of the second bonding pad 21 and the conductive bump 30 in the direction perpendicular to the surface of the device wafer is larger than that of the second bonding pad 21 and the conductive bump 30 . Half of the area of the second pads 21 to improve the bonding strength of the two.
  • the conductive bumps 30 and the second pads 21 are facing each other, that is, in the direction perpendicular to the surface of the device wafer, the two are to the greatest extent possible. overlap each other.
  • the cross-sectional area of the conductive bump is greater than 10 square micrometers to ensure structural strength.
  • the plurality of second chips 20 are bonded to the surface of the wafer one by one.
  • the surface of the second chip 20 with the second pads 21 is the front side, and the side opposite to the front side is the back side.
  • the second chip 20 is bonded to the device wafer.
  • the backside of the chip 20 is temporarily bonded to the substrate 200 ; after the second chip 20 is bonded to the wafer, the substrate is debonded.
  • the substrate 200 may be a carrier wafer for temporarily fixing a plurality of second chips 20, and the substrate 200 is also used for supporting the second chips 20 in the process of bonding the second chips 20 to the device wafer, thereby Improve the reliability of bonding.
  • the second chip 20 is temporarily bonded to the substrate 200 through an adhesive layer or electrostatic bonding.
  • Electrostatic bonding technology is a method to achieve bonding without any adhesive.
  • the second chip to be bonded and the substrate are connected to different electrodes respectively, and the surface of the second chip and the substrate are charged under the action of voltage, and the charges on the surface of the second chip and the substrate are electrically different, so that in the first During the bonding process of the two chips and the substrate, a large electrostatic attraction is generated to realize the physical connection between the two.
  • the substrate can be separated from the second chip 20 by a chemical method or a mechanical peeling method.
  • the method further includes: forming a plastic sealing layer 50 , and the plastic sealing layer 50 is filled at least between adjacent second chips.
  • the encapsulation layer 50 covers the surface of the device wafer and the second chip 20, that is, the encapsulation layer 50 fills the gap between the second chips 20 and covers the second chip 20.
  • the plastic encapsulation layer realizes the The sealing of the second chip can better isolate air and moisture, thereby improving the packaging effect.
  • the encapsulation layer 50 may be formed through an injection molding process.
  • the filling performance of the injection molding process is good, and the injection molding agent can be well filled between the plurality of second chips 20 , so that the second chips 20 have a good encapsulation effect.
  • other processes may also be used to form the encapsulation layer.
  • the upper surface of the second chip may also be exposed outside the plastic encapsulation layer.
  • the surface of the first chip 101 opposite to the first bonding pad (the lower surface of the first chip 101 in FIG. 5 ) has a third bonding pad.
  • the method further includes: The backside of the device wafer is thinned; and a through-silicon via structure 13 is formed from the backside of the thinned device wafer, and the through-silicon via structure 13 is connected to the third pad.
  • the thickness of the device wafer is reduced, thereby improving the heat dissipation effect of the device wafer; in addition, reducing the thickness of the device wafer is also conducive to reducing the formation of a through-hole interconnection structure and reduce the overall thickness of the package structure after packaging, thereby improving the performance of the package structure.
  • the process used in the thinning process may be one or more of a back grinding process, a chemical mechanical polishing (Chemical Mechanical Polishing, CMP) process, and a wet etching process.
  • a deep trench isolation structure for defining the stop position is usually formed in the semiconductor substrate of the device wafer, so that the thinning process stops at the deep Bottom of the trench isolation structure.
  • neutral dopant ions eg, one or both of oxygen ions and nitrogen ions
  • the bottom substrate layer of the semiconductor substrate may also be thinned, so as to better Stop at the bottom of the insulator layer.
  • the thickness of the device wafer should not be too small or too large. If the thickness of the device wafer is too small, the mechanical properties of the device wafer are correspondingly poor, and it is easy to have adverse effects on structures such as devices formed in the device wafer; if the thickness of the device wafer is too large, it is not conducive to improving the performance of the package structure. Therefore, in this embodiment, the thickness of the device wafer after thinning is 5 ⁇ m to 10 ⁇ m.
  • a through silicon via structure 13 electrically connected to the third pad is formed in the device wafer. The electrical connection with the third pad is realized through the through silicon via structure 13 . Since the second chip 20 and the first bonding pads 110 are electrically connected through the conductive bumps 30 , the second chip 20 is electrically connected to other circuits through the conductive bumps 20 , the first bonding pads 110 and the TSV structure 13 .
  • the method further includes: providing a capping substrate 60 , the first surface of the capping substrate 60 includes a cavity, and the bonding seal The first surface of the substrate 60 is covered with the device wafer, and the cavity covers at least a part of the second chip.
  • the material of the capping substrate 60 may be: semiconductor materials such as silicon, germanium, silicon germanium, silicon carbide, gallium arsenide or indium gallium, or a dielectric material.
  • a cavity is formed in the cover substrate 60, and the cavity can be larger, and one cavity can accommodate a plurality of second chips 20 at the same time. Two chips 20. Referring to FIG.
  • the cavity may also only cover a part of a second chip 20 , for example, only cover the main body of the second chip.
  • the chip needs to be formed with a cavity structure, and the cavity structure corresponds to the functional area of the chip structure, rather than including the entire chip in the cavity.
  • the bulk acoustic wave resonator (BAW), the surface acoustic wave resonator (SAW) and the solidly mounted bulk acoustic wave resonator (SMR) are provided with an upper cavity above the main resonance region, the cavity in this embodiment can be used as the upper cavity,
  • a thermal insulation cavity for thermal insulation is provided under the functional area.
  • the cavity formed in this embodiment can be used as a thermal insulation cavity.
  • the lower surface covers the cavity, and the cavity in this embodiment can be used as the lower cavity of the ultrasonic sensor.
  • the formed cavity is a sealed cavity, which can prevent the contamination (moisture, dust, grease, etc.) of the device in the cavity from the external environment.
  • the second chip includes an interconnect structure, and the interconnect structure exposes the upper surface of the second chip, and the method further includes: forming an electrical connection structure 61 penetrating the capping substrate 60 , and the electrical connection structure One end of the 61 is connected to the interconnection structure of the second chip, and the other end is located on the upper surface of the cover substrate 60 . The electrical properties of the second chip 20 are led out through the electrical connection structure 61 .
  • the first chip 101 of the present embodiment further has external electrodes 111 exposing the upper surface of the device wafer, and the method further includes: forming external conductive bumps 31 on the external electrodes 111 through an electroplating process; The bumps 31 and the conductive bumps 30 are formed in the same electroplating process step; or the external conductive bumps 31 and the conductive bumps 30 are formed in different electroplating process steps. The same steps as those in Embodiment 1 are not repeated here.
  • the external electrodes 111 are provided at intervals between the interconnection lead pads (Pads) of the first chip 101 and the first bonding pads 110 .
  • the minimum distance between the first pad 110 and the external electrode 111 should not be too small. If the minimum distance between the first pads 110 and the external electrodes 111 is too small, the conductive bumps 30 and the external conductive bumps 31 are easily bridged or merged, thereby adversely affecting package reliability. Therefore, in this embodiment, the minimum distance between the first pad 110 and the external electrode 111 is 3 micrometers. It should also be noted that, in other embodiments, according to the circuit design, the first pad may also be electrically connected to the external electrode.
  • the second chip 20 is subsequently bonded on the first chip 101 , and the first bonding pad 110 is used to realize electrical connection with the second chip 20 .
  • the external electrodes 111 are used to electrically lead out the chip module 100 formed by the first chip 101 and the corresponding second chip 20 , so as to realize the electrical connection between the chip module and other substrates with circuit structures.
  • a dielectric layer 12 is formed on the upper surface of the device wafer, and the exposed positions of the first pads 110 and the external electrodes 111 are protected by the dielectric layer 12 to prevent short circuits.
  • the dielectric layer 12 is etched to expose the first pads 110 and the external electrodes 111.
  • the surfaces of the first pads 110 and the external electrodes 111 are lower than the first surface of the device wafer, that is, the first surface of the device wafer Grooves are formed to expose the first pads 110 and the external electrodes 111 respectively.
  • the dielectric layer 12 has a certain thickness, which can provide space in the subsequent steps of forming the conductive bumps 30 and externally connecting the conductive bumps 31 .
  • the steps of bonding the second chip 20 on the first chip 101 are the same as those in the first embodiment, and are not repeated here. Similar to forming the surrounding wall structure on the outer periphery of the conductive bump, in this embodiment, the surrounding wall structure is formed on the outer periphery of the area where the external conductive bump is pre-formed.
  • the conductive bumps 30 are formed on the first pads 110 by an electroplating process, and the external conductive bumps 31 are formed on the external electrodes 111 .
  • the external conductive bumps 31 and the external conductive bumps 30 are formed in the same electroplating process step, that is, both are formed simultaneously.
  • the conductive bumps 30 and the external conductive bumps 31 are formed in different formed in the electroplating process step, that is, both are formed in steps. When the two are formed in steps, the same process parameters can be used or different process parameters can be used. It can be understood that forming the conductive bumps 30 and the external conductive bumps 31 at the same time is beneficial to simplify the process steps and improve the packaging efficiency.
  • the surface of the external electrode is usually lower than the surface of the first chip. Therefore, the electrical properties of the external electrode are drawn out by electroplating external conductive bumps, and the external conductive bumps protrude from the surface of the external electrode.
  • the second chip is bonded to the surface of the first chip and exposed
  • the external conductive bumps can provide accommodation space for the bonding wires connected to the external electrodes, so that the bonding wires are compatible with three-dimensional stacked wafer-level packaging, which will not lead to an increase in the height of the package structure, and has the advantages of simple wire bonding process and low cost.
  • the packaging method further includes: cutting the device wafer to form a chip module 100 , the chip module 100 includes the second chip 20 and the first chip 101 bonded together. After the device wafer is cut, the second chip 20 and the corresponding first chip 101 form an independent chip module, so as to prepare for the subsequent bonding of the chip module to other substrates.
  • the device wafer is usually provided with criss-cross scribe lines, and the scribe lines are arranged between any two adjacent first chips 101 on the device wafer. Therefore, the device wafer is scribed along the scribe lines. cut.
  • the device wafer between the first chips 101 is partially etched from the first surface of the device wafer to form grooves, and then the second surface of the device wafer is subjected to a backside thinning process to The trenches are exposed to separate the respective first chips 101 .
  • the etching process has a wide process window, narrow scribe lines can be etched, which can reduce the probability of damage to the second chip 20, the conductive bumps 30 or the external conductive bumps 31, and can also improve the The chipping phenomenon of a chip 101 reduces the probability of damage to the effective circuit inside the first chip 101 , which is beneficial to obtain a complete independent stack, thereby improving the reliability of the package.
  • performing the backside thinning process on the second surface of the device wafer 10 can realize a lighter, thinner and smaller wafer-level chip package.
  • laser cutting or mechanical cutting may also be used for cutting.
  • the method further includes: adhering the side of the chip module 100 close to the first chip 101 to the circuit board 2 , and the circuit board 2 has a circuit structure 210 ; using a wire bonding process to form the bonding wire 220 , the bonding wire 220 is electrically connected to the external conductive bump 31 and the circuit structure 210 in the circuit board 2 .
  • the chip module 100 by adhering the chip module 100 to the substrate 2 , preparations are made for the subsequent wire bonding process, so as to utilize the circuit structure 210 in the circuit board 2 to connect the chip composed of the first chip 101 and the second chip 20 to the chip
  • the module provides circuit signals, or uses the circuit structure 210 in the circuit board 2 to realize the electrical connection between the chip module and other chips or other substrates.
  • the circuit board 2 may be a printed circuit board (printed circuit board, printed circuit board). In other embodiments, the circuit board may also be an FPC board (flexible printed circuit board, flexible circuit board) or other types of substrates such as interposer boards.
  • the chip module 100 is adhered to the circuit board 2 through the adhesive layer 230 .
  • the adhesive layer 230 may be an adhesive film.
  • the bonding wires 220 are formed by a wire bonding process, and the bonding wires 220 are electrically connected to the external conductive bumps 31 and the circuit structure 210 in the substrate 2 .
  • the bonding wires 220 electrically connect the external conductive bumps 31 with the circuit structure 210 , thereby realizing the system integration of the chip module composed of the first chip 101 and the second chip 20 and the circuit board 2 .
  • the wire bonding process is the most commonly used circuit connection method in the integrated circuit packaging process. The method enables the thin metal wires or metal strips to be punched in sequence on the bonding points of the chip and the lead frame or the packaging substrate to form a circuit connection.
  • the wire bonding process has high compatibility with the current packaging process, and has the advantages of simple process and low cost. Therefore, by using the wire bonding process, it is beneficial to reduce the packaging cost.
  • the bonding wire 220 is a metal wire, such as a gold wire or an aluminum wire.
  • the highest point of the bonding wire 220 is lower than the surface of the second chip 20 facing away from the first chip 101 .
  • an encapsulation layer covering at least the chip module 100 and the bonding wire 220 will be formed.
  • the bonding wire 220 can be buried in the packaging layer.
  • the highest point of the bonding wire may also be flush with the surface of the second chip facing away from the chip.
  • the packaging method further includes: forming a plastic sealing layer 240 , the plastic sealing layer 240 covers the upper surface of the circuit board 2 , and wraps the chip module 100 and the bonding wires 220 .
  • the plastic sealing layer 240 fixes the chip module 100 formed by the first chip 101 and the second chip 20 on the circuit board 2 , so as to realize the package integration of the first chip 101 and the second chip 20 .
  • the plastic encapsulation layer 240 is used to achieve insulation, sealing and protection of the external conductive bumps 31 , the conductive bumps 30 and the bonding wires 220 . Therefore, the material of the plastic encapsulation layer 240 is an insulating material.
  • the material of the plastic encapsulation layer 240 includes one or both of a dielectric material and a plastic encapsulation material, and the dielectric material may be silicon oxide, silicon nitride or other dielectric materials.
  • the material of the plastic sealing layer 240 may be epoxy resin. Epoxy resin has the advantages of low shrinkage, good adhesion, good corrosion resistance, excellent electrical properties and low cost, so it is widely used as packaging material for electronic devices and integrated circuits.
  • the molding layer 240 may be formed by an injection molding process.
  • the plastic encapsulation layer 240 covers the chip module as a whole, so as to bury the second chip 20 , the first chip 101 , the external conductive bumps 31 and the bonding wires 220 , so as to improve the packaging reliability.
  • the top surface of the plastic sealing layer 240 may also be flush with the surface of the second chip 20 facing away from the first chip, or the plastic sealing layer 240 may cover part of the sidewall of the second chip 20 .
  • the first chip 101 has external electrodes 111 exposing the upper surface of the device wafer, and external conductive bumps 31 are formed on the external electrodes 111 by an electroplating process; the external conductive bumps 31 and The conductive bumps 30 are formed in the same electroplating process step; or the external conductive bumps 31 and the conductive bumps 30 are formed in different electroplating process steps.
  • a plurality of interconnect chips 5 are provided, an interconnect structure is formed in the interconnect chip 5, and a part of the interconnect structure 51 is exposed on the lower surface of the interconnect chip 5; The interconnect structure 51 of the connecting chip 5 is electrically connected to the external conductive bump 31 .
  • the interconnection chip 5 is used to lead out the electrical properties of the external electrodes 111 . Therefore, at least one surface of the interconnection chip 5 exposes part of the interconnection structure 51 , so that the interconnection structure 51 can be electrically connected to the external electrodes 111 .
  • the lead terminal eg, I/O terminal
  • the device wafer can be processed without subsequent processing in this embodiment (for example, a backside thinning process is performed). or through-silicon via interconnection process), thereby reducing damage to the device wafer and improving packaging reliability, and making the packaging method suitable for system integration of various device wafers, thereby improving packaging compatibility accordingly.
  • the semiconductor process is used to prepare the interconnect chip 5 to improve the process compatibility of the interconnect chip 5 preparation process, and to facilitate the formation of the interconnect chip 5 by a wafer-level preparation method, thereby improving the preparation efficiency.
  • a semiconductor substrate is provided; a plurality of interconnect structures 51 are formed in the semiconductor substrate; after the interconnect structures 51 are formed, the semiconductor substrate is cut to obtain a plurality of discrete interconnect chips 5 , wherein the semiconductor substrate Can be a silicon substrate.
  • the interconnection structure 51 penetrates through the interconnection chip 5, and both ends of the interconnection structure 51 are exposed, wherein one end is used for electrical connection with the external conductive bumps 31, and the other end is used for connection with other interconnection structures ( For example, terminals) to achieve electrical connection.
  • the interconnect chip 5 includes opposing first and second surfaces, and the interconnect structure 51 includes a plug 501 , an interconnect line (not shown) connected to the plug 501 , and a pad 502 , the pad 502 The exposed portion of the first surface of the interconnect chip 5 .
  • the interconnect structure 51 includes interconnect lines and pads 502 on the first surface, and plugs 501 embedded in the interconnect chip 5 from the second surface, the plugs 501 being connected to the interconnect lines.
  • the first surface exposes part of the interconnection lines, and the part of the interconnection lines exposed by the first surface is used as the bonding pad 502 .
  • Interconnect lines can function as a redistribution layer (RDL).
  • RDL redistribution layer
  • the plurality of external electrodes 111 can be connected by interconnecting wires, and the electrical properties of the plurality of external electrodes 111 can be drawn out through a plug 501 .
  • the plug 501 is used to realize electrical connection with the lead terminal formed later.
  • the plug 501 has a certain height, which is beneficial to reduce the difficulty of forming the subsequent lead-out ends.
  • the material of the interconnection wire is aluminum.
  • the aluminum process is relatively simple and the process cost is low. Therefore, by selecting the aluminum interconnect layer, it is beneficial to reduce the process difficulty and process cost of the packaging process.
  • the interconnect lines can also be other applicable conductive materials.
  • the material of the plug 501 is copper.
  • the resistivity of copper is low, and by selecting copper material, it is beneficial to improve the electrical conductivity of the plug 501; moreover, the plug 501 is formed in the interconnection hole, and the filling of copper is good, thereby improving the plug 501 in the interconnection hole. quality of formation within.
  • the plug may also be of other applicable conductive materials.
  • the interconnect structure may also only include plugs extending through the interconnect chip, the plugs being correspondingly exposed portions of the first surface of the interconnect chip.
  • the interconnect structure includes interconnect lines and pads, which are exposed portions of the first surface of the interconnect chip.
  • the plugs 501 are formed. Specifically, forming interconnect lines located on the first surface; using the surface of the interconnect lines facing the second surface as an etching stop position, etching the interconnect chip 5 from the second surface to form interconnect holes; filling the interconnect holes, Plugs 501 are formed.
  • the interconnection line By forming the interconnection line first, it is easy to control the position where the etching stops during the formation of the interconnection hole.
  • the interconnect lines may also be formed after the plugs are formed.
  • the thickness of the interconnect chip 5 is greater than or equal to the thickness of the second chip 20 .
  • both the second chip 20 and the interconnect chip 5 are bonded to the first surface (upper surface) of the first chip 101 , and a package covering the second chip 20 and the interconnect chip 5 is also formed on the device wafer.
  • the surface of the packaging layer facing away from the device wafer exposes the second surface of the interconnecting chip 5. Therefore, by making the thickness of the interconnecting chip 5 greater than or equal to the thickness of the second chip 20, it is convenient for the packaging layer to expose the second surface at the same time. , burying the second chip 20 therein.
  • the thickness difference between the interconnecting chip 5 and the second chip 20 is 0 ⁇ m to 100 ⁇ m.
  • the second chip 20 and the interconnect chip 5 are bonded on the upper surface of the device wafer, and the first pad 21 is electrically connected to the conductive bump 30 , and the interconnect structure 51 of the interconnect chip 5 is connected to the external The conductive bumps 31 are electrically connected.
  • the steps of bonding the interconnect chip 5 on the device wafer/electrically connecting with the external conductive bumps 31 refer to Embodiment 1. Bonding the second chip 20 on the device wafer/with the conductive bumps 30 The steps of electrical connection are not repeated here.
  • the area of the interconnect structure 51 exposed at the bottom of the interconnect chip 5 refers to the exposed area of the first pad; The area is greater than half of the cross-sectional area of the interconnect structure 51 .
  • the external conductive bumps 31 and the interconnection structure 51 face each other, that is, in the direction perpendicular to the surface of the device wafer, they overlap each other to the greatest extent.
  • the cross-sectional area of the external conductive bump 31 is greater than 10 square micrometers to ensure structural strength.
  • the packaging method further includes: forming a plastic sealing layer 600 to cover the upper surface of the device wafer and wrap the second chip 20 and the interconnect chip 5 5.
  • the top surface of the interconnect chip 5 and the interconnect structure 51 are exposed from the plastic encapsulation layer 600 ; a lead terminal electrically connected to the interconnect structure 51 is formed on the top surface of the plastic encapsulation layer 600 .
  • the material and formation method of the encapsulation layer 600 refer to the material and formation method of the encapsulation layer 50 in Embodiment 1.
  • the encapsulation layer 600 covers the upper surface of the device wafer and wraps the second chip 20 and the interconnect chip 5 , that is, the encapsulation layer 600 fills the gap between the chips, and the plastic encapsulation layer realizes the protection of the second chip 20 and the interconnect chip 5 The sealing of the seal, so as to better isolate the air and moisture, thereby improving the encapsulation effect.
  • the packaging layer 600 is planarized until the interconnect structure 51 on the top surface of the interconnect chip is exposed.
  • the plastic encapsulation layer 600 is a flat surface, so as to facilitate the formation of the subsequent lead-out ends.
  • the molding layer 600 above the interconnect chip 5 may be etched, thereby exposing the interconnect structure 51 of the interconnect chip 5 .
  • the second chip 20 and the corresponding first chip 101 constitute a chip module
  • the terminal is used as the input/output terminal of the chip module
  • the chip module can be subsequently bonded to other substrates (eg circuit boards) through the terminal.
  • the process of forming the lead terminal includes a bump process.
  • the lead terminal includes a redistribution layer 610 connected to the interconnect structure 51 and solder balls 62 located on the redistribution layer 610 .
  • the step of forming the lead terminal includes: forming a redistribution layer 610 on the top surface of the plastic sealing layer 600 connected to the top end of the interconnect structure 51 (ie, the end exposed by the second surface).
  • the redistribution layer 610 is used to redistribute the top of the interconnect structure 51 .
  • the material of the redistribution layer 610 is aluminum.
  • the redistribution layer may also be other applicable conductive materials.
  • the redistribution layer 610 may be formed by deposition and etching of corresponding materials.
  • the second chip 20 is covered by the plastic sealing layer 600, so as to realize the isolation of the redistribution layer 610 from the second chip 20.
  • the redistribution layer 610 may extend to the plastic sealing layer 600 above the second chip 20, so as to facilitate the The interconnect structure 51 is redistributed according to actual packaging requirements.
  • An insulating layer 70 covering the redistribution layer 610 is formed, and an opening that exposes a portion of the redistribution layer 610 is formed in the insulating layer 70 .
  • the openings are used to provide spatial locations for the formation of solder balls 62 .
  • the insulating layer 70 is used to insulate between the redistribution layers 610, and is also used to provide a process platform for the formation of solder balls.
  • the insulating layer 70 can also play the roles of waterproof, anti-oxidation, and anti-pollution.
  • the material of the insulating layer 70 is a photosensitive material.
  • the insulating layer 70 can be patterned by a photolithography process, which is beneficial to simplify the process steps and reduce the process cost.
  • the material of the insulating layer 70 may be photosensitive polyimide (PI), photosensitive benzocyclobutene (BCB) or photosensitive polybenzoxazole (PBO).
  • the insulating layer 70 covering the redistribution layer 610 is formed on the plastic sealing layer 600 by coating.
  • the insulating layer 70 is patterned by a photolithography process to expose part of the redistribution layer 610 . Solder balls 62 are formed in the openings, and the solder balls 62 and the redistribution layer 610 constitute terminals.
  • the solder balls 62 are formed by a bumping process.
  • the bump process it is beneficial to reduce the thickness of the conductive bumps 62, thereby reducing the thickness of the package structure.
  • the material of the solder balls 62 is copper. It should be noted that, in other embodiments, the lead-out terminal may also be formed by a ball-mounting process.
  • the wafer-level system packaging method further includes: : A plug embedded in the interconnect chip is formed from the upper surface of the interconnect chip, and the plug is connected to the interconnect line.
  • the method further includes: providing a capping substrate 80 that caps the first surface of the substrate 80
  • the accommodating cavity 81 is included, and the first surface of the capping substrate 80 and the device wafer are bonded together, and the accommodating cavity 81 covers at least a part of the second chip 20 .
  • the formed cavity is a sealed cavity, which can prevent the contamination (moisture, dust, grease, etc.) of the device in the cavity from the external environment.
  • the upper surface of the interconnect chip exposes part of the interconnect structure
  • the method further includes: forming an electrical lead-out structure 82 penetrating the capping substrate 80 , and one end of the electrical lead-out structure 82 is connected to the upper surface of the interconnect chip The other end of the exposed interconnect structure is located on the upper surface of the capping substrate 80 .
  • a side of the first chip opposite to the first bonding pad has a third bonding pad, a TSV structure is formed on the backside of the device wafer, and the TSV structure is connected to the third bonding pad.
  • a cavity is required under the second chip 20
  • bonding the second chip on the device wafer includes: under the second chip 20 , a cavity is required.
  • An adhesive layer is formed on the surface or the upper surface of the device wafer, and an opening 41 is formed in the adhesive layer; the second chip 20 is bonded on the device wafer through the adhesive layer, and the second chip 20 covers the opening 41 to form a cavity , the cavity is used as the working cavity of the second chip 20 .
  • the adhesive layer is a photolithographic bonding material 40 .
  • the depth of the opening 41 is equal to or less than the thickness of the photolithographic bonding material 40 .
  • the area where the opening 41 is formed corresponds to the working area of the second chip 20 .
  • a cavity is formed, and the cavity is used as a working cavity of the second chip (eg, a thermal insulation cavity).
  • a cavity needs to be formed under the second chip 20
  • process steps can be saved (otherwise the cavity would need to be formed when the second chip is fabricated).
  • the opening 41 is used for heat insulation, so the depth of the opening 41 is not limited, and the opening 41 may penetrate through the photolithographic bonding material 40 (the depth of the opening is the same as the thickness of the photolithographic bonding material 40 ) It is also possible to penetrate only a part of the thickness of the photolithographic bonding material 40 (the depth of the opening is smaller than the thickness of the photolithographic bonding material 40 ). In other embodiments, if the depth of the opening needs to be defined, a suitable thickness is formed when forming the photolithographic bonding material.
  • a lower cavity is provided below the main resonance area, a cover is formed above, and an upper cavity is formed between the cover and the main resonance area.
  • the cavity in the embodiment can be either an upper cavity or a lower cavity.
  • SMR solidly mounted bulk acoustic resonator
  • an upper cavity is formed above and between the covers, and the cavity in this embodiment can be used as the upper cavity.
  • a thermal insulation cavity for thermal insulation is provided below the functional area, and the cavity formed in this embodiment can be used as a thermal insulation cavity.
  • the membrane-shaped vibrating part is suspended in the air, the upper surface is used to receive ultrasonic waves, and the lower surface covers the cavity.
  • the cavity in this embodiment can be used as the lower cavity of the ultrasonic sensor.
  • the packaging structure includes: a device wafer, the upper surface of the device wafer has first pads 110 , and conductive bumps are electrically connected to the first pads 110 block 30, the conductive bump 30 is formed by electroplating process; the upper surface of the device wafer is provided with a photolithographic bonding material 40, and the photolithographic bonding material 40 has an opening 41; the second chip 20, the second chip 20 is bonded on the device wafer by a photolithographic bonding material 40 and covers the opening 41 to form a cavity, and the cavity is used as a working cavity of the second chip 20; the lower surface of the second chip 20 has a second pad 21, The second pads 21 are electrically connected to the conductive bumps 30 .
  • the upper surface of the device wafer also has external electrodes 111, and the external conductive bumps 31 are electrically connected to the external electrodes 111, and the external conductive bumps 31 are formed by an electroplating process;
  • the package structure includes an interconnect chip 5, which is interconnected An interconnection structure 51 is formed in the chip 5, and a part of the interconnection structure 51 is exposed on the lower surface of the interconnection chip 5; the interconnection chip 5 is bonded on the device wafer by a photolithographic bonding material 40;
  • the external conductive bumps 31 are electrically connected.

Abstract

Disclosed are a wafer-level package system-in-package structure and a packaging method. The packaging method comprises: providing a device wafer, which comprises a plurality of first chips, which are provided with first welding pads exposed from an upper surface of the device wafer; forming conductive bumps on the first welding pads by means of an electroplating process; providing at least one second chip after the conductive bumps are formed, with a lower surface of the second chip being provided with a second welding pad; and bonding the second chip onto the device wafer, and making the second welding pad of the second chip electrically connect to the conductive bumps. According to the present invention, the conductive bumps are formed by means of an electroplating process, and then a welding process is carried out to complete wafer-level system integration, wherein the conductive bumps on the entire wafer can be formed at the same time by means of the electroplating process, such that the efficiency can be improved; and the present invention is compatible with a front end process of a semiconductor, so that wafer-level system integration can be completed by means of the front end process, thereby greatly improving the efficiency of the whole system integration process and omitting the transfer between the front end process and a packaging process.

Description

一种晶圆级系统封装结构及封装方法A wafer-level system packaging structure and packaging method 技术领域technical field
本发明涉及半导体器件制造领域,尤其涉及一种晶圆级系统封装结构及封装方法。The invention relates to the field of semiconductor device manufacturing, in particular to a wafer-level system packaging structure and a packaging method.
背景技术Background technique
随着超大规模集成电路的发展趋势,集成电路特征尺寸持续减小,人们对集成电路的封装技术的要求相应也不断提高。现有的封装技术包括球栅阵列封装(ball grid array,BGA)、芯片尺寸封装(chip scale package,CSP)、晶圆级封装(wafer level package,WLP)、三维封装(3D)和系统封装(system in package,SiP)。With the development trend of VLSI, the feature size of integrated circuits continues to decrease, and people's requirements for the packaging technology of integrated circuits continue to increase accordingly. Existing packaging technologies include ball grid array (BGA), chip scale (chip scale) package, CSP), wafer level package (wafer level package, WLP), three-dimensional package (3D) and system package (system in package) package, SiP).
技术问题technical problem
目前,为了满足集成电路封装的更低成本、更可靠、更快及更高密度的目标,先进的封装方法主要采用三维立体堆叠模式的晶圆级系统封装(wafer level package system in package,WLPSIP),与传统的系统封装相比,晶圆级系统封装是在晶圆上完成封装集成制程,具有大幅减小封装结构的面积、降低制造成本、优化电性能、批次制造等优势,可明显的降低工作量与设备的需求。At present, in order to meet the goals of lower cost, more reliability, faster and higher density of integrated circuit packaging, advanced packaging methods mainly adopt the three-dimensional stacking mode of wafer level system in package (WLPSIP) , Compared with the traditional system packaging, the wafer-level system packaging is to complete the packaging integration process on the wafer, which has the advantages of greatly reducing the area of the packaging structure, reducing the manufacturing cost, optimizing the electrical performance, and batch manufacturing. Reduce workload and equipment requirements.
在晶圆级系统封装工艺中,不仅需要将两片裸芯片键合在一起以实现物理连接,同时还需要连接其互连引线,从而实现电性连接。In the wafer-level system packaging process, not only need to bond two bare chips together to achieve physical connection, but also need to connect their interconnecting leads to achieve electrical connection.
技术解决方案technical solutions
本发明的目的在于提供一种晶圆级系统封装方法,简化封装工艺。为了实现上述目的,本发明提供一种晶圆级系统封装方法,包括:提供器件晶圆,所述器件晶圆包括多个第一芯片,所述第一芯片具有暴露出所述器件晶圆上表面的第一焊垫;通过电镀工艺在所述第一焊垫上形成导电凸块;形成所述导电凸块后,提供至少一个第二芯片,所述第二芯片的下表面具有第二焊垫;将所述第二芯片键合在所述器件晶圆上,并使所述第二芯片的第二焊垫与所述导电凸块电连接。The purpose of the present invention is to provide a wafer-level system packaging method, which simplifies the packaging process. In order to achieve the above object, the present invention provides a wafer-level system packaging method, including: providing a device wafer, the device wafer includes a plurality of first chips, and the first chips have exposed surfaces on the device wafer. a first bonding pad on the surface; a conductive bump is formed on the first bonding pad by an electroplating process; after the conductive bump is formed, at least one second chip is provided, and the lower surface of the second chip has a second bonding pad ; Bond the second chip on the device wafer, and electrically connect the second pad of the second chip with the conductive bump.
本发明还提供一种晶圆级系统封装结构,包括:器件晶圆,所述器件晶圆的上表面具有第一焊垫,所述第一焊垫上电连接有导电凸块,所述导电凸块通过电镀工艺形成;所述器件晶圆的上表面设有可光刻的键合材料,所述可光刻的键合材料中具有空腔;第二芯片,所述第二芯片通过所述可光刻的键合材料粘合在所述器件晶圆上并遮盖所述空腔,所述空腔作为所述第二芯片的工作腔;所述第二芯片的下表面具有第二焊垫,所述第二焊垫与所述导电凸块电连接。The present invention also provides a wafer-level system packaging structure, comprising: a device wafer, the upper surface of the device wafer has a first pad, the first pad is electrically connected with a conductive bump, the conductive bump The block is formed by an electroplating process; the upper surface of the device wafer is provided with a photolithographic bonding material, and the photolithographic bonding material has a cavity; a second chip, the second chip passes through the A photolithographic bonding material is bonded on the device wafer and covers the cavity, and the cavity is used as a working cavity of the second chip; the lower surface of the second chip has a second pad , the second pad is electrically connected to the conductive bump.
有益效果beneficial effect
本发明的有益效果在于:工艺形成导电凸块,电镀工艺可以同时形成整个晶圆上的导电凸块,可以提高效率,而且,与半导体的前段工艺兼容,从而可以利用前段工艺完成晶圆级系统集成,使整个系统集成的工艺效率大大提升,节省了前段工艺与封装工艺之间的转接。 The beneficial effects of the present invention are that the conductive bumps are formed by the process, and the electroplating process can simultaneously form the conductive bumps on the entire wafer, which can improve the efficiency, and is compatible with the front-end process of the semiconductor, so that the wafer-level system can be completed by the front-end process. The integration greatly improves the process efficiency of the entire system integration and saves the transition between the front-end process and the packaging process.
进一步地,通过干膜键合第二芯片和第一芯片以及互连芯片和第一芯片,一方面干膜是可光刻材料,可以通过半导体工艺形成所需的图案样式,工艺简单且与半导体工艺兼容,可批量化生产。而且干膜的弹性模量比较小,在受到热应力时可以很容易变形而不至于破损,减小第二芯片/互连芯片与第一芯片的结合应力。光刻干膜时,可以在预形成外接导电凸块、导电凸块的区域外周保留围墙结构的干膜,这样在形成外接导电凸块和导电凸块时,由于干膜的阻挡,可以形成预期形状的外接导电凸块和导电凸块,防止外接导电凸块和导电凸块横向外溢。当第二芯片的下方需要形成空腔时,通过在粘合层形成开口,第二芯片遮盖开口形成空腔,可以节省工艺步骤(否则需要在制造第二芯片时形成空腔)。Further, the second chip and the first chip and the interconnecting chip and the first chip are bonded by a dry film. On the one hand, the dry film is a photoresistable material, and a desired pattern can be formed by a semiconductor process. The process is simple and compatible with semiconductors. Process compatible, mass production is possible. Moreover, the elastic modulus of the dry film is relatively small, which can be easily deformed without being damaged when subjected to thermal stress, thereby reducing the bonding stress between the second chip/interconnect chip and the first chip. When photolithography dry film, the dry film of the wall structure can be reserved around the area where the external conductive bumps and conductive bumps are pre-formed, so that when the external conductive bumps and conductive bumps are formed, due to the blocking of the dry film, the expected formation can be achieved. Shaped external conductive bumps and conductive bumps to prevent lateral overflow of the external conductive bumps and conductive bumps. When a cavity needs to be formed under the second chip, by forming an opening in the adhesive layer, and the second chip covers the opening to form a cavity, process steps can be saved (otherwise, the cavity needs to be formed when the second chip is fabricated).
进一步地,形成可光刻的键合材料时,其投影以第二芯片的中心为中心,覆盖面积大于第二芯片面积的10%,优选覆盖第二芯片的全部下表面(除第二焊垫所在的区域),这样,在后续工艺形成塑封层时,保证第二芯片下方没有空隙,提高结合强度,提高成品率。Further, when forming the photolithographic bonding material, its projection is centered on the center of the second chip, and the coverage area is greater than 10% of the area of the second chip, preferably covering the entire lower surface of the second chip (except the second pad). In this way, when the plastic encapsulation layer is formed in the subsequent process, it is ensured that there is no void under the second chip, the bonding strength is improved, and the yield is improved.
进一步地,受到器件晶圆的制造工艺的影响,外接电极的表面通常低于第一芯片的表面,因此,通过电镀外接导电凸块将外接电极的电性引出,外接导电凸块凸出于外接电极的表面,这易于打线(wire bond)工艺的进行,焊线与外接导电凸块的连接性能更高,从而有利于提高封装可靠性;在形成导电凸块的同时,形成外接导电凸块,有利于提高封装效率;此外,第二芯片键合于第一芯片的表面,并露出外接导电凸块,这能够为连接外接电极的焊线提供容纳空间,使得焊线兼容三维立体堆叠的晶圆级封装,不会导致封装结构高度的增加,且具有打线工艺简单、成本低的优势。Further, due to the influence of the manufacturing process of the device wafer, the surface of the external electrode is usually lower than the surface of the first chip. Therefore, the electrical properties of the external electrode are drawn out by electroplating external conductive bumps, and the external conductive bumps protrude from the external surface. The surface of the electrode is easy to carry out the wire bonding process, and the connection performance between the bonding wire and the external conductive bump is higher, which is beneficial to improve the reliability of the package; when the conductive bump is formed, the external conductive bump is formed at the same time. , which is beneficial to improve the packaging efficiency; in addition, the second chip is bonded to the surface of the first chip, and the external conductive bumps are exposed, which can provide accommodation space for the bonding wires connecting the external electrodes, so that the bonding wires are compatible with three-dimensional stacked crystals. Round-level packaging does not lead to an increase in the height of the packaging structure, and has the advantages of simple wire bonding process and low cost.
进一步地,通过互连芯片,将第一芯片和第二芯片构成的芯片模块的引出端(例如,I/O端)引至器件晶圆中具有第一焊垫和外接电极的一侧,与将引出端引至器件晶圆中背向第一焊垫和外接电极的一侧的方案相比,后续能够不对器件晶圆进行处理(例如,进行背面减薄处理或者硅通孔互连工艺),从而减小对器件晶圆的损伤,有利于提高封装可靠性,而且,使所述封装方法适用于各种晶圆的系统集成,相应提高封装兼容性。Further, by interconnecting the chips, the leading end (for example, the I/O end) of the chip module composed of the first chip and the second chip is led to the side of the device wafer with the first bonding pad and the external electrode, and Compared with the solution of leading the terminal to the side of the device wafer facing away from the first pad and the external electrode, subsequent processing of the device wafer (for example, backside thinning or through-silicon via interconnection process) can be performed. Therefore, the damage to the device wafer is reduced, which is beneficial to improve the packaging reliability, and the packaging method is suitable for system integration of various wafers, and the packaging compatibility is correspondingly improved.
进一步地,通过先将多个第二芯片键合在器件晶圆上,对多个第二芯片实现了预对准,因此多个第二芯片与导电凸块可以同时进行热压键合,相较于将每个第二芯片和导电凸块依次键合大幅度提高了制造效率。进一步地,多个第二芯片与导电凸块和/或多个互连芯片与外接导电凸块可以同时进行热压键合,相较于将每个第二芯片或互连芯片单点热压键合大幅度提高了制造效率。Further, by first bonding the plurality of second chips on the device wafer, the pre-alignment of the plurality of second chips is realized, so that the plurality of second chips and the conductive bumps can be thermally bonded at the same time. Compared with sequentially bonding each second chip and the conductive bump, the manufacturing efficiency is greatly improved. Further, a plurality of second chips and conductive bumps and/or a plurality of interconnecting chips and external conductive bumps can be thermally bonded at the same time, compared to single-point thermal pressing of each second chip or interconnecting chip. Bonding greatly improves manufacturing efficiency.
进一步地,第一焊垫与第二焊垫在垂直于器件晶圆表面方向上的投影具有重叠区域,且重叠区域的面积大于第一焊垫或第二焊垫面积的一半,以提高两者的结合强度。Further, the projection of the first bonding pad and the second bonding pad in the direction perpendicular to the surface of the device wafer has an overlapping area, and the area of the overlapping area is greater than half of the area of the first bonding pad or the second bonding pad, so as to improve the binding strength.
进一步地,形成粘合层时,其投影以第二芯片/互连芯片的中心为中心,覆盖面积大于第二芯片/互连芯片面积的10%,优选覆盖第二芯片/互连芯片的全部下表面(除电极所在的区域),这样,在后续工艺形成塑封层时,保证第二芯片/互连芯片的下方没有空隙,提高结合强度,提高成品率。Further, when the adhesive layer is formed, its projection is centered on the center of the second chip/interconnect chip, and the coverage area is greater than 10% of the area of the second chip/interconnect chip, preferably covering the entire second chip/interconnect chip. The lower surface (except the area where the electrodes are located), in this way, when the plastic encapsulation layer is formed in the subsequent process, it is ensured that there is no gap under the second chip/interconnect chip, which improves the bonding strength and improves the yield.
附图说明Description of drawings
为了更清楚地说明本发明实施例或现有技术中的技术方案,下面将对实施例或现有技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。In order to explain the embodiments of the present invention or the technical solutions in the prior art more clearly, the following briefly introduces the accompanying drawings that need to be used in the description of the embodiments or the prior art. Obviously, the accompanying drawings in the following description are only These are some embodiments of the present invention. For those of ordinary skill in the art, other drawings can also be obtained according to these drawings without creative efforts.
图1至图8示出了根据本发明实施例1的一种晶圆级系统封装方法中不同步骤中对应的结构示意图。1 to 8 are schematic diagrams of structures corresponding to different steps in a wafer-level system packaging method according to Embodiment 1 of the present invention.
图9至图13示出了根据本发明实施例2的一种晶圆级系统封装方法中不同步骤中对应的结构示意图。FIG. 9 to FIG. 13 show schematic structural diagrams corresponding to different steps in a wafer-level system packaging method according to Embodiment 2 of the present invention.
图14至图18示出了根据本发明实施例3的一种晶圆级系统封装方法中不同步骤中对应的结构示意图。FIG. 14 to FIG. 18 show schematic structural diagrams corresponding to different steps in a wafer-level system packaging method according to Embodiment 3 of the present invention.
图19至图21示出了根据本发明实施例4的一种晶圆级系统封装方法中形成开口对应的结构示意图。FIGS. 19 to 21 are schematic diagrams illustrating structures corresponding to the formation of openings in a wafer-level system packaging method according to Embodiment 4 of the present invention.
附图标记说明: 5-互连芯片;501-插塞;502-焊垫;51-互连结构;10-晶圆;110-第一焊垫;101-第一芯片;12-介质层;13-硅通孔结构;20-第二芯片;21-第二焊垫;30-导电凸块;31-外接导电凸块;111-外接电极;40-可光刻的键合材料;50-封装层;41-开口;60-封盖基板;200-基板;61-电连接结构;100-芯片模块;2-线路板;210-电路结构;220-焊线;230-粘合层;240-塑封层;600-塑封层;610-重布线层;62-焊球;70-绝缘层;80-封盖基板;81-容置空腔;82-电性引出结构。Description of reference numerals: 5-interconnect chip; 501-plug; 502-pad; 51-interconnect structure; 10-wafer; 110-first pad; 101-first chip; 12-dielectric layer; 13-through silicon via structure; 20-second chip; 21-second pad; 30-conductive bump; 31-external conductive bump; 111-external electrode; 40-photolithographic bonding material; 50- 41-opening; 60-covering substrate; 200-substrate; 61-electrical connection structure; 100-chip module; 2-circuit board; 210-circuit structure; 220-bonding wire; 230-adhesive layer; 240 - plastic sealing layer; 600 - plastic sealing layer; 610 - redistribution layer; 62 - solder balls; 70 - insulating layer; 80 - cover substrate; 81 - accommodation cavity; 82 - electrical lead-out structure.
本发明的实施方式Embodiments of the present invention
以下结合附图和具体实施例对本发明进一步详细说明。根据下面的说明和附图,本发明的优点和特征将更清楚,然而,需说明的是,本发明技术方案的构思可按照多种不同的形式实施,并不局限于在此阐述的特定实施例。附图均采用非常简化的形式且均使用非精准的比例,仅用以方便、明晰地辅助说明本发明实施例的目的。The present invention will be further described in detail below with reference to the accompanying drawings and specific embodiments. The advantages and features of the present invention will become clearer from the following description and accompanying drawings. However, it should be noted that the concept of the technical solution of the present invention can be implemented in various forms, and is not limited to the specific implementation described here. example. The accompanying drawings are all in a very simplified form and in an inaccurate scale, and are only used to facilitate and clearly assist the purpose of explaining the embodiments of the present invention.
应当明白,当元件或层被称为“在...上”、“与...相邻”、“连接到”或“耦合到”其它元件或层时,其可以直接地在其它元件或层上、与之相邻、连接或耦合到其它元件或层,或者可以存在居间的元件或层。相反,当元件被称为“直接在...上”、“与...直接相邻”、“直接连接到”或“直接耦合到”其它元件或层时,则不存在居间的元件或层。应当明白,尽管可使用术语第一、第二、第三等描述各种元件、部件、区、层和/或部分,这些元件、部件、区、层和/或部分不应当被这些术语限制。这些术语仅仅用来区分一个元件、部件、区、层或部分与另一个元件、部件、区、层或部分。因此,在不脱离本发明教导之下,下面讨论的第一元件、部件、区、层或部分可表示为第二元件、部件、区、层或部分。空间关系术语例如“在...下”、“在...下面”、“下面的”、“在...之下”、“在...之上”、“上面的”等,在这里可为了方便描述而被使用从而描述图中所示的一个元件或特征与其它元件或特征的关系。在此使用时,单数形式的“一”、“一个”和“所述/该” 也意图包括复数形式,除非上下文清楚指出另外的方式。如果本文的方法包括一系列步骤,且本文所呈现的这些步骤的顺序并非必须是可执行这些步骤的唯一顺序,且一些的步骤可被省略和/或一些本文未描述的其他步骤可被添加到该方法。若某附图中的构件与其他附图中的构件相同,虽然在所有附图中都可轻易辨认出这些构件,但为了使附图的说明更为清楚,本说明书不会将所有相同构件的标号标于每一图中。It will be understood that when an element or layer is referred to as being "on," "adjacent to," "connected to," or "coupled to" other elements or layers, it can be directly on the other elements or layers Layers may be on, adjacent to, connected or coupled to other elements or layers, or intervening elements or layers may be present. In contrast, when an element is referred to as being "directly on," "directly adjacent to," "directly connected to," or "directly coupled to" other elements or layers, there are no intervening elements or layers present. Floor. It will be understood that, although the terms first, second, third, etc. may be used to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the present invention. Spatial relational terms such as "under", "below", "below", "under", "above", "above", etc., in It may be used herein for convenience of description to describe the relationship of one element or feature to other elements or features shown in the figures. As used herein, the singular forms "a," "an," and "the/the" are intended to include the plural forms as well, unless the context clearly dictates otherwise. If a method herein includes a series of steps, the order of the steps presented herein is not necessarily the only order in which the steps may be performed, and some of the steps may be omitted and/or some other steps not described herein may be added to this method. If the components in a certain drawing are the same as the components in other drawings, although these components can be easily identified in all the drawings, in order to make the description of the drawings clearer, this specification will not refer to all the same components. Numbers are attached to each figure.
实施例Example 11
本发明一实施例提供了一种晶圆级系统封装方法,包括以下步骤:S01:提供器件晶圆,器件晶圆包括第一芯片,第一芯片具有暴露出器件晶圆上表面的第一焊垫;S02:通过电镀工艺在第一焊垫上形成导电凸块S03:形成导电凸块后,提供至少一个第二芯片,第二芯片的下表面具有第二焊垫;S04:将第二芯片键合在器件晶圆上,并使第二芯片的第二焊垫与导电凸块电连接。需要说明的是,本说明书中的S0N不代表制造工艺的先后顺序。图1至图8示出了本实施例的晶圆级系统封装方法的不同步骤对应的结构示意图,请参考图1至图8,详细说明各步骤。An embodiment of the present invention provides a wafer-level system packaging method, including the following steps: S01 : providing a device wafer, the device wafer includes a first chip, and the first chip has a first solder joint exposing an upper surface of the device wafer pads; S02: forming conductive bumps on the first pads by an electroplating process S03: after forming the conductive bumps, at least one second chip is provided, and the lower surface of the second chip has a second bonding pad; S04: bonding the second chip The device is assembled on the device wafer, and the second bonding pad of the second chip is electrically connected with the conductive bump. It should be noted that the SON in this specification does not represent the order of the manufacturing processes. FIGS. 1 to 8 are schematic structural diagrams corresponding to different steps of the wafer-level system packaging method of the present embodiment. Please refer to FIGS. 1 to 8 for detailed description of each step.
参考图1,提供器件晶圆,器件晶圆包括晶圆10以及形成在晶圆10上表面的第一芯片101,第一芯片101的上表面暴露出第一焊垫110,第一焊垫110可以是焊盘(Pad)。本实施例中,晶圆10为硅衬底。在其他实施例中,晶圆10的材料还可以为锗、锗化硅、碳化硅、砷化镓或镓化铟等其他半导体材料,半导体衬底还能够为绝缘体上的硅衬底或者绝缘体上的锗衬底等其他类型的衬底。半导体材料可以是适宜于工艺需要或易于集成的材料。根据实际工艺需求,晶圆10的厚度为10微米至100微米。Referring to FIG. 1 , a device wafer is provided. The device wafer includes a wafer 10 and a first chip 101 formed on the upper surface of the wafer 10 . The upper surface of the first chip 101 exposes first bonding pads 110 , and the first bonding pads 110 Can be a pad. In this embodiment, the wafer 10 is a silicon substrate. In other embodiments, the material of the wafer 10 can also be other semiconductor materials such as germanium, silicon germanium, silicon carbide, gallium arsenide or indium gallium, and the semiconductor substrate can also be a silicon-on-insulator substrate or an on-insulator substrate germanium substrates and other types of substrates. The semiconductor material may be a material suitable for process requirements or ease of integration. According to actual process requirements, the thickness of the wafer 10 is 10 micrometers to 100 micrometers.
第一芯片101可以是通过半导体工艺形成在晶圆10上。如,器件晶圆为完成器件制作的待封装晶圆。形成于晶圆10中的多个第一芯片101可以为同一类型或不同类型的芯片。需要说明的是,器件晶圆可以采用集成电路制作技术所制成,例如在第一半导体衬底上通过沉积、刻蚀等工艺形成N型金属氧化物半导体(N-Metal-Oxide-Semiconductor,NMOS)器件和P型金属氧化物半导体(P-Metal-Oxide-Semiconductor,PMOS)器件等,在器件上形成介质层、金属互连结构以及与金属互连结电连接的焊盘等结构,从而使器件晶圆中集成至少一个第一芯片101。在另一实施例中,第一芯片101可以是制作好的芯片,粘合在器件晶圆的上表面。此时,器件晶圆内部没有微器件,器件晶圆也可以内部集成有MOS管等微器件,第一芯片101与微器件通过互连结构电连接。继续参考图1,本实施例中,器件晶圆的上表面形成有露出第一焊垫110的介质层12。介质层12具有一定的厚度,可以在后续形成导电凸块的步骤中提供空间。The first chip 101 may be formed on the wafer 10 through a semiconductor process. For example, the device wafer is a to-be-packaged wafer that has completed device fabrication. The plurality of first chips 101 formed in the wafer 10 may be of the same type or different types of chips. It should be noted that the device wafer can be fabricated by using an integrated circuit fabrication technology, for example, an N-type metal-oxide-semiconductor (N-Metal-Oxide-Semiconductor, NMOS) is formed on the first semiconductor substrate through processes such as deposition and etching. ) devices and P-Metal-Oxide-Semiconductor (PMOS) devices, etc., forming a dielectric layer, a metal interconnection structure, and a pad electrically connected to the metal interconnection junction on the device, so as to make the device At least one first chip 101 is integrated in the wafer. In another embodiment, the first chip 101 may be a fabricated chip, which is adhered to the upper surface of the device wafer. At this time, there is no micro-device inside the device wafer, and the device wafer may also be internally integrated with micro-devices such as MOS transistors, and the first chip 101 and the micro-devices are electrically connected through an interconnection structure. Continuing to refer to FIG. 1 , in this embodiment, a dielectric layer 12 exposing the first pads 110 is formed on the upper surface of the device wafer. The dielectric layer 12 has a certain thickness, which can provide space in the subsequent steps of forming conductive bumps.
参考图2,在器件晶圆的上表面形成可光刻的键合材料40,可光刻的键合材料40用于在后期工艺中将第二芯片粘合在器件晶圆的上表面。本实施例中,可光刻的键合材料40包括膜状干膜或液态干膜,在其他实施例中,也可以选择其他光敏粘合材料。膜状干膜是将无溶剂型光致抗蚀剂涂在涤纶片基上,再覆上聚乙烯薄膜;使用时揭去聚乙烯薄膜,把无溶剂型光致抗蚀剂压于基版上,经曝光显影处理,即可在干膜内形成图形。液态干膜指的是膜状干膜中的成分以液态的形式存在。干膜是一种永久键合膜,粘结强度较高。膜状干膜可以通过贴膜的方式形成在器件晶圆上,液态干膜通过旋涂工艺涂布在器件晶圆上,之后对液态干膜进行固化处理。通过干膜键合第二芯片和器件晶圆,一方面干膜是可光刻材料,可以通过半导体工艺形成所需的图案样式,工艺简单且与半导体工艺兼容,可批量化生产。而且干膜的弹性模量比较小,在受到热应力时可以很容易变形而不至于破损,减小第二芯片与器件晶圆的结合应力。Referring to FIG. 2, a photolithographic bonding material 40 is formed on the upper surface of the device wafer, and the photolithographic bonding material 40 is used to bond the second chip to the upper surface of the device wafer in a later process. In this embodiment, the photolithographic bonding material 40 includes a film-like dry film or a liquid dry film. In other embodiments, other photosensitive adhesive materials may also be selected. Film-like dry film is to coat the solvent-free photoresist on the polyester film base, and then cover the polyethylene film; when using, remove the polyethylene film, and press the solvent-free photoresist on the base plate , After exposure and development, graphics can be formed in the dry film. Liquid dry film means that the components in the film-like dry film exist in liquid form. Dry film is a permanently bonded film with high bond strength. The film-like dry film can be formed on the device wafer by means of film sticking, and the liquid dry film is coated on the device wafer by a spin coating process, and then the liquid dry film is cured. The second chip and the device wafer are bonded by dry film. On the one hand, the dry film is a photolithographic material, which can be used to form a desired pattern through a semiconductor process. The process is simple and compatible with the semiconductor process, and can be mass-produced. Moreover, the elastic modulus of the dry film is relatively small, which can be easily deformed without being damaged when subjected to thermal stress, thereby reducing the bonding stress between the second chip and the device wafer.
在一个可选的实施例中,形成完可光刻的键合材料后,还包括:图形化可光刻的键合材料,在预形成导电凸块的区域外周形成围墙结构。围墙结构围成的内部为形成导电凸块的区域,围墙结构优选为封闭的环形结构,围成的空间为柱形。光刻可光刻的键合材料时,在预形成导电凸块的区域外周保留围墙结构的可光刻的键合材料,这样在形成导电凸块时,由于围墙的阻挡,可以形成预期形状的导电凸块,防止导电凸块横向外溢。本实施例中,可光刻的键合材料40形成在器件晶圆的表面,在另一个实施例中,可光刻的键合材料40也可以形成在第二芯片20的表面。In an optional embodiment, after forming the photolithographic bonding material, the method further includes: patterning the photolithographic bonding material, and forming a surrounding wall structure around the area where the conductive bumps are pre-formed. The interior enclosed by the enclosure wall structure is an area where conductive bumps are formed, the enclosure wall structure is preferably a closed annular structure, and the enclosed space is cylindrical. During photolithography of the photolithographic bonding material, the photolithographic bonding material of the surrounding wall structure is retained around the area where the conductive bumps are pre-formed, so that when the conductive bumps are formed, due to the blocking of the surrounding walls, the desired shape can be formed. Conductive bumps to prevent lateral overflow of the conductive bumps. In this embodiment, the photolithographic bonding material 40 is formed on the surface of the device wafer. In another embodiment, the photolithographic bonding material 40 can also be formed on the surface of the second chip 20 .
本实施例中,形成的可光刻的键合材料40的厚度为5-200μm,如15μm、30μm、80μm、150μm等。且可光刻的键合材料40在器件晶圆表面方向上的投影以第二芯片20的中心为中心,并至少覆盖第二芯片面积的10%。具体为,可光刻的键合材料40的厚度和后期工艺中形成的导电凸块的高度相关。两者的相关性在后面形成导电凸块的时候进行详细介绍。本实施例中,可光刻的键合材料40至少覆盖第二芯片面积的10%,其覆盖在第二芯片的中央位置。优选覆盖第二芯片的全部下表面(除第二焊垫所在的区域)。因为在后续工艺中形成塑封层时,塑封层不容易填充至第二芯片的中间位置(因为距离第二芯片的边缘较远),本方案的可光刻的键合材料40不但起到粘合的作用,还起到了提前密封的作用,可光刻的键合材料40和后续工艺中的塑封层共同起到密封第二芯片的作用。可选方案中,可光刻的键合材料40覆盖第二芯片20的全部下表面(除第二焊垫所在的区域),这样,在后续工艺形成塑封层时,保证第二芯片下方没有空隙,提高结合强度,提高成品率。In this embodiment, the thickness of the formed photolithographic bonding material 40 is 5-200 μm, such as 15 μm, 30 μm, 80 μm, 150 μm, and the like. In addition, the projection of the photolithographic bonding material 40 on the surface of the device wafer is centered on the center of the second chip 20 and covers at least 10% of the area of the second chip. Specifically, the thickness of the photolithographic bonding material 40 is related to the height of the conductive bumps formed in the later process. The correlation between the two will be described in detail later when the conductive bumps are formed. In this embodiment, the photolithographic bonding material 40 covers at least 10% of the area of the second chip, and covers the center of the second chip. It is preferable to cover the entire lower surface of the second chip (except the area where the second pad is located). When the plastic sealing layer is formed in the subsequent process, it is not easy to fill the plastic sealing layer to the middle position of the second chip (because it is far from the edge of the second chip), the photolithographic bonding material 40 of this solution not only serves as a bonding agent It also plays a role of sealing in advance. The photolithographic bonding material 40 and the plastic sealing layer in the subsequent process jointly play the role of sealing the second chip. In an alternative solution, the photolithographic bonding material 40 covers the entire lower surface of the second chip 20 (except for the area where the second pad is located), so that there is no gap under the second chip when the plastic encapsulation layer is formed in the subsequent process. , improve the bonding strength and improve the yield.
继续参考图2,通过电镀工艺在第一焊垫110上形成导电凸块30。导电凸块的材料包括:铜、钛、铝、金、镍、铁、锡、银、锌或铬中的任意一种。形成的导电凸块30的高度和干膜的高度以及第二芯片的结构有关系,当第二芯片的第二焊垫与第二芯片的下表面相平时,导电凸块30的高度和干膜的高度(本实施例中还包括介质层12的高度)大致等高,这样第二芯片和干膜粘合的同时,第二焊垫21与导电凸块30正好相接触。当第二焊垫21相对于第二芯片20的下表面向下凹陷时,导电凸块30的高度等于凹陷的深度+干膜厚度+介质层12的厚度。可选实施例中,导电凸块的高度为5-200μm。如10μm、50μm、100μm。电镀工艺包括化学镀钯浸金(ENEPIG)或化学镍金(ENIG),其中ENEPIG或ENIG的工艺参数可以参照表1。With continued reference to FIG. 2 , the conductive bumps 30 are formed on the first pads 110 through an electroplating process. The material of the conductive bump includes any one of copper, titanium, aluminum, gold, nickel, iron, tin, silver, zinc or chromium. The height of the formed conductive bump 30 is related to the height of the dry film and the structure of the second chip. When the second pad of the second chip is equal to the lower surface of the second chip, the height of the conductive bump 30 is related to the height of the dry film. The heights of (including the height of the dielectric layer 12 in this embodiment) are approximately the same height, so that when the second chip and the dry film are adhered, the second pads 21 are just in contact with the conductive bumps 30 . When the second bonding pad 21 is recessed downward relative to the lower surface of the second chip 20 , the height of the conductive bump 30 is equal to the depth of the recess+dry film thickness+the thickness of the dielectric layer 12 . In an optional embodiment, the height of the conductive bump is 5-200 μm. Such as 10μm, 50μm, 100μm. The electroplating process includes electroless palladium immersion gold (ENEPIG) or electroless nickel gold (ENIG), wherein the process parameters of ENEPIG or ENIG can refer to Table 1.
表1Table 1
Figure dest_path_image002
Figure dest_path_image002
通过电镀工艺形成导电凸块,之后进行焊接工艺完成晶圆级系统集成,电镀工艺可以同时形成整个晶圆上的导电凸块,可以提高效率,而且,与半导体的前段工艺兼容,从而可以利用前段工艺完成晶圆级系统集成,使整个系统集成的工艺效率大大提升,节省了前段工艺与封装工艺之间的转接。在进行化学镀之前,为了更好的完成电镀工艺,可以先对焊垫的表面进行清洁,以去除焊垫表面的自然氧化层、提高焊垫的表面湿润度(wetabilities);之后,可以进行活化工艺,促进镀层金属在待镀金属上的形核生长。为了更好的实现电镀,形成比较完善的导电凸块,第一焊垫、第二焊垫的设置也需要满足一定的要求,比如:第一焊垫暴露出面积为5-200平方微米,在该范围内,焊垫可以与电镀液较充分的接触,避免焊垫与镀液不充分接触而影响导电凸块与焊垫的接触,比如接触面积过小影响电阻,或者,无法接触造成电接触不良;而且,也可以保证接触面积不会过大而降低电镀效率及不会占用过多的面。形成的导电凸块的横截面积大于10平方微米,既可以保证导电凸块占用的面积不会太大,也可以保证导电凸块与焊垫之间的结合强度。可选方案中,导电凸块的材料与第一焊垫的材料相同,这样更容易形成导电凸块。当然,第一焊垫的材料可以与导电凸块的材料不同,为了后续更容易形成导电凸块,可以在第一焊垫上先形成材料层,该材料层的材料与导电凸块的材料相同,形成材料层的方法可以为沉积工艺。The conductive bumps are formed by the electroplating process, and then the soldering process is performed to complete the wafer-level system integration. The electroplating process can form the conductive bumps on the entire wafer at the same time, which can improve the efficiency. Moreover, it is compatible with the front-end process of the semiconductor, so that the front-end process can be used. The process completes the wafer-level system integration, which greatly improves the process efficiency of the entire system integration and saves the transition between the front-end process and the packaging process. Before electroless plating, in order to better complete the electroplating process, the surface of the pad can be cleaned first to remove the natural oxide layer on the surface of the pad and improve the surface wettability of the pad; after that, activation can be performed process to promote the nucleation and growth of the coating metal on the metal to be plated. In order to better realize electroplating and form relatively complete conductive bumps, the settings of the first pad and the second pad also need to meet certain requirements. For example, the exposed area of the first pad is 5-200 square microns. Within this range, the pads can be in sufficient contact with the plating solution to avoid insufficient contact between the pads and the plating solution, which will affect the contact between the conductive bumps and the pads. In addition, it can also ensure that the contact area will not be too large to reduce the plating efficiency and will not occupy too much surface. The cross-sectional area of the formed conductive bumps is greater than 10 square micrometers, which can not only ensure that the area occupied by the conductive bumps is not too large, but also ensure the bonding strength between the conductive bumps and the bonding pads. In an alternative solution, the material of the conductive bump is the same as the material of the first bonding pad, which makes it easier to form the conductive bump. Of course, the material of the first pad can be different from the material of the conductive bump. In order to form the conductive bump more easily, a material layer can be formed on the first pad first. The material of the material layer is the same as the material of the conductive bump. The method of forming the material layer may be a deposition process.
参考图3,提供至少一个第二芯片20,第二芯片20的下表面具有第二焊垫21。第二芯片20用于作为晶圆级封装中的待集成芯片,本实施例晶圆级封装方法可以实现异质集成。相应地,第二芯片20可以是硅晶圆制成的芯片,也可以是其他材质形成的芯片。第二芯片20采用集成电路制作技术所制成,可以为存储芯片、通讯芯片、处理器或逻辑芯片。第二芯片20通常包括形成于半导体衬底上的NMOS器件或PMOS器件等。第二焊垫21位于第二芯片20的下表面,用于实现第二芯片200与其他器件的电性连接。具体地,第二焊垫21可以是焊盘(Pad)。本实施例中,第二焊垫21的材料包括铜、钛、铝、金、镍、铁、锡、银、锌或铬中的任意一种,优选方案中,第二焊垫和导电凸块的材料组合包括金-金、铜-铜、铜-锡或金-锡。Referring to FIG. 3 , at least one second chip 20 is provided, and the lower surface of the second chip 20 has second pads 21 . The second chip 20 is used as a chip to be integrated in the wafer-level packaging, and the wafer-level packaging method in this embodiment can realize heterogeneous integration. Correspondingly, the second chip 20 may be a chip made of a silicon wafer, or a chip made of other materials. The second chip 20 is made by using an integrated circuit manufacturing technology, and can be a memory chip, a communication chip, a processor or a logic chip. The second chip 20 generally includes an NMOS device or a PMOS device or the like formed on a semiconductor substrate. The second bonding pads 21 are located on the lower surface of the second chip 20 and are used to realize electrical connection between the second chip 200 and other devices. Specifically, the second pad 21 may be a pad. In this embodiment, the material of the second pad 21 includes any one of copper, titanium, aluminum, gold, nickel, iron, tin, silver, zinc or chromium. In a preferred solution, the second pad and the conductive bump The material combinations include gold-gold, copper-copper, copper-tin or gold-tin.
多个第二芯片为同功能芯片;多个第二芯片至少包括两种不同功能的芯片;第一芯片为无源器件或者有源器件。第二芯片可以是传感器模组芯片、MEMS芯片、滤波器芯片、逻辑芯片、存储芯片、电容、电感等,电容可以是MLCC电容。传感器模组芯片包括至少传感射频信号、红外辐射信号、可见光信号、声波信号、电磁波信号其中之一的模组芯片;滤波器芯片包括:表面声波谐振器、体声波谐振器至少其中之一。第二芯片可以是经过封装的芯片,则后续无需进行塑封工艺。第二芯片也可以是经过裸芯片,第二芯片也可以是顶面有屏蔽层的芯片。The plurality of second chips are chips with the same function; the plurality of second chips include at least two chips with different functions; and the first chips are passive devices or active devices. The second chip can be a sensor module chip, a MEMS chip, a filter chip, a logic chip, a memory chip, a capacitor, an inductor, etc., and the capacitor can be an MLCC capacitor. The sensor module chip includes a module chip for sensing at least one of radio frequency signals, infrared radiation signals, visible light signals, acoustic wave signals, and electromagnetic wave signals; the filter chip includes at least one of surface acoustic wave resonators and bulk acoustic wave resonators. The second chip may be an encapsulated chip, and a subsequent plastic encapsulation process is not required. The second chip may also be a bare chip, and the second chip may also be a chip with a shielding layer on the top surface.
本实施例中,第二焊垫21和导电凸块30的材料为金属,通过热压键合工艺将第二焊垫21与导电凸块30电连接。每个第二焊垫21与每个导电凸块30逐一进行热压键合;或者多个第二焊垫21与多个导电凸块30同时进行热压键合。通过将多个第二芯片20先键合在器件晶圆上,对多个第二芯片20实现了预对准,因此多个第二芯片20与导电凸块30可以同时进行热压键合,相较于将每个第二芯片20和导电凸块30依次键合大幅度提高了制造效率。本实施例中,第一焊垫110或第二焊垫21的面积为5-200平方微米;第二焊垫21与导电凸块30在垂直于器件晶圆表面方向上重叠区域的面积大于第二焊垫21面积的一半,以提高两者的结合强度,可选方案中,导电凸块30和第二焊垫21相互正对,即在垂直于器件晶圆表面方向上,两者最大程度上相互重叠。在可选方案中,导电凸块的横截面积大于10平方微米,以保证结构强度。In this embodiment, the materials of the second bonding pads 21 and the conductive bumps 30 are metal, and the second bonding pads 21 and the conductive bumps 30 are electrically connected through a thermocompression bonding process. Each second bonding pad 21 and each conductive bump 30 are thermocompression bonded one by one; or a plurality of second bonding pads 21 and a plurality of conductive bumps 30 are thermocompression bonded simultaneously. By first bonding the plurality of second chips 20 on the device wafer, the pre-alignment of the plurality of second chips 20 is realized, so that the plurality of second chips 20 and the conductive bumps 30 can be thermally bonded at the same time. Compared with bonding each of the second chips 20 and the conductive bumps 30 in sequence, the manufacturing efficiency is greatly improved. In this embodiment, the area of the first bonding pad 110 or the second bonding pad 21 is 5-200 square micrometers; the area of the overlapping area of the second bonding pad 21 and the conductive bump 30 in the direction perpendicular to the surface of the device wafer is larger than that of the second bonding pad 21 and the conductive bump 30 . Half of the area of the second pads 21 to improve the bonding strength of the two. In an alternative solution, the conductive bumps 30 and the second pads 21 are facing each other, that is, in the direction perpendicular to the surface of the device wafer, the two are to the greatest extent possible. overlap each other. In an optional solution, the cross-sectional area of the conductive bump is greater than 10 square micrometers to ensure structural strength.
本实施例中,多个第二芯片20逐一键合在晶圆的表面。参考图4,在另一个实施例中,第二芯片20具有第二焊垫21的面为正面,与正面相背的面为背面,第二芯片20键合于器件晶圆之前,将第二芯片20的背面临时键合于基板200上;将第二芯片20键合在晶圆上后,解键合基板。基板200可以是载体晶圆,用于临时固定多个第二芯片20,基板200还用于在第二芯片20与器件晶圆键合的过程中,为第二芯片20起到支撑作用,从而提高键合的可靠性。第二芯片20通过粘合层或静电键合临时键合于基板200上。静电键合技术是不用任何粘结剂实现键合的一种方法。在键合过程中,将要键合的第二芯片和基板分别连接不同的电极,在电压作用下使第二芯片和基板表面形成电荷,且第二芯片与基板表面电荷电性不同,从而在第二芯片与基板键合过程中产生较大的静电引力,实现两者的物理连接。相应地,在解键合的过程中,可以通过化学方法或机械剥离的方式使基板与第二芯片20相分离。In this embodiment, the plurality of second chips 20 are bonded to the surface of the wafer one by one. Referring to FIG. 4 , in another embodiment, the surface of the second chip 20 with the second pads 21 is the front side, and the side opposite to the front side is the back side. Before the second chip 20 is bonded to the device wafer, the second chip 20 is bonded to the device wafer. The backside of the chip 20 is temporarily bonded to the substrate 200 ; after the second chip 20 is bonded to the wafer, the substrate is debonded. The substrate 200 may be a carrier wafer for temporarily fixing a plurality of second chips 20, and the substrate 200 is also used for supporting the second chips 20 in the process of bonding the second chips 20 to the device wafer, thereby Improve the reliability of bonding. The second chip 20 is temporarily bonded to the substrate 200 through an adhesive layer or electrostatic bonding. Electrostatic bonding technology is a method to achieve bonding without any adhesive. During the bonding process, the second chip to be bonded and the substrate are connected to different electrodes respectively, and the surface of the second chip and the substrate are charged under the action of voltage, and the charges on the surface of the second chip and the substrate are electrically different, so that in the first During the bonding process of the two chips and the substrate, a large electrostatic attraction is generated to realize the physical connection between the two. Correspondingly, in the process of debonding, the substrate can be separated from the second chip 20 by a chemical method or a mechanical peeling method.
参考图5,本实施例中,键合第二芯片20后还包括:形成塑封层50,塑封层50至少填充于相邻的第二芯片之间。本实施例中,封装层50覆盖器件晶圆的表面及第二芯片20,也就是说,封装层50填充于第二芯片20之间的间隙且覆盖在第二芯片20上.塑封层实现对第二芯片的密封,从而更好地隔绝空气和水分,进而提高了封装效果。具体地,可以通过注塑工艺形成封装层50。注塑工艺的填充性能较好,可以使注塑剂较好地填充在多个第二芯片20之间,从而使第二芯片20具有良好的封装效果。在其他实施例中,还可以采用其他工艺形成封装层。另外,根据第二芯片的不同性能,第二芯片的上表面也可以暴露在塑封层的外部。Referring to FIG. 5 , in this embodiment, after bonding the second chips 20 , the method further includes: forming a plastic sealing layer 50 , and the plastic sealing layer 50 is filled at least between adjacent second chips. In this embodiment, the encapsulation layer 50 covers the surface of the device wafer and the second chip 20, that is, the encapsulation layer 50 fills the gap between the second chips 20 and covers the second chip 20. The plastic encapsulation layer realizes the The sealing of the second chip can better isolate air and moisture, thereby improving the packaging effect. Specifically, the encapsulation layer 50 may be formed through an injection molding process. The filling performance of the injection molding process is good, and the injection molding agent can be well filled between the plurality of second chips 20 , so that the second chips 20 have a good encapsulation effect. In other embodiments, other processes may also be used to form the encapsulation layer. In addition, according to different properties of the second chip, the upper surface of the second chip may also be exposed outside the plastic encapsulation layer.
参考图6,本实施例中,第一芯片101与第一焊垫相对的表面(图5中第一芯片101的下表面)具有第三焊垫,形成塑封层50后,本方法还包括:对器件晶圆的背面进行减薄处理;并从减薄后的器件晶圆的背面形成硅通孔结构13,硅通孔结构13连接于第三焊垫。通过对器件晶圆的背面进行减薄处理,以减小器件晶圆的厚度,从而改善器件晶圆的散热效果;此外,减小器件晶圆的厚度还有利于减小形成通孔互连结构的难度以及减小封装后封装结构的整体厚度,进而提高封装结构的性能。本实施例中,减薄处理所采用的工艺可以为背部研磨工艺、化学机械抛光 (Chemical Mechanical Polishing,CMP)工艺和湿法刻蚀工艺中的一种或多种。Referring to FIG. 6 , in this embodiment, the surface of the first chip 101 opposite to the first bonding pad (the lower surface of the first chip 101 in FIG. 5 ) has a third bonding pad. After the plastic sealing layer 50 is formed, the method further includes: The backside of the device wafer is thinned; and a through-silicon via structure 13 is formed from the backside of the thinned device wafer, and the through-silicon via structure 13 is connected to the third pad. By thinning the backside of the device wafer, the thickness of the device wafer is reduced, thereby improving the heat dissipation effect of the device wafer; in addition, reducing the thickness of the device wafer is also conducive to reducing the formation of a through-hole interconnection structure and reduce the overall thickness of the package structure after packaging, thereby improving the performance of the package structure. In this embodiment, the process used in the thinning process may be one or more of a back grinding process, a chemical mechanical polishing (Chemical Mechanical Polishing, CMP) process, and a wet etching process.
为了有效控制减薄处理的停止位置,在器件晶圆的制造工艺中,通常在器件晶圆的半导体衬底内形成用于限定停止位置的深沟槽隔离结构,从而使减薄处理停止于深沟槽隔离结构的底部。在另一实施例中,还可以在器件晶圆的制造工艺中,采用中性掺杂离子(例如氧离子和氮离子中的一种或两种)在器件晶圆的半导体衬底内形成停止区,从而使减薄处理停止于停止区的底部。在其他实施例中,当器件晶圆的半导体衬底为绝缘体上的硅衬底或者绝缘体上的锗衬底时,还可以对半导体衬底的底部衬底层进行减薄处理,从而能够较好地停止于绝缘体层的底部。In order to effectively control the stop position of the thinning process, in the manufacturing process of the device wafer, a deep trench isolation structure for defining the stop position is usually formed in the semiconductor substrate of the device wafer, so that the thinning process stops at the deep Bottom of the trench isolation structure. In another embodiment, neutral dopant ions (eg, one or both of oxygen ions and nitrogen ions) may also be used to form stoppages in the semiconductor substrate of the device wafer during the fabrication process of the device wafer. zone so that the thinning process stops at the bottom of the stop zone. In other embodiments, when the semiconductor substrate of the device wafer is a silicon-on-insulator substrate or a germanium-on-insulator substrate, the bottom substrate layer of the semiconductor substrate may also be thinned, so as to better Stop at the bottom of the insulator layer.
需要说明的是,在减薄处理后,器件晶圆的厚度不宜过小,也不宜过大。如果器件晶圆的厚度过小,则器件晶圆的机械性能相应较差,且容易对形成于器件晶圆内的器件等结构产生不良影响;如果器件晶圆的厚度过大,则不利于提高封装结构的性能。为此,本实施例中,减薄之后器件晶圆的厚度为5μm至10μm。在对器件晶圆减薄处理后,在器件晶圆内形成与第三焊垫电连接的硅通孔结构13。通过硅通孔结构13实现与第三焊垫电连接。由于第二芯片20与第一焊垫110通过导电凸块30电性连接,因此第二芯片20通过导电凸块20、第一焊垫110以及硅通孔结构13与其他电路电性连接。It should be noted that, after the thinning process, the thickness of the device wafer should not be too small or too large. If the thickness of the device wafer is too small, the mechanical properties of the device wafer are correspondingly poor, and it is easy to have adverse effects on structures such as devices formed in the device wafer; if the thickness of the device wafer is too large, it is not conducive to improving the performance of the package structure. Therefore, in this embodiment, the thickness of the device wafer after thinning is 5 μm to 10 μm. After the thinning process of the device wafer, a through silicon via structure 13 electrically connected to the third pad is formed in the device wafer. The electrical connection with the third pad is realized through the through silicon via structure 13 . Since the second chip 20 and the first bonding pads 110 are electrically connected through the conductive bumps 30 , the second chip 20 is electrically connected to other circuits through the conductive bumps 20 , the first bonding pads 110 and the TSV structure 13 .
参考图7和图8,在一个实施例中,键合第二芯片后(图3之后),方法还包括:提供封盖基板60,封盖基板60的第一表面包含空腔,键合封盖基板60的第一表面与器件晶圆,并使空腔至少遮盖第二芯片的一部分。封盖基板60的材料可以为:可以为硅、锗、锗化硅、碳化硅、砷化镓或镓化铟等半导体材料,也可以是介质材料。封盖基板60中形成有空腔,空腔可以较大,一个空腔可以同时容纳多个第二芯片20,封盖基板也可以包括多个子空腔,每个子空腔容纳一个或多个第二芯片20。参考图8,在可选的实施例中,空腔也可以只覆盖一个第二芯片20的一部分,如只覆盖第二芯片的主体部分。如对于体声波谐振器或者表声波谐振器或者红外热堆传感器,芯片需要形成有空腔结构,并且空腔结构对应芯片结构的功能区,并不是将整个芯片包括在空腔中。如对于体声波谐振器(BAW)和表声波谐振器(SAW)以及牢固安置型体声波谐振器(SMR)在主体谐振区上方设置有上空腔,本实施例中的空腔可以作为上空腔,对于红外热电堆传感器,其功能区下方设置有用于隔热的隔热空腔,本实施例形成的空腔可以作为隔热空腔,对于超声波传感器,膜状的振动部悬空设置,上表面用于接收超声波,下表面遮盖空腔,本实施例的空腔可以作为超声波传感器的下空腔。可选实施例中,封盖基板键合在器件晶圆上后,形成的空腔为密封的空腔,可以防止外界环境对空腔内器件的污染(水分、灰尘、油脂等)。继续参考图8,在一个实施例中,第二芯片包括互连结构,互连结构暴露出第二芯片的上表面,方法还包括:形成贯穿封盖基板60的电连接结构61,电连接结构61的一端连接于第二芯片的互连结构,另一端位于封盖基板60的上表面。通过电连接结构61,将第二芯片20的电性引出。Referring to FIGS. 7 and 8 , in one embodiment, after bonding the second chip (after FIG. 3 ), the method further includes: providing a capping substrate 60 , the first surface of the capping substrate 60 includes a cavity, and the bonding seal The first surface of the substrate 60 is covered with the device wafer, and the cavity covers at least a part of the second chip. The material of the capping substrate 60 may be: semiconductor materials such as silicon, germanium, silicon germanium, silicon carbide, gallium arsenide or indium gallium, or a dielectric material. A cavity is formed in the cover substrate 60, and the cavity can be larger, and one cavity can accommodate a plurality of second chips 20 at the same time. Two chips 20. Referring to FIG. 8 , in an optional embodiment, the cavity may also only cover a part of a second chip 20 , for example, only cover the main body of the second chip. For example, for a bulk acoustic wave resonator or a surface acoustic wave resonator or an infrared thermopile sensor, the chip needs to be formed with a cavity structure, and the cavity structure corresponds to the functional area of the chip structure, rather than including the entire chip in the cavity. For example, the bulk acoustic wave resonator (BAW), the surface acoustic wave resonator (SAW) and the solidly mounted bulk acoustic wave resonator (SMR) are provided with an upper cavity above the main resonance region, the cavity in this embodiment can be used as the upper cavity, For the infrared thermopile sensor, a thermal insulation cavity for thermal insulation is provided under the functional area. The cavity formed in this embodiment can be used as a thermal insulation cavity. In order to receive ultrasonic waves, the lower surface covers the cavity, and the cavity in this embodiment can be used as the lower cavity of the ultrasonic sensor. In an optional embodiment, after the cover substrate is bonded to the device wafer, the formed cavity is a sealed cavity, which can prevent the contamination (moisture, dust, grease, etc.) of the device in the cavity from the external environment. Continuing to refer to FIG. 8 , in one embodiment, the second chip includes an interconnect structure, and the interconnect structure exposes the upper surface of the second chip, and the method further includes: forming an electrical connection structure 61 penetrating the capping substrate 60 , and the electrical connection structure One end of the 61 is connected to the interconnection structure of the second chip, and the other end is located on the upper surface of the cover substrate 60 . The electrical properties of the second chip 20 are led out through the electrical connection structure 61 .
实施例Example 22
参照图9至图13,本实施例的第一芯片101还具有暴露出器件晶圆上表面的外接电极111,方法还包括:通过电镀工艺在外接电极111上形成外接导电凸块31;外接导电凸块31和导电凸块30在同一电镀工艺步骤中形成;或者外接导电凸块31和导电凸块30在不同的电镀工艺步骤中形成。与实施例1相同的步骤不再赘述。Referring to FIGS. 9 to 13 , the first chip 101 of the present embodiment further has external electrodes 111 exposing the upper surface of the device wafer, and the method further includes: forming external conductive bumps 31 on the external electrodes 111 through an electroplating process; The bumps 31 and the conductive bumps 30 are formed in the same electroplating process step; or the external conductive bumps 31 and the conductive bumps 30 are formed in different electroplating process steps. The same steps as those in Embodiment 1 are not repeated here.
具体地,外接电极111为第一芯片101的互连引线焊盘(Pad)与第一焊垫110间隔设置。第一焊垫110和外接电极111的最小间距不宜过小。如果第一焊垫110和外接电极111的最小间距过小,则导电凸块30和外接导电凸块31容易桥接(bridge)或者融合(merge),从而对封装可靠性产生不良影响。为此,本实施例中,第一焊垫110和外接电极111的最小间距为3微米。还需要说明的是,在其他实施例中,根据电路设计,第一焊垫也可以和外接电极实现电连接。Specifically, the external electrodes 111 are provided at intervals between the interconnection lead pads (Pads) of the first chip 101 and the first bonding pads 110 . The minimum distance between the first pad 110 and the external electrode 111 should not be too small. If the minimum distance between the first pads 110 and the external electrodes 111 is too small, the conductive bumps 30 and the external conductive bumps 31 are easily bridged or merged, thereby adversely affecting package reliability. Therefore, in this embodiment, the minimum distance between the first pad 110 and the external electrode 111 is 3 micrometers. It should also be noted that, in other embodiments, according to the circuit design, the first pad may also be electrically connected to the external electrode.
本实施例中,后续在第一芯片101上键合第二芯片20,第一焊垫110用于实现与第二芯片20的电连接。外接电极111用于将第一芯片101以及相对应的第二芯片20构成的芯片模块100的电性引出,从而实现该芯片模块与其他具有电路结构的基板的电连接。本实施例中,器件晶圆的上表面形成有介质层12,第一焊垫110和外接电极111露出的位置利用介质层12进行保护以防止短路,且在器件晶圆的制作过程中,通过对介质层12进行刻蚀以暴露第一焊垫110和外接电极111,因此,第一焊垫110和外接电极111的表面低于器件晶圆的第一表面,即器件晶圆的第一表面形成有分别露出第一焊垫110和外接电极111的凹槽。另外介质层12具有一定的厚度,可以在后续形成导电凸块30和外接导电凸块31的步骤中提供空间。本实施例中,在第一芯片101上键合第二芯片20的步骤与实施例1相同,此处不再赘述。类似于在导电凸块的外周形成围墙结构,本实施例在预形成外接导电凸块的区域外周形成围墙结构。In this embodiment, the second chip 20 is subsequently bonded on the first chip 101 , and the first bonding pad 110 is used to realize electrical connection with the second chip 20 . The external electrodes 111 are used to electrically lead out the chip module 100 formed by the first chip 101 and the corresponding second chip 20 , so as to realize the electrical connection between the chip module and other substrates with circuit structures. In this embodiment, a dielectric layer 12 is formed on the upper surface of the device wafer, and the exposed positions of the first pads 110 and the external electrodes 111 are protected by the dielectric layer 12 to prevent short circuits. The dielectric layer 12 is etched to expose the first pads 110 and the external electrodes 111. Therefore, the surfaces of the first pads 110 and the external electrodes 111 are lower than the first surface of the device wafer, that is, the first surface of the device wafer Grooves are formed to expose the first pads 110 and the external electrodes 111 respectively. In addition, the dielectric layer 12 has a certain thickness, which can provide space in the subsequent steps of forming the conductive bumps 30 and externally connecting the conductive bumps 31 . In this embodiment, the steps of bonding the second chip 20 on the first chip 101 are the same as those in the first embodiment, and are not repeated here. Similar to forming the surrounding wall structure on the outer periphery of the conductive bump, in this embodiment, the surrounding wall structure is formed on the outer periphery of the area where the external conductive bump is pre-formed.
参考图10,通过电镀工艺在第一焊垫110上形成导电凸块30,在外接电极111上形成外接导电凸块31。在本实施例中,外接导电凸块31和导电凸块30在同一电镀工艺步骤中形成,即两者同时形成,在另一个实施例中,导电凸块30和外接导电凸块31在不同的电镀工艺步骤中形成,即两者是分步形成的。当两者分步形成时,可以采用相同的工艺参数也可以采用不同的工艺参数。可以理解,同时形成导电凸块30和外接导电凸块31,有利于简化工艺步骤,提高封装效率。Referring to FIG. 10 , the conductive bumps 30 are formed on the first pads 110 by an electroplating process, and the external conductive bumps 31 are formed on the external electrodes 111 . In this embodiment, the external conductive bumps 31 and the external conductive bumps 30 are formed in the same electroplating process step, that is, both are formed simultaneously. In another embodiment, the conductive bumps 30 and the external conductive bumps 31 are formed in different formed in the electroplating process step, that is, both are formed in steps. When the two are formed in steps, the same process parameters can be used or different process parameters can be used. It can be understood that forming the conductive bumps 30 and the external conductive bumps 31 at the same time is beneficial to simplify the process steps and improve the packaging efficiency.
受到器件晶圆的制造工艺的影响,外接电极的表面通常低于第一芯片的表面,因此,通过电镀外接导电凸块将外接电极的电性引出,外接导电凸块凸出于外接电极的表面,这易于打线(wire bond)工艺的进行,焊线与外接导电凸块的连接性能更高,从而有利于提高封装可靠性;此外,第二芯片键合于第一芯片的表面,并露出外接导电凸块,这能够为连接外接电极的焊线提供容纳空间,使得焊线兼容三维立体堆叠的晶圆级封装,不会导致封装结构高度的增加,且具有打线工艺简单、成本低的优势。Affected by the manufacturing process of the device wafer, the surface of the external electrode is usually lower than the surface of the first chip. Therefore, the electrical properties of the external electrode are drawn out by electroplating external conductive bumps, and the external conductive bumps protrude from the surface of the external electrode. , which is easy to carry out the wire bonding process, and the connection performance between the bonding wire and the external conductive bump is higher, which is beneficial to improve the reliability of the package; in addition, the second chip is bonded to the surface of the first chip and exposed The external conductive bumps can provide accommodation space for the bonding wires connected to the external electrodes, so that the bonding wires are compatible with three-dimensional stacked wafer-level packaging, which will not lead to an increase in the height of the package structure, and has the advantages of simple wire bonding process and low cost. Advantage.
参考图11,本实施例中,键合第二芯片20后,封装方法还包括:切割器件晶圆形成芯片模块100,芯片模块100包括键合在一起的第二芯片20和第一芯片101。切割器件晶圆后,第二芯片20与相对应的第一芯片101构成独立的芯片模块,从而为后续将芯片模块键合至其他基板上做准备。11 , in this embodiment, after bonding the second chip 20 , the packaging method further includes: cutting the device wafer to form a chip module 100 , the chip module 100 includes the second chip 20 and the first chip 101 bonded together. After the device wafer is cut, the second chip 20 and the corresponding first chip 101 form an independent chip module, so as to prepare for the subsequent bonding of the chip module to other substrates.
器件晶圆中通常设有纵横交错的切割道(scribe line),且该切割道设置于器件晶圆上任意相邻的两个第一芯片101之间,因此,沿切割道对器件晶圆进行切割。本实施例中,先从器件晶圆的第一表面对第一芯片101之间的器件晶圆进行部分刻蚀,形成沟槽,然后对器件晶圆的第二表面进行背面减薄处理,以暴露出沟槽,从而将各个第一芯片101分离。由于刻蚀工艺具有范围较宽的工艺窗口,因此能够刻蚀出较窄的切割道,从而能够降低第二芯片20、导电凸块30或外接导电凸块31受损的概率,也能够改善第一芯片101的崩边现象,降低第一芯片101内部的有效电路受损的概率,从而有利于获得完好的独立堆叠体,进而有利于提高封装可靠性。而且,对器件晶圆10的第二表面进行背面减薄处理,可实现更轻、更薄以及体积更小的晶圆级芯片封装。在其他实施例中,也可以采用激光切割的方式或者机械切割的方式进行切割。The device wafer is usually provided with criss-cross scribe lines, and the scribe lines are arranged between any two adjacent first chips 101 on the device wafer. Therefore, the device wafer is scribed along the scribe lines. cut. In this embodiment, the device wafer between the first chips 101 is partially etched from the first surface of the device wafer to form grooves, and then the second surface of the device wafer is subjected to a backside thinning process to The trenches are exposed to separate the respective first chips 101 . Since the etching process has a wide process window, narrow scribe lines can be etched, which can reduce the probability of damage to the second chip 20, the conductive bumps 30 or the external conductive bumps 31, and can also improve the The chipping phenomenon of a chip 101 reduces the probability of damage to the effective circuit inside the first chip 101 , which is beneficial to obtain a complete independent stack, thereby improving the reliability of the package. Moreover, performing the backside thinning process on the second surface of the device wafer 10 can realize a lighter, thinner and smaller wafer-level chip package. In other embodiments, laser cutting or mechanical cutting may also be used for cutting.
参考图12,切割器件晶圆后,方法还包括:将芯片模块100靠近第一芯片101的一面粘接至线路板2上,线路板2中具有电路结构210;利用打线工艺形成焊线220,焊线220电连接外接导电凸块31与线路板2中的电路结构210。具体地,通过将芯片模块100粘接至基板2上,从而为后续的打线工艺做准备,以便于利用线路板2中的电路结构210向由第一芯片101和第二芯片20构成的芯片模块提供电路信号,或者,利用线路板2中的电路结构210实现该芯片模块与其他芯片或其他基板的电连接。Referring to FIG. 12 , after cutting the device wafer, the method further includes: adhering the side of the chip module 100 close to the first chip 101 to the circuit board 2 , and the circuit board 2 has a circuit structure 210 ; using a wire bonding process to form the bonding wire 220 , the bonding wire 220 is electrically connected to the external conductive bump 31 and the circuit structure 210 in the circuit board 2 . Specifically, by adhering the chip module 100 to the substrate 2 , preparations are made for the subsequent wire bonding process, so as to utilize the circuit structure 210 in the circuit board 2 to connect the chip composed of the first chip 101 and the second chip 20 to the chip The module provides circuit signals, or uses the circuit structure 210 in the circuit board 2 to realize the electrical connection between the chip module and other chips or other substrates.
本实施例中,线路板2可以为PCB板(printed circuit board,印刷电路板)。在其他实施例中,线路板也可以为FPC板(flexible printed circuit board,柔性电路板)或转接(interposer)板等其他类型的基板。本实施例中,通过粘合层230,将芯片模块100粘接至线路板2上。作为一种示例,粘合层230可以为粘片膜。利用打线(wirebond)工艺形成焊线220,焊线220电连接外接导电凸块31与基板2中的电路结构210。焊线220使得外接导电凸块31与电路结构210实现电连接,从而实现由第一芯片101和第二芯片20构成的芯片模块和线路板2的系统集成。打线工艺是集成电路封装工艺中最常采用的电路连接方式,其方式使将细金属线或金属带按顺序打在芯片与引脚架或封装基板的键合点上而形成电路连接。打线工艺与目前封装工艺的兼容性较高,具有工艺简单、成本低的优势,因此,通过采用打线工艺,有利于降低封装成本。本实施例中,焊线220为金属导线,例如为:金线或铝线。本实施例中,焊线220的最高处低于第二芯片20背向第一芯片101的表面。后续制程还会形成至少覆盖芯片模块100和焊线220的封装层,通过使焊线220的最高处低于第二芯片20背向第一芯片101的表面,能够将焊线220掩埋在封装层中,同时,易于使得封装结构的厚度较小。在其他实施例中,焊线的最高处也可以和第二芯片背向芯片的表面齐平。In this embodiment, the circuit board 2 may be a printed circuit board (printed circuit board, printed circuit board). In other embodiments, the circuit board may also be an FPC board (flexible printed circuit board, flexible circuit board) or other types of substrates such as interposer boards. In this embodiment, the chip module 100 is adhered to the circuit board 2 through the adhesive layer 230 . As an example, the adhesive layer 230 may be an adhesive film. The bonding wires 220 are formed by a wire bonding process, and the bonding wires 220 are electrically connected to the external conductive bumps 31 and the circuit structure 210 in the substrate 2 . The bonding wires 220 electrically connect the external conductive bumps 31 with the circuit structure 210 , thereby realizing the system integration of the chip module composed of the first chip 101 and the second chip 20 and the circuit board 2 . The wire bonding process is the most commonly used circuit connection method in the integrated circuit packaging process. The method enables the thin metal wires or metal strips to be punched in sequence on the bonding points of the chip and the lead frame or the packaging substrate to form a circuit connection. The wire bonding process has high compatibility with the current packaging process, and has the advantages of simple process and low cost. Therefore, by using the wire bonding process, it is beneficial to reduce the packaging cost. In this embodiment, the bonding wire 220 is a metal wire, such as a gold wire or an aluminum wire. In this embodiment, the highest point of the bonding wire 220 is lower than the surface of the second chip 20 facing away from the first chip 101 . In the subsequent process, an encapsulation layer covering at least the chip module 100 and the bonding wire 220 will be formed. By making the highest part of the bonding wire 220 lower than the surface of the second chip 20 facing away from the first chip 101, the bonding wire 220 can be buried in the packaging layer. At the same time, it is easy to make the thickness of the package structure small. In other embodiments, the highest point of the bonding wire may also be flush with the surface of the second chip facing away from the chip.
参考图13,本实施例中,形成焊线220后,封装方法还包括:形成塑封层240,塑封层240覆盖线路板2的上表面,包裹芯片模块100和焊线220。塑封层240将第一芯片101和第二芯片20形成的芯片模块100固定在线路板2上,用于使第一芯片101和第二芯片20实现封装集成。而且,塑封层240用于实现对外接导电凸块31、导电凸块30和焊线220的绝缘、密封以及保护。因此,塑封层240的材料为绝缘材料。本实施例中,塑封层240的材料包括介电材料和塑封材料中的一种或两种,介电材料可以为氧化硅、氮化硅或者其他介电材料。具体地,塑封层240的材料可以为环氧树脂。环氧树脂具有收缩率低、粘结性好、耐腐蚀性好、电性能优异及成本较低等优点,因此广泛用作电子器件和集成电路的封装材料。作为一种示例,可以采用注塑(injection molding)工艺形成塑封层240。本实施例中,塑封层240整体覆盖芯片模块,从而将第二芯片20、第一芯片101、外接导电凸块31和焊线220均掩埋在内,从而有利于提高封装可靠性。在其他实施例中,塑封层240的顶面也可以和第二芯片20背向第一芯片的表面齐平,或者,塑封层240覆盖第二芯片20的部分侧壁。Referring to FIG. 13 , in this embodiment, after the bonding wires 220 are formed, the packaging method further includes: forming a plastic sealing layer 240 , the plastic sealing layer 240 covers the upper surface of the circuit board 2 , and wraps the chip module 100 and the bonding wires 220 . The plastic sealing layer 240 fixes the chip module 100 formed by the first chip 101 and the second chip 20 on the circuit board 2 , so as to realize the package integration of the first chip 101 and the second chip 20 . Moreover, the plastic encapsulation layer 240 is used to achieve insulation, sealing and protection of the external conductive bumps 31 , the conductive bumps 30 and the bonding wires 220 . Therefore, the material of the plastic encapsulation layer 240 is an insulating material. In this embodiment, the material of the plastic encapsulation layer 240 includes one or both of a dielectric material and a plastic encapsulation material, and the dielectric material may be silicon oxide, silicon nitride or other dielectric materials. Specifically, the material of the plastic sealing layer 240 may be epoxy resin. Epoxy resin has the advantages of low shrinkage, good adhesion, good corrosion resistance, excellent electrical properties and low cost, so it is widely used as packaging material for electronic devices and integrated circuits. As an example, the molding layer 240 may be formed by an injection molding process. In this embodiment, the plastic encapsulation layer 240 covers the chip module as a whole, so as to bury the second chip 20 , the first chip 101 , the external conductive bumps 31 and the bonding wires 220 , so as to improve the packaging reliability. In other embodiments, the top surface of the plastic sealing layer 240 may also be flush with the surface of the second chip 20 facing away from the first chip, or the plastic sealing layer 240 may cover part of the sidewall of the second chip 20 .
实施例Example 33
参照图14至图18,本实施例中,第一芯片101具有暴露出器件晶圆上表面的外接电极111,通过电镀工艺在外接电极111上形成外接导电凸块31;外接导电凸块31和导电凸块30在同一电镀工艺步骤中形成;或者外接导电凸块31和导电凸块30在不同的电镀工艺步骤中形成。提供多个互连芯片5,互连芯片5中形成有互连结构,互连芯片5的下表面暴露部分互连结构51;将互连芯片5键合在器件晶圆的上表面,使互连芯片5的互连结构51与外接导电凸块31电连接。14 to 18 , in this embodiment, the first chip 101 has external electrodes 111 exposing the upper surface of the device wafer, and external conductive bumps 31 are formed on the external electrodes 111 by an electroplating process; the external conductive bumps 31 and The conductive bumps 30 are formed in the same electroplating process step; or the external conductive bumps 31 and the conductive bumps 30 are formed in different electroplating process steps. A plurality of interconnect chips 5 are provided, an interconnect structure is formed in the interconnect chip 5, and a part of the interconnect structure 51 is exposed on the lower surface of the interconnect chip 5; The interconnect structure 51 of the connecting chip 5 is electrically connected to the external conductive bump 31 .
互连芯片5用于将外接电极111的电性引出,因此,互连芯片5的至少一个面露出部分互连结构51,从而使互连结构51能够与外接电极111实现电连接。通过互连芯片5,能够将第一芯片101和第二芯片20构成的芯片模块的引出端(例如,I/O端)引至器件晶圆中具有第一焊垫110和外接电极111的一侧,与将引出端引至器件晶圆中背向第一焊垫110和外接电极111的一侧的方案相比,本实施例后续能够不对器件晶圆进行处理(例如,进行背面减薄处理或者硅通孔互连工艺),从而减小对器件晶圆的损伤,有利于提高封装可靠性,而且,使封装方法适用于各种器件晶圆的系统集成,相应提高封装兼容性。The interconnection chip 5 is used to lead out the electrical properties of the external electrodes 111 . Therefore, at least one surface of the interconnection chip 5 exposes part of the interconnection structure 51 , so that the interconnection structure 51 can be electrically connected to the external electrodes 111 . By interconnecting the chips 5 , the lead terminal (eg, I/O terminal) of the chip module composed of the first chip 101 and the second chip 20 can be led to a terminal of the device wafer having the first bonding pad 110 and the external electrode 111 . Compared with the solution of leading the lead-out end to the side of the device wafer facing away from the first pad 110 and the external electrode 111, the device wafer can be processed without subsequent processing in this embodiment (for example, a backside thinning process is performed). or through-silicon via interconnection process), thereby reducing damage to the device wafer and improving packaging reliability, and making the packaging method suitable for system integration of various device wafers, thereby improving packaging compatibility accordingly.
本实施例中,采用半导体工艺制备互连芯片5,以提高互连芯片5制备工艺的工艺兼容性,且便于通过晶圆级的制备方法形成互连芯片5,提高制备效率。具体地,提供半导体衬底;在半导体衬底中形成多个互连结构51;形成互连结构51后,对半导体衬底进行切割,获得多个分立的互连芯片5,其中,半导体衬底可以为硅衬底。In this embodiment, the semiconductor process is used to prepare the interconnect chip 5 to improve the process compatibility of the interconnect chip 5 preparation process, and to facilitate the formation of the interconnect chip 5 by a wafer-level preparation method, thereby improving the preparation efficiency. Specifically, a semiconductor substrate is provided; a plurality of interconnect structures 51 are formed in the semiconductor substrate; after the interconnect structures 51 are formed, the semiconductor substrate is cut to obtain a plurality of discrete interconnect chips 5 , wherein the semiconductor substrate Can be a silicon substrate.
作为一种示例,互连结构51贯穿互连芯片5,互连结构51的两端均被暴露,其中一端用于与外接导电凸块31实现电连接,另一端用于与其他互连结构(例如,引出端)实现电连接。具体地,互连芯片5包括相对的第一表面和第二表面,互连结构51包括插塞501、与插塞501连接的互连线(未示出)、以及焊垫502,焊垫502为互连芯片5的第一表面暴露的部分。也就是说,互连结构51包括位于第一表面的互连线和焊垫502、以及从第二表面嵌于互连芯片5中的插塞501,插塞501与互连线相连。其中,第一表面暴露部分的互连线,且互连线中被第一表面暴露的部分作为焊垫502。As an example, the interconnection structure 51 penetrates through the interconnection chip 5, and both ends of the interconnection structure 51 are exposed, wherein one end is used for electrical connection with the external conductive bumps 31, and the other end is used for connection with other interconnection structures ( For example, terminals) to achieve electrical connection. Specifically, the interconnect chip 5 includes opposing first and second surfaces, and the interconnect structure 51 includes a plug 501 , an interconnect line (not shown) connected to the plug 501 , and a pad 502 , the pad 502 The exposed portion of the first surface of the interconnect chip 5 . That is, the interconnect structure 51 includes interconnect lines and pads 502 on the first surface, and plugs 501 embedded in the interconnect chip 5 from the second surface, the plugs 501 being connected to the interconnect lines. The first surface exposes part of the interconnection lines, and the part of the interconnection lines exposed by the first surface is used as the bonding pad 502 .
互连线能够起到再布线层(redistribution layer,RDL)的作用。例如,当第一芯片101具有多个外接电极111时,能够通过互连线连接多个外接电极111,并通过一个插塞501将多个外接电极111的电性引出。插塞501用于与后续形成的引出端实现电连接。而且,插塞501具有一定的高度,从而有利于降低后续引出端的形成难度。本实施例中,互连线的材料为铝。铝工艺较为简单,且工艺成本较低,因此通过选用铝互连层,有利于降低封装工艺的工艺难度和工艺成本。在其他实施例中,互连线还可以为其他可适用的导电材料。本实施例中,插塞501的材料为铜。铜的电阻率较低,通过选取铜材料,有利于提高插塞501的导电性能;而且,插塞501形成于互连孔中,铜的填充性较好,从而提高插塞501在互连孔内的形成质量。在其他实施例中,插塞还可以为其他可适用的导电材料。在另一些实施例中,互连结构也可以仅包括贯穿互连芯片的插塞,插塞相应为互连芯片的第一表面暴露的部分。在其他实施例中,互连结构包括互连线和焊垫,焊垫为互连芯片的第一表面暴露的部分。Interconnect lines can function as a redistribution layer (RDL). For example, when the first chip 101 has a plurality of external electrodes 111 , the plurality of external electrodes 111 can be connected by interconnecting wires, and the electrical properties of the plurality of external electrodes 111 can be drawn out through a plug 501 . The plug 501 is used to realize electrical connection with the lead terminal formed later. Moreover, the plug 501 has a certain height, which is beneficial to reduce the difficulty of forming the subsequent lead-out ends. In this embodiment, the material of the interconnection wire is aluminum. The aluminum process is relatively simple and the process cost is low. Therefore, by selecting the aluminum interconnect layer, it is beneficial to reduce the process difficulty and process cost of the packaging process. In other embodiments, the interconnect lines can also be other applicable conductive materials. In this embodiment, the material of the plug 501 is copper. The resistivity of copper is low, and by selecting copper material, it is beneficial to improve the electrical conductivity of the plug 501; moreover, the plug 501 is formed in the interconnection hole, and the filling of copper is good, thereby improving the plug 501 in the interconnection hole. quality of formation within. In other embodiments, the plug may also be of other applicable conductive materials. In other embodiments, the interconnect structure may also only include plugs extending through the interconnect chip, the plugs being correspondingly exposed portions of the first surface of the interconnect chip. In other embodiments, the interconnect structure includes interconnect lines and pads, which are exposed portions of the first surface of the interconnect chip.
本实施例中,在形成互连线之后,形成插塞501。具体地,形成位于第一表面的互连线;以互连线朝向第二表面的表面作为刻蚀停止位置,从第二表面刻蚀互连芯片5,形成互连孔;填充互连孔,形成插塞501。通过先形成互连线,在形成互连孔的过程中,易于控制刻蚀停止的位置。在其他实施例中,也可以在形成插塞之后,形成互连线。In this embodiment, after the interconnection lines are formed, the plugs 501 are formed. Specifically, forming interconnect lines located on the first surface; using the surface of the interconnect lines facing the second surface as an etching stop position, etching the interconnect chip 5 from the second surface to form interconnect holes; filling the interconnect holes, Plugs 501 are formed. By forming the interconnection line first, it is easy to control the position where the etching stops during the formation of the interconnection hole. In other embodiments, the interconnect lines may also be formed after the plugs are formed.
本实施例中,互连芯片5的厚度大于或等于第二芯片20的厚度。后续将第二芯片20和互连芯片5均键合至第一芯片101的第一表面(上表面)上,且还会在器件晶圆上形成覆盖第二芯片20和互连芯片5的封装层,封装层背向器件晶圆的面露出互连芯片5的第二表面,因此,通过使互连芯片5的厚度大于或等于第二芯片20的厚度,便于封装层露出第二表面的同时,将第二芯片20掩埋在内。但是,如果互连芯片5和第二芯片20的厚度差值过大,相应会导致后续所形成封装结构的厚度过大,不利于器件小型化的发展。为此,本实施例中,互连芯片5和第二芯片20的厚度差值为0微米至100微米。In this embodiment, the thickness of the interconnect chip 5 is greater than or equal to the thickness of the second chip 20 . Subsequently, both the second chip 20 and the interconnect chip 5 are bonded to the first surface (upper surface) of the first chip 101 , and a package covering the second chip 20 and the interconnect chip 5 is also formed on the device wafer. The surface of the packaging layer facing away from the device wafer exposes the second surface of the interconnecting chip 5. Therefore, by making the thickness of the interconnecting chip 5 greater than or equal to the thickness of the second chip 20, it is convenient for the packaging layer to expose the second surface at the same time. , burying the second chip 20 therein. However, if the thickness difference between the interconnecting chip 5 and the second chip 20 is too large, the thickness of the subsequently formed package structure will be too large, which is not conducive to the development of device miniaturization. For this reason, in this embodiment, the thickness difference between the interconnecting chip 5 and the second chip 20 is 0 μm to 100 μm.
参考图16,将第二芯片20和互连芯片5键合在器件晶圆的上表面,并使第一焊垫21与导电凸块30电连接,互连芯片5的互连结构51与外接导电凸块31电连接。本实施例中,将互连芯片5键合在器件晶圆上/与外接导电凸块31电连接的步骤参照实施例1将第二芯片20键合在器件晶圆上/与导电凸块30电连接的步骤,此处不再赘述。通过将第二芯片20和互连芯片5均键合于第一芯片101上,实现第二芯片20以及互连芯片5与器件晶圆的系统集成。本实施例中,互连芯片5底部暴露出的互连结构51的面积参照第一焊垫暴露的面积;互连结构51与外接导电凸块31在垂直于器件晶圆10表面方向上重叠区域的面积大于互连结构51横截面积的一半。可选方案中,外接导电凸块31和互连结构51相互正对,即在垂直于器件晶圆表面方向上,两者最大程度上相互重叠。在可选方案中,外接导电凸块31的横截面积大于10平方微米,以保证结构强度。Referring to FIG. 16 , the second chip 20 and the interconnect chip 5 are bonded on the upper surface of the device wafer, and the first pad 21 is electrically connected to the conductive bump 30 , and the interconnect structure 51 of the interconnect chip 5 is connected to the external The conductive bumps 31 are electrically connected. In this embodiment, the steps of bonding the interconnect chip 5 on the device wafer/electrically connecting with the external conductive bumps 31 refer to Embodiment 1. Bonding the second chip 20 on the device wafer/with the conductive bumps 30 The steps of electrical connection are not repeated here. By bonding both the second chip 20 and the interconnecting chip 5 on the first chip 101 , the system integration of the second chip 20 and the interconnecting chip 5 and the device wafer is realized. In this embodiment, the area of the interconnect structure 51 exposed at the bottom of the interconnect chip 5 refers to the exposed area of the first pad; The area is greater than half of the cross-sectional area of the interconnect structure 51 . In an alternative solution, the external conductive bumps 31 and the interconnection structure 51 face each other, that is, in the direction perpendicular to the surface of the device wafer, they overlap each other to the greatest extent. In an optional solution, the cross-sectional area of the external conductive bump 31 is greater than 10 square micrometers to ensure structural strength.
参考图17,本实施例中,键合第二芯片20和互连芯片5后,封装方法还包括:形成塑封层600,覆盖器件晶圆的上表面,并包裹第二芯片20和互连芯片5,塑封层600露出互连芯片5的上表面和互连结构51;在塑封层600的顶面形成与互连结构51电连接的引出端。封装层600的材料和形成方法参照实施例1中封装层50的材料和形成方法。封装层600覆盖器件晶圆的上表面并包裹第二芯片20和互连芯片5,也就是说,封装层600填充于芯片之间的间隙,塑封层实现对第二芯片20和互连芯片5的密封,从而更好地隔绝空气和水分,进而提高了封装效果。本实施例中,形成塑封层600后,对封装层600进行平坦化处理,直至露出互连芯片顶面的互连结构51。塑封层600为平坦面,以便于后续引出端的形成。在其他实施例中,也可以在形成塑封层600后,刻蚀互连芯片5上方的塑封层600,从而露出互连芯片5的互连结构51。Referring to FIG. 17 , in this embodiment, after bonding the second chip 20 and the interconnect chip 5 , the packaging method further includes: forming a plastic sealing layer 600 to cover the upper surface of the device wafer and wrap the second chip 20 and the interconnect chip 5 5. The top surface of the interconnect chip 5 and the interconnect structure 51 are exposed from the plastic encapsulation layer 600 ; a lead terminal electrically connected to the interconnect structure 51 is formed on the top surface of the plastic encapsulation layer 600 . For the material and formation method of the encapsulation layer 600, refer to the material and formation method of the encapsulation layer 50 in Embodiment 1. The encapsulation layer 600 covers the upper surface of the device wafer and wraps the second chip 20 and the interconnect chip 5 , that is, the encapsulation layer 600 fills the gap between the chips, and the plastic encapsulation layer realizes the protection of the second chip 20 and the interconnect chip 5 The sealing of the seal, so as to better isolate the air and moisture, thereby improving the encapsulation effect. In this embodiment, after the molding layer 600 is formed, the packaging layer 600 is planarized until the interconnect structure 51 on the top surface of the interconnect chip is exposed. The plastic encapsulation layer 600 is a flat surface, so as to facilitate the formation of the subsequent lead-out ends. In other embodiments, after the molding layer 600 is formed, the molding layer 600 above the interconnect chip 5 may be etched, thereby exposing the interconnect structure 51 of the interconnect chip 5 .
第二芯片20和相对应的第一芯片101构成芯片模块,引出端用于作为芯片模块的输入/输出端,且后续能够通过引出端将芯片模块键合至其他基板(例如电路板)上。本实施例中,形成引出端的工艺包括凸块工艺,与打线(wirebond)工艺相比,本实施例能够实现晶圆级封装。具体地,引出端包括与互连结构51相连的重布线层610以及位于重布线层610上的焊球62。具体地,形成引出端的步骤包括:在塑封层600的顶面上形成与互连结构51的顶端(即被第二表面露出的一端)相连的重布线层610。重布线层610用于对互连结构51的顶端进行再分布。本实施例中,重布线层610的材料为铝。在其他实施例中,重布线层还可以为其他可适用的导电材料。作为一种示例,可以通过相应材料的沉积和刻蚀,形成重布线层610。其中,第二芯片20被塑封层600所覆盖,从而实现重布线层610与第二芯片20的隔离,相应的,重布线层610可以延伸至第二芯片20上方的塑封层600上,以便于根据实际封装需求,对互连结构51进行再分布。形成覆盖重布线层610的绝缘层70,绝缘层70中形成有露出部分的重布线层610的开口。开口用于为焊球62的形成提供空间位置。绝缘层70用于对重布线层610之间进行绝缘,且还用于为焊球的形成提供工艺平台,此外,绝缘层70还能够起到防水、防氧化和防污染等作用。本实施例中,绝缘层70的材料为光敏材料。相应的,可以通过光刻工艺对绝缘层70进行图形化,有利于简化工艺步骤、降低工艺成本。具体地,绝缘层70的材料可以为光敏聚酰亚胺(polyimide,PI)、光敏苯并环丁烯(benzocyclobutene,BCB)或光敏聚苯并恶唑(polybenzoxazole,PBO)。本实施例中,通过涂布的方式,在塑封层600上形成覆盖重布线层610的绝缘层70。相应的,采用光刻工艺图形化绝缘层70,露出部分的重布线层610。在开口中形成焊球62,焊球62和重布线层610构成引出端。本实施例中,采用凸块(Bumping)工艺形成焊球62。通过选用凸块工艺,有利于降低导电凸块62的厚度,从而减小封装结构的厚度。本实施例中,焊球62的材料为铜。需要说明的是,在其他实施例中,也可以采用植球工艺形成引出端。还需要说明的是,在其他实施例中,当互连结构仅包括互连线和焊垫时,形成塑封层、并露出互连芯片后,形成引出端之前,晶圆级系统封装方法还包括:形成从互连芯片的上表面嵌于互连芯片中的插塞,插塞与互连线相连。The second chip 20 and the corresponding first chip 101 constitute a chip module, the terminal is used as the input/output terminal of the chip module, and the chip module can be subsequently bonded to other substrates (eg circuit boards) through the terminal. In this embodiment, the process of forming the lead terminal includes a bump process. Compared with a wirebond process, this embodiment can implement wafer level packaging. Specifically, the lead terminal includes a redistribution layer 610 connected to the interconnect structure 51 and solder balls 62 located on the redistribution layer 610 . Specifically, the step of forming the lead terminal includes: forming a redistribution layer 610 on the top surface of the plastic sealing layer 600 connected to the top end of the interconnect structure 51 (ie, the end exposed by the second surface). The redistribution layer 610 is used to redistribute the top of the interconnect structure 51 . In this embodiment, the material of the redistribution layer 610 is aluminum. In other embodiments, the redistribution layer may also be other applicable conductive materials. As an example, the redistribution layer 610 may be formed by deposition and etching of corresponding materials. Wherein, the second chip 20 is covered by the plastic sealing layer 600, so as to realize the isolation of the redistribution layer 610 from the second chip 20. Correspondingly, the redistribution layer 610 may extend to the plastic sealing layer 600 above the second chip 20, so as to facilitate the The interconnect structure 51 is redistributed according to actual packaging requirements. An insulating layer 70 covering the redistribution layer 610 is formed, and an opening that exposes a portion of the redistribution layer 610 is formed in the insulating layer 70 . The openings are used to provide spatial locations for the formation of solder balls 62 . The insulating layer 70 is used to insulate between the redistribution layers 610, and is also used to provide a process platform for the formation of solder balls. In addition, the insulating layer 70 can also play the roles of waterproof, anti-oxidation, and anti-pollution. In this embodiment, the material of the insulating layer 70 is a photosensitive material. Correspondingly, the insulating layer 70 can be patterned by a photolithography process, which is beneficial to simplify the process steps and reduce the process cost. Specifically, the material of the insulating layer 70 may be photosensitive polyimide (PI), photosensitive benzocyclobutene (BCB) or photosensitive polybenzoxazole (PBO). In this embodiment, the insulating layer 70 covering the redistribution layer 610 is formed on the plastic sealing layer 600 by coating. Correspondingly, the insulating layer 70 is patterned by a photolithography process to expose part of the redistribution layer 610 . Solder balls 62 are formed in the openings, and the solder balls 62 and the redistribution layer 610 constitute terminals. In this embodiment, the solder balls 62 are formed by a bumping process. By selecting the bump process, it is beneficial to reduce the thickness of the conductive bumps 62, thereby reducing the thickness of the package structure. In this embodiment, the material of the solder balls 62 is copper. It should be noted that, in other embodiments, the lead-out terminal may also be formed by a ball-mounting process. It should also be noted that, in other embodiments, when the interconnect structure only includes interconnect lines and pads, after forming the plastic encapsulation layer and exposing the interconnect chips, and before forming the lead terminals, the wafer-level system packaging method further includes: : A plug embedded in the interconnect chip is formed from the upper surface of the interconnect chip, and the plug is connected to the interconnect line.
参考图18,在一个实施例中,将第二芯片和互连芯片键合在器件晶圆上之后(图16之后),方法还包括:提供封盖基板80,封盖基板80的第一表面包含容置空腔81,键合封盖基板80的第一表面与器件晶圆,并使容置空腔81至少遮盖第二芯片20的一部分。可选实施例中,封盖基板80键合在器件晶圆上后,形成的空腔为密封的空腔,可以防止外界环境对空腔内器件的污染(水分、灰尘、油脂等)。在一个实施例中,互连芯片的上表面暴露部分互连结构,方法还包括:形成贯穿封盖基板80的电性引出结构82,电性引出结构82的一端连接于互连芯片的上表面暴露出的互连结构,另一端位于封盖基板80的上表面。在另一个实施例中,第一芯片与第一焊垫相对的一侧具有第三焊垫,在器件晶圆的背面形成硅通孔结构,硅通孔结构连接于第三焊垫。Referring to FIG. 18 , in one embodiment, after bonding the second die and the interconnect die on the device wafer (after FIG. 16 ), the method further includes: providing a capping substrate 80 that caps the first surface of the substrate 80 The accommodating cavity 81 is included, and the first surface of the capping substrate 80 and the device wafer are bonded together, and the accommodating cavity 81 covers at least a part of the second chip 20 . In an optional embodiment, after the cover substrate 80 is bonded on the device wafer, the formed cavity is a sealed cavity, which can prevent the contamination (moisture, dust, grease, etc.) of the device in the cavity from the external environment. In one embodiment, the upper surface of the interconnect chip exposes part of the interconnect structure, and the method further includes: forming an electrical lead-out structure 82 penetrating the capping substrate 80 , and one end of the electrical lead-out structure 82 is connected to the upper surface of the interconnect chip The other end of the exposed interconnect structure is located on the upper surface of the capping substrate 80 . In another embodiment, a side of the first chip opposite to the first bonding pad has a third bonding pad, a TSV structure is formed on the backside of the device wafer, and the TSV structure is connected to the third bonding pad.
实施例Example 44
参考图19至图21,本实施例与实施例1-3的区别在于,第二芯片20下方需要有空腔,将第二芯片键合在器件晶圆上包括:在第二芯片20的下表面或器件晶圆的上表面形成粘合层,并在粘合层中形成开口41;通过粘合层将第二芯片20键合在器件晶圆上,第二芯片20遮盖开口41形成空腔,空腔作为第二芯片20的工作腔。本实施例中,粘合层为可光刻的键合材料40。开口41的深度等于或小于可光刻的键合材料40的厚度。形成开口41的区域对应第二芯片20的工作区域,后期工艺键合第二芯片后,形成空腔,此空腔作为第二芯片的工作腔(如隔热空腔)。当第二芯片20的下方需要形成空腔时,通过在可光刻的键合层中形成开口,可以节省工艺步骤(否则需要在制造第二芯片时形成空腔)。本实施例中,开口41用于隔热,因此对于开口41的深度并不做限定,开口41可以贯穿可光刻的键合材料40(开口深度与可光刻的键合材料40厚度相同)也可以只贯穿可光刻的键合材料40的一部分厚度(开口深度小于可光刻的键合材料40的厚度)。在其他实施例中,如果需要对开口的深度进行限定,则在形成可光刻的键合材料时,形成合适的厚度。对于空腔型体声波谐振器(fbar)和表声波谐振器(SAW)在主体谐振区下方设置有下空腔,上方形成有封盖,封盖和主体谐振区之间形成了上空腔,本实施例中的空腔可以即可以作为上空腔也可以作为下空腔。对于牢固安置型体声波谐振器(SMR),其上方也封盖之间形成有上空腔,本实施例中的空腔可以作为上空腔。对于红外热电堆传感器,其功能区下方设置有用于隔热的隔热空腔,本实施例形成的空腔可以作为隔热空腔。对于超声波传感器,膜状的振动部悬空设置,上表面用于接收超声波,下表面遮盖空腔,本实施例的空腔可以作为超声波传感器的下空腔。19 to 21 , the difference between this embodiment and Embodiments 1-3 is that a cavity is required under the second chip 20 , and bonding the second chip on the device wafer includes: under the second chip 20 , a cavity is required. An adhesive layer is formed on the surface or the upper surface of the device wafer, and an opening 41 is formed in the adhesive layer; the second chip 20 is bonded on the device wafer through the adhesive layer, and the second chip 20 covers the opening 41 to form a cavity , the cavity is used as the working cavity of the second chip 20 . In this embodiment, the adhesive layer is a photolithographic bonding material 40 . The depth of the opening 41 is equal to or less than the thickness of the photolithographic bonding material 40 . The area where the opening 41 is formed corresponds to the working area of the second chip 20 . After the second chip is bonded in the later process, a cavity is formed, and the cavity is used as a working cavity of the second chip (eg, a thermal insulation cavity). When a cavity needs to be formed under the second chip 20, by forming an opening in the photolithographic bonding layer, process steps can be saved (otherwise the cavity would need to be formed when the second chip is fabricated). In this embodiment, the opening 41 is used for heat insulation, so the depth of the opening 41 is not limited, and the opening 41 may penetrate through the photolithographic bonding material 40 (the depth of the opening is the same as the thickness of the photolithographic bonding material 40 ) It is also possible to penetrate only a part of the thickness of the photolithographic bonding material 40 (the depth of the opening is smaller than the thickness of the photolithographic bonding material 40 ). In other embodiments, if the depth of the opening needs to be defined, a suitable thickness is formed when forming the photolithographic bonding material. For cavity-type bulk acoustic wave resonators (fbar) and surface acoustic wave resonators (SAW), a lower cavity is provided below the main resonance area, a cover is formed above, and an upper cavity is formed between the cover and the main resonance area. The cavity in the embodiment can be either an upper cavity or a lower cavity. For a solidly mounted bulk acoustic resonator (SMR), an upper cavity is formed above and between the covers, and the cavity in this embodiment can be used as the upper cavity. For the infrared thermopile sensor, a thermal insulation cavity for thermal insulation is provided below the functional area, and the cavity formed in this embodiment can be used as a thermal insulation cavity. For the ultrasonic sensor, the membrane-shaped vibrating part is suspended in the air, the upper surface is used to receive ultrasonic waves, and the lower surface covers the cavity. The cavity in this embodiment can be used as the lower cavity of the ultrasonic sensor.
实施例Example 55
本实施例提供了一种晶圆级系统封装结构,参考图20,封装结构包括:器件晶圆,器件晶圆的上表面具有第一焊垫110,第一焊垫110上电连接有导电凸块30,导电凸块30通过电镀工艺形成;器件晶圆的上表面设有可光刻的键合材料40,可光刻的键合材料40中具有开口41;第二芯片20,第二芯片20通过可光刻的键合材料40粘合在器件晶圆上并遮盖开口41形成空腔,空腔作为第二芯片20的工作腔;第二芯片20的下表面具有第二焊垫21,第二焊垫21与导电凸块30电连接。This embodiment provides a wafer-level system packaging structure. Referring to FIG. 20 , the packaging structure includes: a device wafer, the upper surface of the device wafer has first pads 110 , and conductive bumps are electrically connected to the first pads 110 block 30, the conductive bump 30 is formed by electroplating process; the upper surface of the device wafer is provided with a photolithographic bonding material 40, and the photolithographic bonding material 40 has an opening 41; the second chip 20, the second chip 20 is bonded on the device wafer by a photolithographic bonding material 40 and covers the opening 41 to form a cavity, and the cavity is used as a working cavity of the second chip 20; the lower surface of the second chip 20 has a second pad 21, The second pads 21 are electrically connected to the conductive bumps 30 .
本实施例中,器件晶圆的上表面还具有外接电极111,外接电极111上电连接有外接导电凸块31,外接导电凸块31通过电镀工艺形成;封装结构包括互连芯片5,互连芯片5中形成有互连结构51,互连芯片5的下表面暴露部分互连结构51;互连芯片5通过可光刻的键合材料40粘合在器件晶圆上;互连结构51与外接导电凸块31电连接。In this embodiment, the upper surface of the device wafer also has external electrodes 111, and the external conductive bumps 31 are electrically connected to the external electrodes 111, and the external conductive bumps 31 are formed by an electroplating process; the package structure includes an interconnect chip 5, which is interconnected An interconnection structure 51 is formed in the chip 5, and a part of the interconnection structure 51 is exposed on the lower surface of the interconnection chip 5; the interconnection chip 5 is bonded on the device wafer by a photolithographic bonding material 40; The external conductive bumps 31 are electrically connected.
需要说明的是,本说明书中的各个实施例均采用相关的方式描述,各个实施例之间相同相似的部分互相参见即可,每个实施例重点说明的都是与其他实施例的不同之处。It should be noted that each embodiment in this specification is described in a related manner, and the same and similar parts between the various embodiments can be referred to each other, and each embodiment focuses on the differences from other embodiments. .
上述描述仅是对本发明较佳实施例的描述,并非对本发明范围的任何限定,本发明领域的普通技术人员根据上述揭示内容做的任何变更、修饰,均属于权利要求书的保护范围。The above description is only a description of the preferred embodiments of the present invention, and is not intended to limit the scope of the present invention. Any changes and modifications made by those of ordinary skill in the field of the present invention based on the above disclosure all belong to the protection scope of the claims.

Claims (21)

  1. 一种晶圆级系统封装方法,其特征在于,包括:提供器件晶圆,所述器件晶圆包括多个第一芯片,所述第一芯片具有暴露出所述器件晶圆上表面的第一焊垫;通过电镀工艺在所述第一焊垫上形成导电凸块;形成所述导电凸块后,提供至少一个第二芯片,所述第二芯片的下表面具有第二焊垫;将所述第二芯片键合在所述器件晶圆上,并使所述第二芯片的第二焊垫与所述导电凸块电连接。A wafer-level system packaging method, comprising: providing a device wafer, the device wafer including a plurality of first chips, the first chips having first chips exposing the upper surface of the device wafer bonding pads; forming conductive bumps on the first bonding pads through an electroplating process; after forming the conductive bumps, at least one second chip is provided, the lower surface of the second chip has a second bonding pad; The second chip is bonded on the device wafer, and the second pads of the second chip are electrically connected to the conductive bumps.
  2. 根据权利要求1所述的晶圆级系统封装方法,其特征在于,所述第一芯片还具有暴露出所述器件晶圆上表面的外接电极,所述方法还包括:通过电镀工艺在所述外接电极上形成外接导电凸块;所述外接导电凸块和所述导电凸块在同一电镀工艺步骤中形成;或者所述外接导电凸块和所述导电凸块在不同的电镀工艺步骤中形成。The wafer-level system packaging method according to claim 1, wherein the first chip further has an external electrode exposing the upper surface of the device wafer, and the method further comprises: performing an electroplating process on the device wafer. External conductive bumps are formed on the external electrodes; the external conductive bumps and the conductive bumps are formed in the same electroplating process step; or the external conductive bumps and the conductive bumps are formed in different electroplating process steps .
  3. 根据权利要求2所述的晶圆级系统封装方法,其特征在于,所述方法还包括:提供多个互连芯片,所述互连芯片中形成有互连结构,所述互连芯片的下表面暴露部分所述互连结构;将所述互连芯片键合在所述器件晶圆的上表面,使所述互连芯片的互连结构与所述外接导电凸块电连接。The wafer-level system packaging method according to claim 2, wherein the method further comprises: providing a plurality of interconnect chips, wherein an interconnect structure is formed in the interconnect chips, and a lower part of the interconnect chips is formed. Part of the interconnect structure is exposed on the surface; the interconnect chip is bonded on the upper surface of the device wafer, so that the interconnect structure of the interconnect chip is electrically connected to the external conductive bump.
  4. 根据权利要求1-3任一项所述的晶圆级系统封装方法,其特征在于,所述将所述第二芯片键合在所述器件晶圆上包括:在所述第二芯片的下表面或所述器件晶圆的上表面形成粘合层,并在所述粘合层中形成开口;通过所述粘合层将所述第二芯片键合在所述器件晶圆上,所述第二芯片遮盖所述开口形成空腔,所述空腔作为所述第二芯片的工作腔。The wafer-level system packaging method according to any one of claims 1-3, wherein the bonding the second chip on the device wafer comprises: under the second chip An adhesive layer is formed on the surface or the upper surface of the device wafer, and an opening is formed in the adhesive layer; the second chip is bonded on the device wafer through the adhesive layer, and the The second chip covers the opening to form a cavity, and the cavity serves as a working cavity of the second chip.
  5. 如权利要求1所述的晶圆级封装结构的制造方法,其特征在于,将所述第二芯片键合在所述器件晶圆上包括:通过可光刻的键合材料将所述第二芯片粘合在所述器件晶圆上;所述可光刻的键合材料包括:膜状干膜或液态干膜。The method for manufacturing a wafer-level package structure according to claim 1, wherein bonding the second chip on the device wafer comprises: bonding the second chip with a photolithographic bonding material. The chip is bonded on the device wafer; the photolithographic bonding material includes: a film-like dry film or a liquid dry film.
  6. 根据权利要求1所述的晶圆级封装方法,其特征在于,所述电镀工艺包括:化学镀钯浸金,其中化学镍的时间为30-50分钟,化学金的时间为4-40分钟,化学钯的时间为7-32分钟;或,所述电镀工艺包括化学镍金,其中化学镍的时间为30-50分钟,化学金的时间为4-40分钟。The wafer-level packaging method according to claim 1, wherein the electroplating process comprises: electroless palladium immersion gold, wherein the time for chemical nickel is 30-50 minutes, and the time for chemical gold is 4-40 minutes, The time for chemical palladium is 7-32 minutes; or, the electroplating process includes chemical nickel-gold, wherein the time for chemical nickel is 30-50 minutes, and the time for chemical gold is 4-40 minutes.
  7. 根据权利要求1所述的晶圆级封装方法,其特征在于,所述第一焊垫或所述第二焊垫的面积为5-200平方微米;和/或,所述导电凸块的横截面积大于10平方微米;和/或所述导电凸块的高度为5-200微米。The wafer level packaging method according to claim 1, wherein the area of the first bonding pad or the second bonding pad is 5-200 square micrometers; and/or, the transverse direction of the conductive bump is The cross-sectional area is greater than 10 square micrometers; and/or the height of the conductive bumps is 5-200 micrometers.
  8. 根据权利要求1所述的晶圆级封装方法,其特征在于,所述第二焊垫和所述导电凸块的材料为金属,通过热压键合工艺将所述第二焊垫与所述导电凸块电连接;每个所述第二焊垫与每个所述导电凸块逐一进行热压键合;或者多个所述第二焊垫与多个所述导电凸块同时进行热压键合。The wafer-level packaging method according to claim 1, wherein the material of the second pad and the conductive bump is metal, and the second pad and the conductive bump are bonded by a thermocompression bonding process The conductive bumps are electrically connected; each of the second bonding pads and each of the conductive bumps are thermocompressed one by one; or a plurality of the second bonding pads and a plurality of the conductive bumps are thermocompressed at the same time Bond.
  9. 根据权利要求1所述的晶圆级封装方法,其特征在于,所述第二焊垫与所述导电凸块的材料组合包括金-金、铜-铜、铜-锡或金-锡。The wafer level packaging method according to claim 1, wherein the material combination of the second pad and the conductive bump comprises gold-gold, copper-copper, copper-tin or gold-tin.
  10. 根据权利要求1所述的晶圆级封装方法,其特征在于,所述第一焊垫与所述第二焊垫在垂直于所述器件晶圆表面方向上的投影具有重叠区域,且所述重叠区域的面积大于所述第一焊垫或所述第二焊垫面积的一半。The wafer-level packaging method according to claim 1, wherein the projection of the first bonding pad and the second bonding pad in a direction perpendicular to the surface of the device wafer has an overlapping area, and the The area of the overlapping area is greater than half of the area of the first pad or the second pad.
  11. 根据权利要求5所述的晶圆级封装方法,其特征在于,所述可光刻的键合材料在所述器件晶圆表面方向上的投影以所述第二芯片的中心为中心,并至少覆盖所述芯片面积的10%。The wafer-level packaging method according to claim 5, wherein the projection of the photolithographic bonding material on the surface of the device wafer is centered on the center of the second chip, and at least Covers 10% of the chip area.
  12. 根据权利要求3所述的晶圆级系统封装方法,其特征在于, 将所述第二芯片和所述互连芯片键合在所述器件晶圆上之后,所述方法还包括:提供封盖基板,所述封盖基板的第一表面包含容置空腔,键合所述封盖基板的第一表面与所述器件晶圆,并使所述容置空腔至少遮盖一个所述第二芯片的一部分;所述互连芯片的上表面暴露部分所述互连结构,形成贯穿所述封盖基板的电性引出结构,所述电性引出结构的一端连接于所述互连芯片的上表面暴露出的所述互连结构,另一端位于所述封盖基板的上表面;或者,所述第一芯片与所述第一焊垫相对的一侧具有第三焊垫,在所述器件晶圆的背面形成硅通孔结构,所述硅通孔结构连接于所述第三焊垫。The wafer level system packaging method according to claim 3, wherein after bonding the second chip and the interconnect chip on the device wafer, the method further comprises: providing a cover a substrate, the first surface of the capping substrate includes an accommodating cavity, the first surface of the capping substrate and the device wafer are bonded, and the accommodating cavity covers at least one of the second A part of the chip; the upper surface of the interconnect chip exposes a part of the interconnect structure to form an electrical lead-out structure penetrating the cover substrate, and one end of the electrical lead-out structure is connected to the top of the interconnect chip the interconnect structure whose surface is exposed, and the other end is located on the upper surface of the cover substrate; or, the first chip has a third pad on the side opposite to the first pad, and the device A through-silicon via structure is formed on the backside of the wafer, and the through-silicon via structure is connected to the third pad.
  13. 根据权利要求3所述的晶圆级系统封装方法,其特征在于,键合所述第二芯片和所述互连芯片后还包括:形成塑封层,覆盖所述器件晶圆的上表面,并包裹所述第二芯片和所述互连芯片,所述塑封层露出所述互连芯片上表面的所述互连结构;在所述塑封层的顶面形成与所述互连结构电连接的引出端。The wafer-level system packaging method according to claim 3, wherein after bonding the second chip and the interconnecting chip, the method further comprises: forming a plastic sealing layer to cover the upper surface of the device wafer, and wrapping the second chip and the interconnecting chip, the plastic sealing layer exposes the interconnecting structure on the upper surface of the interconnecting chip; and forming an electrical connection with the interconnecting structure on the top surface of the plastic sealing layer outgoing terminal.
  14. 根据权利要求13所述的晶圆级系统封装方法,其特征在于,形成所述引出端包括:在所述塑封层的顶面形成重布线层,所述重布线层电连接所述互连结构,在所述重布线层和所述塑封层上形成绝缘层,以及电连接所述重布线层并突出于所述绝缘层表面的焊球。The wafer level system packaging method according to claim 13, wherein forming the lead terminal comprises: forming a redistribution layer on the top surface of the plastic sealing layer, the redistribution layer electrically connecting the interconnect structure , forming an insulating layer on the redistribution layer and the plastic sealing layer, and electrically connecting the redistribution layer and the solder balls protruding from the surface of the insulating layer.
  15. 根据权利要求1所述的晶圆级封装方法,其特征在于,所述第二芯片具有第二焊垫的面为正面,与正面相背的面为背面,所述第二芯片键合于所述器件晶圆上之前,所述第二芯片通过键合层或静电键合临时键合于所述基板上;将所述第二芯片键合在所述基板上后,解键合所述基板。The wafer-level packaging method according to claim 1, wherein the surface of the second chip with the second pads is the front side, the side opposite to the front side is the back side, and the second chip is bonded to the Before placing the second chip on the device wafer, the second chip is temporarily bonded to the substrate through a bonding layer or electrostatic bonding; after the second chip is bonded to the substrate, the substrate is debonded.
  16. 根据权利要求5所述的晶圆级系统封装方法,其特征在于,所述方法还包括:图形化所述可光刻的键合材料,在预形成所述导电凸块的区域外周形成围墙结构。The wafer-level system packaging method according to claim 5, wherein the method further comprises: patterning the photolithographic bonding material, and forming a surrounding wall structure around the area where the conductive bumps are pre-formed .
  17. 根据权利要求2所述的晶圆级系统封装方法,其特征在于,键合所述第二芯片后,所述方法还包括:切割所述器件晶圆形成芯片模块,所述芯片模块包括键合在一起的所述第二芯片和所述第一芯片。The wafer-level system packaging method according to claim 2, wherein after bonding the second chip, the method further comprises: cutting the device wafer to form a chip module, the chip module comprising bonding the second chip and the first chip together.
  18. 根据权利要求17所述的晶圆级系统封装方法,其特征在于,切割所述器件晶圆后,所述方法还包括:将所述芯片模块靠近所述第一芯片的一面粘接至线路板上,所述线路板中具有电路结构;利用打线工艺形成焊线,所述焊线电连接所述外接导电凸块与所述线路板中的电路结构;所述焊线的最高处和所述第二芯片的上表面齐平,或者,所述焊线的最高处低于所述第二芯片的上表面。The wafer-level system packaging method according to claim 17, wherein after cutting the device wafer, the method further comprises: adhering the side of the chip module close to the first chip to a circuit board Above, the circuit board has a circuit structure; the bonding wire is formed by a wire bonding process, and the bonding wire electrically connects the external conductive bump and the circuit structure in the circuit board; the highest part of the bonding wire and all The upper surface of the second chip is flush, or the highest point of the bonding wire is lower than the upper surface of the second chip.
  19. 根据权利要求3所述的晶圆级系统封装方法,其特征在于,所述互连结构包括插塞,所述插塞为所述互连芯片的下表面暴露的部分;或者,所述互连结构包括插塞、与所述插塞连接的互连线、以及焊垫,所述焊垫为所述互连芯片的下表面暴露的部分;或者,所述互连结构包括互连线和焊垫,所述焊垫为所述互连芯片的下表面暴露的部分;将所述互连芯片键合在所述第一芯片的上表面后,所述方法还包括:从所述互连芯片的上表面侧形成电性引出结构,所述电性引出结构与所述互连结构电连接。The wafer level system packaging method according to claim 3, wherein the interconnect structure comprises a plug, and the plug is an exposed part of the lower surface of the interconnect chip; or, the interconnect The structure includes a plug, an interconnection line connected to the plug, and a bonding pad, the bonding pad being an exposed part of the lower surface of the interconnection chip; or, the interconnection structure includes an interconnection line and a bonding pad and the bonding pad is the exposed part of the lower surface of the interconnect chip; after bonding the interconnect chip on the upper surface of the first chip, the method further includes: removing the interconnect chip from the interconnect chip An electrical lead-out structure is formed on the upper surface side of the lead-out structure, and the electrical lead-out structure is electrically connected with the interconnection structure.
  20. 一种晶圆级系统封装结构,其特征在于,包括:器件晶圆,所述器件晶圆的上表面具有第一焊垫,所述第一焊垫上电连接有导电凸块,所述导电凸块通过电镀工艺形成;所述器件晶圆的上表面设有可光刻的键合材料,所述可光刻的键合材料中具有开口;第二芯片,所述第二芯片通过所述可光刻的键合材料粘合在所述器件晶圆上并遮盖所述开口形成空腔,所述空腔作为所述第二芯片的工作腔;所述第二芯片的下表面具有第二焊垫,所述第二焊垫与所述导电凸块电连接。A wafer-level system packaging structure, comprising: a device wafer, the upper surface of the device wafer has a first pad, the first pad is electrically connected with a conductive bump, the conductive bump The block is formed by an electroplating process; the upper surface of the device wafer is provided with a photolithographic bonding material, and the photolithographic bonding material has an opening; a second chip, the second chip passes through the The photolithographic bonding material is bonded on the device wafer and covers the opening to form a cavity, and the cavity is used as the working cavity of the second chip; the lower surface of the second chip has a second solder joint. pads, and the second pads are electrically connected to the conductive bumps.
  21. 根据权利要求20所述的晶圆级系统封装结构,其特征在于,所述器件晶圆的上表面还具有外接电极,所述外接电极上电连接有外接导电凸块,所述外接导电凸块通过电镀工艺形成;所述封装结构包括互连芯片,所述互连芯片中形成有互连结构,所述互连芯片的下表面暴露部分所述互连结构;所述互连芯片通过所述可光刻的键合材料粘合在所述器件晶圆上;所述互连结构与所述外接导电凸块电连接。The wafer-level system packaging structure according to claim 20, wherein the device wafer further has external electrodes on the upper surface, and external conductive bumps are electrically connected to the external electrodes, and the external conductive bumps are electrically connected to the external electrodes. It is formed by an electroplating process; the package structure includes an interconnection chip, an interconnection structure is formed in the interconnection chip, and a lower surface of the interconnection chip exposes a part of the interconnection structure; the interconnection chip passes through the interconnection chip. A photolithographic bonding material is adhered on the device wafer; the interconnection structure is electrically connected with the external conductive bumps.
PCT/CN2022/072997 2021-01-29 2022-01-20 Wafer-level package system-in-package structure and packaging method WO2022161247A1 (en)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
CN202110129132.4A CN114823376A (en) 2021-01-29 2021-01-29 Wafer-level system packaging structure and packaging method thereof
CN202110130772.7A CN114823395A (en) 2021-01-29 2021-01-29 Wafer level system packaging method
CN202110130766.1A CN114823392A (en) 2021-01-29 2021-01-29 Wafer level system packaging structure and packaging method thereof
CN202110130772.7 2021-01-29
CN202110130750.0 2021-01-29
CN202110130766.1 2021-01-29
CN202110130750.0A CN114823388A (en) 2021-01-29 2021-01-29 Wafer level system packaging method
CN202110129132.4 2021-01-29
CN202110130752.X 2021-01-29
CN202110130752.XA CN114823389A (en) 2021-01-29 2021-01-29 Wafer level system packaging method

Publications (1)

Publication Number Publication Date
WO2022161247A1 true WO2022161247A1 (en) 2022-08-04

Family

ID=82654165

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2022/072997 WO2022161247A1 (en) 2021-01-29 2022-01-20 Wafer-level package system-in-package structure and packaging method

Country Status (1)

Country Link
WO (1) WO2022161247A1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101252092A (en) * 2008-03-12 2008-08-27 日月光半导体制造股份有限公司 Multi-chip packaging structure and making method thereof
CN101625986A (en) * 2008-07-08 2010-01-13 南茂科技股份有限公司 Fabricating process of a chip package structure
JP2012117113A (en) * 2010-12-01 2012-06-21 Metalor Technologies (Japan) Corp Non-cyanide gold electroplating bath for gold bump formation and method for forming gold bump
CN106098675A (en) * 2015-04-27 2016-11-09 南茂科技股份有限公司 Multi-chip packaging structure, wafer-level chip packaging structure and manufacturing process thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101252092A (en) * 2008-03-12 2008-08-27 日月光半导体制造股份有限公司 Multi-chip packaging structure and making method thereof
CN101625986A (en) * 2008-07-08 2010-01-13 南茂科技股份有限公司 Fabricating process of a chip package structure
JP2012117113A (en) * 2010-12-01 2012-06-21 Metalor Technologies (Japan) Corp Non-cyanide gold electroplating bath for gold bump formation and method for forming gold bump
CN106098675A (en) * 2015-04-27 2016-11-09 南茂科技股份有限公司 Multi-chip packaging structure, wafer-level chip packaging structure and manufacturing process thereof

Similar Documents

Publication Publication Date Title
CN110660675B (en) Semiconductor device and forming method
WO2019179184A1 (en) Package structure and manufacturing method therefor, and electronic device
KR20200037051A (en) Integrated circuit package and method
US10930619B2 (en) Multi-wafer bonding structure and bonding method
TW202013660A (en) Semiconductor device and method of forming the same
KR20210018775A (en) Semiconductor devices and methods of manufacture
KR20210122049A (en) Semiconductor device and method
TWI803310B (en) Integrated circuit device and methods of forming the same
TWI792346B (en) Semiconductor device and manufacturing method thereof
CN114823357A (en) Wafer level packaging method and packaging structure
TWI775443B (en) Semiconductor packaging and methods of forming same
TWI790702B (en) Semiconductor package and method of manufacturing semiconductor package
US11856800B2 (en) Semiconductor devices with system on chip devices
WO2022161464A1 (en) Wafer-level system packaging method and wafer-level system packaging structure
KR20220140411A (en) Molded dies in semicondcutor packages and methods of forming same
WO2022161247A1 (en) Wafer-level package system-in-package structure and packaging method
TW202238864A (en) Integrated circuit package and manufacturing method thereof
CN114823390A (en) Wafer level system packaging method and packaging structure
CN114823356A (en) Wafer level system packaging method and wafer level system packaging structure
WO2022161249A1 (en) Wafer-level packaging structure and manufacturing method therefor
TW202121613A (en) Chip package structure and manufacturing method thereof
CN114823383A (en) Wafer level packaging method
CN114823376A (en) Wafer-level system packaging structure and packaging method thereof
CN114823380A (en) Wafer level packaging method
US20230314702A1 (en) Integrated circuit package and method of forming same

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 22745122

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 22745122

Country of ref document: EP

Kind code of ref document: A1