WO2022112037A1 - Mems array interconnection design - Google Patents

Mems array interconnection design Download PDF

Info

Publication number
WO2022112037A1
WO2022112037A1 PCT/EP2021/081689 EP2021081689W WO2022112037A1 WO 2022112037 A1 WO2022112037 A1 WO 2022112037A1 EP 2021081689 W EP2021081689 W EP 2021081689W WO 2022112037 A1 WO2022112037 A1 WO 2022112037A1
Authority
WO
WIPO (PCT)
Prior art keywords
mems
array
wafer
microelectromechanical system
sub
Prior art date
Application number
PCT/EP2021/081689
Other languages
French (fr)
Inventor
Luc Roger Simonne HASPESLAGH
Alexis HUMBLET
Nitesh PANDEY
Ties Wouter VAN DER WOORD
Halil Gökay YEGEN
Sebastianus Adrianus GOORDEN
Alexander Ludwig KLEIN
Jim Vincent OVERKAMP
Edgar Alberto OSORIO OLIVEROS
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2022112037A1 publication Critical patent/WO2022112037A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • B81B7/04Networks or arrays of similar microstructural devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00095Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/04Optical MEMS
    • B81B2201/042Micromirrors, not used as optical switches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/01Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS
    • B81B2207/015Microstructural systems or auxiliary parts thereof comprising a micromechanical device connected to control or processing electronics, i.e. Smart-MEMS the micromechanical device and the control or processing electronics being integrated on the same substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/05Arrays
    • B81B2207/053Arrays of movable structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0728Pre-CMOS, i.e. forming the micromechanical structure before the CMOS circuit
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0707Monolithic integration, i.e. the electronic processing unit is formed on or in the same substrate as the micromechanical structure
    • B81C2203/0735Post-CMOS, i.e. forming the micromechanical structure after the CMOS circuit
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/07Integrating an electronic processing unit with a micromechanical structure
    • B81C2203/0785Transfer and j oin technology, i.e. forming the electronic processing unit and the micromechanical structure on separate substrates and joining the substrates
    • B81C2203/0792Forming interconnections between the electronic processing unit and the micromechanical structure

Definitions

  • the invention relates to a microelectromechanical system (MEMS) array interconnect design, in particular an interconnect design to reduce the number of through silicon vias (TSVs) in a MEMS system. It further relates to a MEMS system which is a micromirror array, a programmable illuminator comprising such a micromirror array, a lithographic apparatus comprising such a programmable illuminator, an inspection and/or metrology apparatus comprising such a programmable illuminator and a method for forming such a MEMS.
  • MEMS microelectromechanical system
  • a lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a lithographic apparatus may, for example, project a pattern at a patterning device onto a layer of radiation-sensitive material (resist) provided on a substrate.
  • the term “patterning device” as employed in this text should be broadly interpreted as referring to a device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term “light valve” can also be used in this context.
  • the pattern will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit or other device.
  • patterning devices include: [0004] - A mask (or reticle).
  • the concept of a mask is well known in lithography, and it includes mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. Placement of such a mask in the radiation beam causes selective transmission (in the case of a transmissive mask) or reflection (in the case of a reflective mask) of the radiation impinging on the mask, according to the pattern on the mask.
  • the mask may be supported by a support structure such as a mask table or mask clamp.
  • This support structure ensures that the mask can be held at a desired position in the incoming radiation beam, and that it can be moved relative to the beam if so desired; [0005] - A programmable mirror array.
  • a programmable mirror array is a matrix-addressable surface having a viscoelastic control layer and a reflective surface.
  • the basic principle behind such a device is that (for example) addressed areas of the reflective surface reflect incident light as diffracted light, whereas unaddressed areas reflect incident light as undiffracted light. Using an appropriate filter, the undiffracted light can be filtered out of the reflected beam, leaving only the diffracted light behind; in this manner, the beam becomes patterned according to the addressing pattern of the matrix- addressable surface.
  • An alternative embodiment of a programmable mirror array employs a matrix arrangement of tiny mirrors, each of which can be individually tilted about an axis, for example by applying a suitable localized electric field, or by employing electrostatic or piezoelectric actuation means.
  • the mirrors are matrix-addressable, such that addressed mirrors will reflect an incoming radiation beam in a different direction to unaddressed mirrors; in this manner, the reflected beam is patterned according to the addressing pattern of the matrix-addressable mirrors.
  • the required matrix addressing can be performed using suitable electronic means.
  • the patterning means can comprise one or more programmable mirror arrays.
  • mirror arrays as here referred to can be gleaned, for example, from United States Patents US 5,296,891 and US 5,523,193, and PCT patent applications WO 98/38597 and WO 98/33096, which are incorporated herein by reference.
  • a programmable mirror array may be supported by a support structure such as a frame or table, for example, which may be fixed or movable as required; and [0006] - A programmable LCD array.
  • a support structure such as a frame or table, for example, which may be fixed or movable as required
  • [0006] - A programmable LCD array An example of such a construction is given in United
  • Such a programmable LCD array may be supported by a support structure such as a frame or table, for example, which may be fixed or movable as required.
  • a lithographic apparatus may use electromagnetic radiation (here referred to often as simply “light”, though the wavelength may not be in the visible range).
  • the wavelength of this radiation determines the minimum size of features which can be formed on the substrate.
  • a lithographic apparatus which uses extreme ultraviolet (EUV) radiation, having a wavelength within the range 4-20 nm, for example 6.7 nm or 13.5 nm, may be used to form smaller features on a substrate than a lithographic apparatus which uses, for example, radiation with a wavelength of 193 nm.
  • EUV extreme ultraviolet
  • the shape, or more generally the angular intensity distribution, of the illumination source is one of the most important parameters in enabling high resolution in lithography.
  • a micromirror array comprising an array of hundreds or thousands of micromirrors (often referred to below simply as “mirrors”), can be used in the illumination system of a lithographic apparatus to control the cross-sectional shape and intensity distribution of the light.
  • Each micromirror reflects a spot of light and changing the angles of the micromirrors changes the positions of the spots and thus changes the shape of the radiation beam.
  • Microelectromechanical systems (MEMS) technology may be used to manufacture and control the mirrors.
  • an electrostatic or piezoelectric MEMS system may be used to angle the mirrors.
  • DUV deep ultraviolet spectrum
  • EUV extreme ultraviolet spectrum
  • New micromirror array technology is required for use with EUV radiation.
  • advantageous new applications for this new micromirror array technology are desired, for use with EUV and/or non-EUV radiation, e.g. visible light or DUV radiation.
  • PCT patent application PCT/EP2020/072005 discloses a micromirror array which uses piezoelectric actuators to control the angles of mirrors in a micromirror array.
  • the mirrors are provided in a MEMS wafer, and control signals for each mirror are transmitted to the MEMS wafer from a control circuit using, for each mirror, a respective plurality of through silicon vias, TSV.
  • a microelectromechanical system such as a micromirror array
  • the MEMS comprises a MEMS wafer comprising an array of MEMS elements which includes a plurality of sub-arrays of the MEMS elements.
  • the sub-arrays correspond to respective (typically non-overlapping) subsets of multiple MEMS elements of the array. All the MEMS elements of the array may be in a corresponding one of the sub-arrays, so that the array is partitioned into the sub-arrays.
  • Each of the sub-arrays may be associated with a corresponding, e.g. rectangular (a term which here is used to include square), portion of the MEMS wafer.
  • each sub-array there is a respective local control unit arranged to perform read/write communication with each MEMS element of the corresponding sub-array, and a control wafer comprising a control circuit for controlling the array of MEMS elements, wherein the control circuit is configured to transmit and receive data signals to and from each of the local control units using, for each control unit, a respective plurality of through silicon vias, TSV, in the control wafer.
  • the control circuit is operative to control the array of MEMS elements by using signals passed between the control circuit and the local control units, the signals passed, using the respective plurality of TSVs, between the control circuit and each of the local control units comprising data signals relating to specific ones of the MEMS elements of the corresponding sub-array of MEMS elements.
  • the TSVs preferably also carry supply voltages and control signals between the control circuit and the local control units.
  • the local control units in effect collectively provide a “global bus” for communication between the control circuit and each of the mirrors individually.
  • TSVs and bonding bumps occupy a disadvantageous ⁇ large portion of the MEMS and a failure of any one of them can cause failure of the entire MEMS. Since the number of such interconnects is several times the number of MEMS elements, and each interconnect has a certain chance of failure, the proportion of fault MEMS produced by a manufacturing process is increased.
  • the present concepts makes it possible, by employing local control units each connected to multiple MEMS elements, to reduce the number of interconnects per MEMS element, thus simplifying the manufacturing process and increasing the yield rate of the production process.
  • each MEMS element comprises one or more actuators for displacing the MEMS element.
  • actuators can e.g. be piezoelectric actuators that are connected to the MEMS wafer.
  • Such actuators may further, in an embodiment, be connected to the MEMS element by one or more pillars.
  • the actuators may further be connected to the local control unit of the sub-array comprising the MEMS element.
  • the system according to the invention further comprises one or more sensors for sensing a position of the MEMS element.
  • the one or more sensors may also be connected to the local control unit of the sub-array comprising the MEMS element.
  • a position, as sensed by the sensor can be provided to the local control unit and can be used as a feedback signal for controlling the one or more actuators that are used to displace or position the MEMS element.
  • Each local control unit may be locally connected to a large number of individual MEMS elements (e.g. over 20) and to individual sensors and actuators comprised by each MEMS element. Thus, only a small number of TSVs per MEMS element (e.g. fewer than one TSV per MEMS element) are required to connect the local control unit to the (global) control circuit.
  • the control circuit may be operative to transmit and receive at least some of the same data signals to and from the local control unit using multiple ones of the TSVs. This creates redundancy in the electrical connections, which allows the MEMS to continue to function normally should one connection fail (e.g. due to failed bump bonding). This can significantly increase the overall yield, since the array is then no longer limited by a single connection or small cluster of connections failing.
  • the respective plurality of TSVs of one or more of the sub-arrays are preferably located closer to the edges of the MEMS array than the distance from the edges of the MEMS array to the center of the corresponding sub-array.
  • the MEMS wafer is supported at the edges of the MEMS array, so that different portions of the sub-array have different stabilities, and the positions closer to the edges of the MEMS array are generally more stable.
  • the bump/bond connection strength of a TSV tends to be greater closer to the outer edge of the MEMS array, and hence it can be beneficial to locate at least the majority of the TSVs of a sub-array at positions with that sub-array close to the edge of the full array.
  • the local control unit comprises an analogue to digital converter, ADC, for converting analogue signals received from the MEMS elements into digital signals transmitted to the control circuit, and a digital to analogue converter, DAC, for converting digital signals received from the control circuit into analogue signals transmitted to the MEMS elements.
  • ADC an analogue to digital converter
  • DAC digital to analogue converter
  • the control circuit may comprise a plurality of control units, wherein each control unit is configured to transmit and receive data signals to and from a respective subset of the plurality of sub arrays.
  • each control unit is configured to transmit and receive data signals to and from a respective subset of the plurality of sub arrays.
  • the number of TSVs in the control wafer may be between a quarter of the number of MEMS elements in the array and twice the number of MEMS elements in the array.
  • One TS V for every four MEMS elements may be sufficient to control the whole array, and further TSVs can be beneficial to provide redundancy.
  • the control circuit and each local control unit can employ the respective plurality of TSVs as a serial data bus.
  • a serial bus can provide an easy and efficient communication scheme from the control circuit (Master) to the MEMS elements (Slaves).
  • the array can be operated with three logic signals being MOMI (master out / slave in) for transmitting from the control circuit to the local control units, MIMO (master in / slave out) for receiving signals at the control circuit from the local control units, and a serial clock signal (e.g. 12.5 MHz).
  • MOMI master out / slave in
  • MIMO master in / slave out
  • a serial clock signal e.g. 12.5 MHz
  • there are slave selector signals specifying the specific MEMS elements on which to perform the read or write communications of the MOMI and MIMO signals.
  • the serial clock of the serial data bus may have frequency in the range of 5 MHz to 50 MHz.
  • the frequency is set to provide a sufficiently high data rate to control the MEMS elements at a desired speed.
  • the control circuit can be configured to use a plurality of the serial data buses in parallel to communicate with the local control units. Hence, the control circuit may be operative to perform read and write operations simultaneously on MEMS elements in different sub-arrays. Additionally or alternatively, each local control unit may be configured to perform respective read and write communications simultaneously on two different MEMS elements in one sub-array. That is, a read communication can be performed on one MEMS element in a sub-array and a write operation can simultaneously be performed on a different MEMS element in the same sub-array.
  • the array of MEMS elements may have 16 sub-arrays, each sub-array comprising 6 x 6 MEMS elements (i.e. the MEMS array is a 24 x 24 MEMS array).
  • the number of TSV’s may be for example 9 for each sub-array (excluding any TSVs which can be considered redundant because they carry the same signals as one of the 9 TSVs)
  • Each MEMS in the array is located in a row and a column of the array.
  • the control circuit can be configured to control which specific MEMS element the corresponding local control unit performs a read operation on by transmitting a read control signal to the row and to the column of the specific MEMS element.
  • control circuit may be configured to control which other MEMS element the corresponding local control unit performs a write operation on by transmitting a write control signal to the row and to the column of the other MEMS element.
  • Data can only be read from a MEMS element whose row and column are both activated by a read signal at the same time, and, similarly, data can only be transmitted to a MEMS element whose row and column are activated at the same time by a write signal.
  • n x n array there are typically 2 x (n + n) control signals for selecting one MEMS element in the array for either read or write.
  • the control circuit can be configured to use 96 control signals for selecting the MEMS element (48 read control signals, and 48 write control signals).
  • the MEMS may further comprise an interposer wafer located between the MEMS wafer and the control wafer and comprising a plurality of TSVs corresponding to the TSVs in the control wafer.
  • Each TSV in the interposer layer may provide a respective communication path to a corresponding one of the local control units.
  • the interposer wafer can be used to support the MEMS wafer.
  • the interposer wafer may comprise, for each sub-array, a mesh connection for connecting each MEMS element in the sub-array to the local control unit of that sub-array.
  • the TSVs in the interposer layer have a different layout and/or mutual spacing from the TSVs in the control way.
  • control wafer may comprise a redistribution layer, RDL, for providing respective communication paths between TSVs in the interposer wafer and corresponding TSVs in the control wafer.
  • RDL redistribution layer
  • the interposer wafer may be bonded to the MEMS wafer by a copper/oxide, Cu/OX, hybrid bond.
  • the Cu Ox hybrid bonds of at least one sub-array are located closer to the edges of the MEMS array than distance from the edge of the MEMS array to the center of the corresponding sub array, since, for the reason mentioned above, the connection strength is generally better closer to the edge of the MEMS array.
  • the interposer wafer may be bonded to the control wafer by copper or tin micro-bumps, and the control circuit may be wire bonded to the control wafer. Additional micro-bumps, not used to form electrical connections, may be provided for mechanical stability and heat dissipation.
  • each MEMS element comprises one or more actuators for displacing the MEMS element (e.g. displacing a micromirror or displacement structure of the MEMS element).
  • actuators can e.g. be piezoelectric actuators that are connected to the MEMS wafer.
  • Such actuators may further, in an embodiment, be connected to the MEMS element by one or more pillars.
  • the actuators may further be connected to the local control unit of the sub-array comprising the MEMS element.
  • the system according to the invention further comprises one or more sensors for sensing a position of the MEMS element. In such embodiment, the one or more sensors may also be connected to the local control unit of the sub-array comprising the MEMS element.
  • a position, as sensed by the sensor can be provided to the local control unit and can be used as a feedback signal for controlling the one or more actuators that are used to displace or position the MEMS element.
  • the MEMS may comprise a heat diffuser for diffusing heat from the MEMS element.
  • the heat diffuser may comprise a heat sink and a thermally conductive post connecting the heat sink to the MEMS element.
  • the heat sink preferably comprises a flexible membrane, which allows the thermally conductive post to pivot when the MEMS element is displaced.
  • the heat diffuser allows heat to be conducted away, such as to the substrate.
  • the heat diffuser comprises a flexible element connected between the MEMS element and the substrate and arranged to flex as the MEMS element is moved. Note that there is a trade-off between increased flexibility of the heat diffuser, and increased ability for the heat diffuser to conduct heat away from the MEMS element.
  • piezoelectric actuator(s) allows increased force to be applied to the flexible element, in turn allowing the heat diffuser to be selected to provide improved thermal conductivity.
  • the MEMS array may be a micromirror array and each MEMS element may then comprise or be associated with a mirror for reflecting incident light. The mirrors may be provided by a mirror wafer bonded to the MEMS wafer.
  • the microelectromechanical system may be used in other parts of a lithographic apparatus and/or an inspection and or metrology apparatus.
  • the MEMS may be used with a single (macro) mirror by locating the plurality of MEMS elements underneath and deforming the mirror surface.
  • the MEMS may further comprise a continuous reflective layer, wherein displacement of the MEMS elements causes the continuous reflective layer to be deformed in order to redirect light incident on the continuous reflective layer.
  • the reflective layer can be a multilayer reflector, for example when used in a patterning device or in a normal incidence mirror, or may be a single metal foil, for example when used in a grazing incidence mirror.
  • each MEMS element can in some instances be directly bonded to the continuous reflective layer or to a continuous substrate on which the continuous reflective layer is located (for example, the pillars directly attached to the piezoelectric actuators can be directly bonded to the back of the reflective layer).
  • each MEMS element may comprise a displacement structure for supporting the continuous reflective layer and for translating displacements of the one or more piezoelectric actuators to the continuous reflective layer.
  • the displacement structure may be formed in a separate wafer and bonded to the other part of the MEMS.
  • the displacement structure may be a rectangular layer or block, which is tipped and tilted when displaced by the piezoelectric actuators.
  • Other shapes of the displacement structure are also of course possible (e.g. hexagonal in the horizontal plane), depending on the type of deformation of the overlying structure that is required.
  • programmable illuminator for conditioning a radiation beam.
  • the programmable illuminator includes a micromirror array which is a microelectromechanical system according to the first aspect for shaping a radiation beam used to project the pattern.
  • the programmable illuminator may further comprise a displacement control feedback system configured to determine for each mirror in the micromirror array a position of the mirror and to adjust a voltage applied to the associated piezoelectric actuators based on the determined position and based on a predefined target position of the mirror.
  • the performance of the piezoelectric actuators may change over time, so that the initial calibration of displacement to applied voltage is no longer valid, and the displacement control feedback system can be used to adapt applied voltage based on the measured mirror position.
  • the feedback system may comprise or make use of the sensing element of the micromirror array to determine the mirror position.
  • a lithographic apparatus arranged to project a pattern from a patterning device onto a substrate.
  • the lithographic apparatus comprises a programmable illuminator according to the second aspect of the present invention for conditioning a radiation beam used to illuminate the patterning device and/or for conditioning a radiation beam used to measure a target structure on the substrate.
  • the micromirror array in the programmable illuminator may be used in an illumination system of a lithographic apparatus for example to control or condition a cross-sectional shape and/or intensity distribution of the light or radiation beam that is used to illuminate the patterning device.
  • the micromirror array in the programmable illuminator may be used in an alignment system and or overlay measurement system, respectively, of the lithographic apparatus to control or condition a spectral and or spatial distribution of the light or radiation beam that is used to measure a position of an alignment mark(er) or target structure on the substrate and/or to perform an overlay measurement of a mark(er) or target structure on the substrate, respectively.
  • an inspection and/or metrology apparatus that comprises a programmable illuminator according to the second aspect of the present invention for conditioning a radiation beam used to measure a target structure on a substrate.
  • the micromirror array in the programmable illuminator may be used to control or condition a spectral and/or spatial distribution of the light or radiation beam that is used by the inspection and or metrology apparatus to measure a target structure, e.g. a mark(er), on the substrate in order to determine the position of that target structure for alignment purposes and/or in order to perform an overlay measurement.
  • lithographic apparatus arranged to operate in a dark-field imaging mode when projecting a pattern from a patterning device onto a substrate.
  • the apparatus comprises a patterning device (which may alternatively be referred to as a mask or a reticle) comprising a first MEMS according to the first aspect of the invention, wherein the first MEMS comprises a continuous reflective layer such as multilayer reflector provided with a pattern for patterning the incident radiation beam.
  • the apparatus further comprises a mirror for projecting the pattern from the patterning device and comprising a second MEMS according to the first aspect (e.g. a micromirror array), wherein the mirror comprises an opening arranged to let through the radiation beam used to illuminate the patterning device.
  • the opening allows the radiation beam to have normal incidence on the patterning device.
  • the first MEMS is configured to redirect a first order diffraction from the patterning device, which would otherwise fall inside the opening, and onto the mirror.
  • the second MEMS is configured to compensate for the redirection so as to correct the projected pattern.
  • the diffraction angle at any one point on the patterning device depends on the density or pitch of features of the patterning device at that point. A large pitch gives a small diffraction angle and requires a larger deformation of the surface of the patterning device to redirect the first order diffraction onto the mirror.
  • the first MEMS can be pre-set to provide the appropriate local deformation across the patterning device and may then remain fixed throughout the patterning/scanning process.
  • the second MEMS i.e.
  • a mask assembly comprising a pellicle (a thin film) arranged to protect a surface of a patterning device from contamination, and a pellicle frame configured to support the pellicle and configured to fix the pellicle relative to the patterning device.
  • the frame comprises a MEMS according to the first aspect of the invention, and the MEMS is configured to displace part of the pellicle so as to apply stress to the pellicle.
  • the MEMS can displace a border area/portion of the pellicle to increase the stress, which can prevent the film from slacking and requiring replacement thereby prolonging the lifetime of the pellicle and mask assembly.
  • Sensors in the MEMS elements can be used to determine the stress in the pellicle, and the MEMS elements can be individually controlled to apply stress locally across different sections of the pellicle.
  • a lithographic apparatus arranged to project a pattern from a patterning device onto a substrate, the lithographic apparatus comprising a mask assembly according to the sixth aspect of the invention.
  • a grazing incidence mirror for reflecting light having an angle of incidence in the range of about 75° to 89°.
  • the mirror comprises a MEMS according to the first aspect of the invention.
  • the MEMS can comprise micromirrors or preferably a continuous reflective layer such as a metal foil.
  • the continuous layer can prevent incident radiation from being scattered from the edges of the micromirrors and can also prevent plasma formation in the gaps and underneath the micromirrors.
  • a collector for collecting light from a light source to form a radiation beam.
  • the collector comprises a plurality of grazing incidence mirrors according to the eighth aspect of the present invention.
  • an inspection and/or metrology apparatus comprising a grazing incidence mirror according to the eighth aspect of the present invention, the grazing incidence mirror being configured to allow adjustment of a focus of a radiation beam on a substrate such as a wafer.
  • a method of forming a MEMS system may include: providing a MEMS wafer comprising an array of MEMS elements partitioned into a plurality of sub arrays; providing for each sub-array a respective local control unit arranged to perform read/write communication with each MEMS element of the corresponding sub-array; providing a control wafer comprising a control circuit for controlling the array of MEMS elements; and connecting the control wafer to the MEMS wafer, optionally via an interposer wafer.
  • the control circuit is configured, once the control wafer is connected to the MEMS wafer, to transmit and receive data signals to and from each of the local control units using, for each control unit, a respective plurality of through silicon vias, TSV, in the control wafer, and the control circuit is operative to control the array of MEMS elements by using data signals passed between the control circuit and the local control units, the data signals passed between the control circuit and each of the local control units comprising data signals relating to specific ones of the MEMS elements of the corresponding sub-array of MEMS elements.
  • Figure 1 depicts a lithographic system comprising a lithographic apparatus with a (programmable) illuminator and a radiation source;
  • Figure la depicts a part of a lithographic apparatus
  • Figure lb shows a part of a lithographic apparatus configured for dark-field imaging
  • Figure lc depicts a known inspection and or metrology apparatus
  • Figure Id depicts a programmable illuminator for use in the inspection and/or metrology apparatus of Figure lc.
  • Figure 2 depicts a mirror element of a micromirror array according to an embodiment comprising a mirror and four piezoelectric actuators
  • Figure 3 depicts a schematic cross section of a part of a micromirror array according to an embodiment
  • Figure 4 depicts a part of a MEMS system which is an embodiment of the invention and which may be employed in a micromirror array which is an embodiment of the invention
  • Figure 5a depicts a MEMS arrays according to an embodiment
  • Figure 5b depicts a MEMS array according to an embodiment with TSVs in a preferential position in the array
  • Figure 6a shows a connection diagram of a MEMS array with the MEMS elements transmitting to the control circuit
  • Figure 6b shows a connection diagram of a MEMS array with the control circuit transmitting to the MEMS elements
  • Figure 7 illustrates how the control circuit can communicate with the MEMS elements in a sub array over a serial bus.
  • Figure 8a depicts a first step in a method of forming a micromirror array
  • Figure 8b depicts a second step in a method of forming a micromirror array
  • Figure 8c depicts a third step in a method of forming a micromirror array
  • Figure 8d depicts a fourth step in a method of forming a micromirror array
  • Figure 8e depicts a fifth step in a method of forming a micromirror array
  • Figure 8f depicts a sixth step in a method of forming a micromirror array
  • Figure 8g depicts a seventh step in a method of forming a micromirror array
  • Figure 8h depicts an eighth step in a method of forming a micromirror array
  • Figure 8i depicts a ninth step in a method of forming a micromirror array
  • Figure 8j depicts a tenth step in a method of forming a micromirror array
  • Figure 9a depicts a grazing incidence mirror being a micromirror array
  • Figure 9b depicts a grazing incidence mirror according to another embodiment
  • Figure 10 depicts a grazing incidence collector according to an embodiment
  • Figure 11 depicts a part of an inspection and/or metrology apparatus comprising a grazing incidence mirror according to an embodiment
  • Figure 12a depicts a schematic top view of a pellicle and pellicle frame according to an embodiment
  • Figure 12b shows a side view cross section of the pellicle and frame.
  • Figure 1 shows a lithographic system comprising a radiation source SO and a lithographic apparatus FA.
  • the radiation source SO is configured to generate an EUV radiation beam B and to supply the EUV radiation beam B to the lithographic apparatus FA.
  • the lithographic apparatus FA comprises an illumination system IF, a support structure or mask table MT configured to support a mask assembly 15 comprising a patterning device MA, a projection system PS and a substrate table WT configured to support a substrate W.
  • the illumination system IF is configured to condition the EUV radiation beam B before the EUV radiation beam B is incident upon the patterning device MA.
  • the illumination system IL may include a facetted field mirror device 10 and a facetted pupil mirror device 11.
  • the radiation beam B passes from the illumination system IL and is incident upon the mask assembly 15 held by the support structure MT.
  • the mask assembly 15 includes a patterning device MA and , optionally, a pellicle 19, which, if present, is held in place by a pellicle frame 17.
  • the patterning device MA reflects and patterns the radiation beam B’ .
  • the illumination system IL may include other mirrors or devices in addition to, or instead of, the faceted field mirror device 10 and/or the faceted pupil mirror device 11.
  • a micromirror array as described herein may be added to the illumination system IL in addition to the facetted field mirror device 10 and faceted pupil mirror device 11 as disclosed in US 8,294,877 B2, which is hereby incorporated in its entirety by reference, or may be used to replace one or both of the faceted field mirror device 10 and the faceted pupil mirror device 11 as disclosed in US 10,254,654 B2, which is hereby incorporated in its entirety by reference.
  • the illumination system IL which now includes at least one micromirror array as described herein, is a programmable illuminator IL.
  • Such a programmable illuminator IL may be used for conditioning a radiation beam used to illuminate the patterning device.
  • the programmable illuminator IL may be used to control or condition the EUV radiation beam B by providing it with a desired cross-sectional shape and or a desired intensity distribution.
  • the EUV radiation beam B illuminates the patterning device MA and interacts with it. As a result of this interaction, a patterned EUV radiation beam B’ is generated.
  • the projection system PS is configured to project the patterned EUV radiation beam B’ onto the substrate W.
  • the projection system PS may comprise a plurality of mirrors 13,14 which are configured to project the patterned EUV radiation beam B’ onto the substrate W held by the substrate table WT.
  • the projection system PS may apply a reduction factor to the patterned EUV radiation beam B’, thus forming an image with features that are smaller than corresponding features on the patterning device MA. For example, a reduction factor of 4 or 8 may be applied.
  • the projection system PS is illustrated as having only two mirrors 13,14 in Figure 1, the projection system PS may include a different number of mirrors (e.g. six or eight mirrors).
  • the substrate W may include previously formed patterns. Where this is the case, the lithographic apparatus LA aligns the image, formed by the patterned EUV radiation beam B’, with a pattern previously formed on the substrate W.
  • a relative vacuum i.e. a small amount of gas (e.g. hydrogen) at a pressure well below atmospheric pressure, may be provided in the radiation source SO, in the illumination system IL, and or in the projection system PS.
  • gas e.g. hydrogen
  • the radiation source SO may be a laser produced plasma (LPP) source, a discharge produced plasma (DPP) source, a free electron laser (EEL) or any other radiation source that is capable of generating EUV radiation.
  • LPP laser produced plasma
  • DPP discharge produced plasma
  • EEL free electron laser
  • a so called chief ray angle of optics may be used, such that the radiation beam B is incident on the patterning device MA at an angle.
  • Figure la shows a part of a lithographic apparatus, such as the lithographic apparatus LA of Figure 1, wherein the radiation beam B is incident on the patterning device MA.
  • the patterning device is typically a mask (e.g. a binary, alternating phase-shift, or attenuated phase-shift mask) on a multilayer reflector.
  • the multilayer reflector typically comprises alternating layers of e.g. Mo or Ru and Si.
  • the layer thicknesses is determined by the target wavelength (e.g. 13.5 nm for EUV) so as to maximize the reflectivity at that wavelength and thereby optimize overall throughput.
  • the reflectivity of the multilayer reflector has an angular dependence, which needs to be taken into account when used for lithography.
  • the radiation beam is generally a conditioned radiation beam B that has been conditioned by optics of the illumination system IL (e.g. mirrors 10 and 11 of Figure 1).
  • the radiation beam B is incident on the patterning device MA at an angle within the numerical aperture NA centered on the CRAO.
  • the patterning device MA has to reflect over a large angular bandwidth, to support the NA. If the angle of incidence is too large, then the patterning device MA may not accurately reflect the radiation beam B. Therefore, the angular bandwidth of the patterning device limits the maximum NA achievable at the patterning device MA.
  • Figure lb shows a part of a lithographic apparatus, such as the lithographic apparatus LA of Figure 1, with an alternative configuration for separating the optics in the illumination system IL and the optics of the projection system PS using dark-field imaging.
  • the radiation beam B has substantially normal incidence on the patterning device MA, which can therefore reduce the requirements on the angular bandwidth of the patterning device MA compared to CRAO.
  • the first mirror 101 of the projection optics comprises an opening 102 for letting the radiation beam B through.
  • the radiation beam B is diffracted by the patterning device MA, with the zeroth order diffraction sent back through the opening 102 into the illuminator (not shown).
  • Higher order diffractions, such as the + 1 and -1 diffraction orders are incident on the mirror 101 outside the opening 102 and can be projected onto the wafer (not shown) via the projection system PS.
  • the mask assembly 15 may include a pellicle 19 that is provided adjacent to the patterning device MA.
  • the pellicle 19, if present, is provided in the path of the radiation beam B such that radiation beam B passes through the pellicle 19 both as it approaches the patterning device MA from the illumination system IL and as it is reflected by the patterning device MA towards the projection system PS.
  • the pellicle 19 comprises a thin film that is substantially transparent to EUV radiation (although it will absorb a small amount of EUV radiation).
  • EUV transparent pellicle or a film substantially transparent for EUV radiation herein is meant that the pellicle 19 is transmissive for at least 65% of the EUV radiation, preferably at least 80% and more preferably at least 90% of the EUV radiation.
  • the pellicle 19 acts to protect the patterning device MA from particle contamination. [00062] Whilst efforts may be made to maintain a clean environment inside the lithographic apparatus LA, particles may still be present inside the lithographic apparatus LA. In the absence of a pellicle 19, particles may be deposited onto the patterning device MA. Particles on the patterning device MA may disadvantageous ⁇ affect the pattern that is imparted to the radiation beam B and therefore the pattern that is transferred to the substrate W.
  • the pellicle 19 advantageously provides a barrier between the patterning device MA and the environment in the lithographic apparatus LA in order to prevent particles from being deposited on the patterning device MA.
  • the pellicle 19 is positioned at a distance from the patterning device MA that is sufficient that any particles that are incident upon the surface of the pellicle 19 are not in a field plane of the lithographic apparatus LA.
  • This separation between the pellicle 19 and the patterning device MA acts to reduce the extent to which any particles on the surface of the pellicle 19 impart a pattern to the radiation beam B that is imaged onto the substrate W. It will be appreciated that where a particle is present in the beam of radiation B, but at a position that is not in a field plane of the beam of radiation B (i.e., not at the surface of the patterning device MA), then any image of the particle will not be in focus at the surface of the substrate W.
  • the separation between the pellicle 19 and the patterning device MA may, for example, be approximately between 1 mm and 10 mm, for example between 1 mm and 5 mm, more preferably between 2 mm and 2.5 mm.
  • Figure lc shows an inspection and/or metrology apparatus that is known from US 9,946,167 B2, which is hereby incorporated in its entirety by reference.
  • Figure lc corresponds to Figure 3a of US 9,946,167 B2.
  • the inspection and/or metrology apparatus is a dark field metrology apparatus for measuring e.g. overlay and/or alignment.
  • a grating or mark(er) and measure one or more properties of the scattered radiation - e.g., intensity at a single angle of reflection as a function of wavelength; intensity at one or more wavelengths as a function of reflected angle; or polarization as a function of reflected angle - to obtain a “spectrum” from which a property of interest of the target can be determined.
  • Determination of the property of interest may be performed by various techniques: e.g., reconstruction of the target structure by iterative approaches such as rigorous coupled wave analysis or finite element methods; library searches; and principal component analysis.
  • the dark field metrology apparatus shown in Figure lc may be a stand-alone device/system or may be incorporated in the lithographic apparatus LA as an alignment system and/or as an overlay measurement system (not shown).
  • light emitted by radiation source 111 e.g., a xenon lamp
  • the angular distribution at which the radiation is incident on the substrate can be selected by defining a spatial intensity distribution in a plane that presents the spatial spectrum of the substrate plane, here referred to as a (conjugate) pupil plane.
  • a spatial intensity distribution in a plane that presents the spatial spectrum of the substrate plane here referred to as a (conjugate) pupil plane.
  • this can be done by inserting an aperture plate 113 of suitable form between lenses 112 and 114, in a plane which is a back-projected image of the objective lens pupil plane.
  • aperture plate 113 has different forms, labeled 113N and 113S, allowing different illumination modes to be selected.
  • the illumination system in the present example forms an off-axis illumination mode.
  • aperture plate 113N provides off-axis from a direction designated, for the sake of description only, as ‘north’ .
  • aperture plate 113S is used to provide similar illumination, but from an opposite direction, labeled ‘south’.
  • Other modes of illumination are possible by using different apertures.
  • the rest of the pupil plane is desirably dark, as any unnecessary light outside the desired illumination mode will interfere with the desired measurement signals.
  • a target structure (not shown), e.g. a grating or mark(er), on substrate W is placed normal to the optical axis O of objective lens 116.
  • a ray of illumination impinging on the target structure from an angle off the axis O gives rise to a zeroth diffraction order ray and two first diffraction order rays. Since the aperture in plate 113 has a finite width (necessary to admit a useful quantity of light) the incident rays will in fact occupy a range of angles, and the diffracted rays 0 and +1/-1 will be spread out somewhat.
  • each order +1 and -1 will be further spread over a range of angles, not a single ideal ray.
  • the grating pitches and illumination angles can be designed or adjusted so that the first order rays entering the objective lens are closely aligned with the central optical axis.
  • At least the 0 and +1 orders diffracted by the target on substrate W are collected by objective lens 116 and directed back through beam splitter 115.
  • Both the first and second illumination modes are illustrated, by designating diametrically opposite apertures labeled as north (N) and south (S).
  • N north
  • S south
  • the incident ray is from the north side of the optical axis
  • the +1 diffracted rays which are labeled +1(N)
  • enter the objective lens 116 enter the objective lens 116.
  • the second illumination mode is applied using aperture plate 113S
  • the -1 diffracted rays (labeled -1(S) are the ones which enter the lens 116.
  • a second beam splitter 117 divides the diffracted beams into two measurement branches.
  • optical system 118 forms a diffraction spectrum (pupil plane image) of the target on first sensor 119 (e.g. a CCD or CMOS sensor) using the zeroth and first order diffractive beams.
  • first sensor 119 e.g. a CCD or CMOS sensor
  • the pupil plane image captured by sensor 119 can be used for focusing the inspection and/or metrology apparatus and/or normalizing intensity measurements of the first order beam.
  • the pupil plane image can also be used for many measurement purposes such as reconstruction.
  • an optical system including lenses 120, 122 forms an image of the target on the substrate W on sensor 123 (e.g. a CCD or CMOS sensor).
  • an aperture plate referred to as field stop 121 is provided in a plane that is conjugate to the pupil-plane. This plane will be referred to as an ‘intermediate pupil plane’ when describing the invention.
  • Field stop 121 functions to block the zeroth order diffracted beam so that the image of the target formed on sensor 123 is formed only from the -1 or +1 first order beam.
  • the images captured by sensors 119 and 123 are output to image processor and controller PU, the function of which will depend on the particular type of measurements being performed. Note that the term ‘image’ is used here in a broad sense. An image of the grating lines as such will not be formed, if only one of the -1 and +1 orders is present.
  • the illumination system of the inspection and or metrology apparatus comprises an illuminator 110. As shown in Figure lc, this illuminator 110 comprises lens 112 and aperture plate 113. More details of the inspection and or metrology apparatus can be found in US 9,946,167 B2.
  • Figure Id shows a programmable illuminator 140 for use in the inspection and or metrology apparatus of Figure lc.
  • This programmable illuminator 140 can be used in the inspection and or metrology apparatus of Figure lc instead of the illuminator 110.
  • the programmable illuminator 140 comprises a micromirror array 133 according to the present invention as well as a low NA relay 4F system 135 comprising a pair of lenses.
  • Radiation or light from a radiation source 130 (not part of the programmable illuminator 140), e.g. a broad band radiation source or white light source, may be directed via an optional fiber 131 and an optional collimating lens system 132 to the micromirror array
  • a processing unit PU can control the micromirror array 133 in such a way that the micromirrors
  • the mirrors in the micromirrors 134, in the micromirror array 133 are tilted individually.
  • the tilt angle of each individual mirror independently, the spatial distribution of the light that is output by the low NA relay system 135 can be controlled and various illumination modes can be made as desired without having to use aperture plates.
  • the programmable illuminator 140 is used in the inspection and or metrology apparatus of Figure lc it interfaces with lenses 114, meaning that the light that is output by the low NA relay system 135 is received by the lenses 114 of Figure lc.
  • At least part of the mirrors in the micromirror array 133 may comprise a grating on top of the mirror surfaces (not shown).
  • the grating may be the same for all mirrors or, alternatively, different gratings, e.g. gratings having different pitches, may be used.
  • the light that is output by the low NA relay system 135 comprises a single wavelength or a single (narrow) range of wavelengths.
  • the micromirror array 133 it is however also possible to control the micromirror array 133 in such a way that the light that is output by the low NA relay system 135 comprises a number of different wavelengths or a number of different (narrow) ranges of wavelengths.
  • the gratings may be lithographically patterned on the mirror surfaces. Each mirror with grating diffracts light of different wavelengths in different directions according to the associated grating equation. A portion of the diffracted light is captured by the low NA relay system 135 and an image is formed.
  • the light distribution at the output can be controlled both spatially and spectrally as (a) certain diffraction order(s) will be captured by the low NA relay system 135 and (an)other diffraction order(s) will not be captured.
  • Such a spatial and spectral light distribution can be used advantageously for example for illuminating and measuring an overlay target structure on a substrate or for measuring the position of an alignment mark on a substrate.
  • target structure, target, mark, marker and grating are, where the context allows, all synonyms of each other.
  • the MEMS system shown in Figure 2 is a micromirror with a mirror 20 and four piezoelectric actuators 21 for displacing the mirror 20.
  • the micromirror may have a different number of piezoelectric actuators 21 for displacing the mirror 20.
  • the micromirror has at least one piezoelectric actuator 21 for displacing the mirror 20.
  • a number of micromirrors as shown in Figure 2 can be arranged in an array to form a micromirror array.
  • Figure 2 shows a MEMS system with a mirror 20 which may be a part of a micromirror array according to an embodiment.
  • the MEMS system has a four-fold rotational symmetry about an axis.
  • four piezoelectric actuators 21 are arranged symmetrically under the mirror 20 to enable tip and tilt displacement of the mirror 20.
  • the mirror 20 is typically rectangular (which in this document is used to include square) with each side being in the range 0.5mm to 2.5mm. For example, it may be square with a 1 mm 2 surface area. In other embodiments the mirror may be another shape, such as hexagonal.
  • Each piezoelectric actuator 21 has a curved strip of flexible material 22, fixed to the underlying substrate (no shown) at one end and connected via a hinge 23 to a pillar 24.
  • the strip of flexible material 22 has an elongation direction which at rest lies substantially parallel to the plane of the front surface of the mirror 20.
  • the strip of flexible material 22 has a layer of piezoelectric material (e.g. PZT) on it, to which a voltage can be applied in order to activate the piezoelectric actuator 21.
  • PZT piezoelectric material
  • the magnitude of the displacement is a function of the applied voltage (as well as being a function of other parameters, such as the geometry of the piezoelectric actuator).
  • the hinge 23 is formed by narrowing the strip 22 at one end, so that the cross sectional area of the hinge 23 is smaller than that of the strip 22 along the elongation direction of the strip 22.
  • the hinge 23 is therefore less stiff than the strip 22, which allows it to act as a hinge.
  • the hinge is typically required to flex in a plane transverse to an axis direction, which itself is transverse to the elongation direction of the strip 22
  • the mirror 20 is also connected to a heat diffuser, comprising a thermally conductive post 25 connected to the center of the back of the mirror 20, and a heat sink 26 connected to the other end of the post 25.
  • the thermally conductive post 25 is arranged to transfer heat from the mirror 20 to the heat sink 26, which diffuses the heat over a relatively large surface area.
  • the heat sink 26 comprises a flexible membrane being a circular, patterned silicon layer. It may alternatively have multiple layers; if so, one or more (e.g. all) of the layers may be silicon, and one or more layers may be of material of other than silicon.
  • the flexible membrane has curved grooves 27 formed in it, which increase the flexibility of the flexible membrane. In use, when the mirror 20 is displaced, the thermally conductive post 25 pivots and elastically deforms the flexible membrane.
  • each piezoelectric actuator 21 is associated with a sensing element 28, being a piezoresistor fixed to the pillar 24 at one end and to the underlying substrate at the other.
  • the piezoresistor is stressed/deformed, which changes the electric properties of the piezoresistor, from which the displacement can be determined.
  • the piezoresistor may be connected in a Wheatstone bridge, configured so that the output voltage of the bridge is a function of the displacement of the mirror 20.
  • the output from the piezoresistor is temperature sensitive, and temperature compensation may be used to increase the accuracy of displacement measurements.
  • MEMS microelectromechanical system
  • the microelectromechanical system (MEMS) used to displace the mirrors 20 can be advantageously incorporated in other parts of the lithographic apparatus and/or the inspection and/or metrology apparatus.
  • the mirror 20 of each MEMS element can be replaced by a displacement structure being any form of non-reflective object that fits over the MEMS element, and which translates the displacement from the piezoelectric actuators 21.
  • a displacement structure can have the same shape and dimensions as the mirror (e.g. square as in Figure 2), but does not require a reflective top surface. Instead of directly reflecting incident light, the displacement structure can be used to deform an overlying structure, such as a continuous reflective layer of a mirror.
  • the MEMS can be incorporated in the patterning device to deform the multilayer reflector and thereby redirect incident light.
  • the pillars 24 connected to the piezoelectric actuators 21 may be directly bonded to the overlying structure. That is, the pillars 24 may be directly bonded to the underside of the overlying structure (e.g. the underside of a multilayer reflector), in order to deform that structure with the piezoelectric actuators 21
  • FIG 3 shows a schematic diagram of a cross section of a part 300 of a micromirror array according to an embodiment.
  • the micromirror array may include a mirror element as shown in Fig. 2.
  • a mirror 301 is connected to a piezoelectric actuator 302 via a pillar 303.
  • the pillar 303 comprises layers of silicon, germanium, aluminum and oxide having a combined thickness of 151 pm.
  • the oxide layer 304 has a thickness of 1 pm and provides both thermal and electrical insulation to protect the piezoelectric actuator 302.
  • the piezoelectric actuator 302 comprises a strip of flexible material 305, being a 5 pm thick strip of silicon, connected to a substrate 306 at the opposite end from the pillar 303.
  • the piezoelectric actuator 302 also comprises a layer of piezoelectric material 307 being a layer of PZT having a thickness in the range of 500 nm to 2 pm.
  • the layer of piezoelectric material 307 has top and bottom electrodes 308 made of platinum (Pt) and FaNi03 (FNO) for applying a voltage to the layer of piezoelectric material 307.
  • the layer of piezoelectric material is bonded to the strip of flexible material 305 by a layer of nitride (SiN) 309 having a thickness of 100 nm.
  • the stack of SiN/Pt/FNO/PZT/Pt/FNO is covered by a layer of silicon oxide and aluminum oxide 310.
  • Applying a voltage to the electrodes 308 causes the layer of piezoelectric material 307 to contract or expand, but because the layer is constrained at the interface to the strip of flexible material 305, the combined system of the layer of piezoelectric material 307 and the strip of flexible material 305 bends. It is this bending motion of the piezoelectric actuator 302 which displaces the pillar 303 and thereby also the mirror 301.
  • a sensing element 311 comprising a piezoresistor is embedded in the strip of flexible material 305 and covered by oxide.
  • the sensing element 311 is arranged to sense the deflection of the piezoelectric actuator 302. Note however that the actuator 302 may be operated and controlled in the absence of the sensing element 311 as well.
  • the piezoelectric actuator 302 is electrically connected to the substrate 306 by a through silicon via (TSV) 312.
  • TSV through silicon via
  • ASICs Application specific integrated circuits
  • ASICs application specific integrated circuits
  • one of the ASICs 313 can be used to apply control voltages to the actuator(s) based on received control signals, and the other can be used to derive the output voltage from the sensing element 311 and transmit it to a controller.
  • the mirror 301 is connected to a heat sink 314 by a thermally conductive post 315.
  • the heat sink 314 and the thermally conductive post 315 together form a heat diffuser for dissipating heat from the mirror 301.
  • the post 315 comprises layers of silicon, germanium and aluminum.
  • the heat sink 314 comprises a flexible element, specifically in this example a flexible membrane of silicon, which allows the post 315 to move as the mirror 301 is displaced.
  • the heat sink 314 is electrically connected to the substrate 306, and may be grounded to prevent charge build up on the mirror 301.
  • the heat sink 314 and the strip of flexible material 305 are in the same plane and may be formed from the same silicon wafer.
  • the spacing between the lower surface of the mirror 301 and the upper surface of the heat sink 314 and/or the strip of flexible material 305 may be in the range 50mhi-120mhi, such as about 80mhi.
  • known micromirror arrays have a smaller spacing than this, such as only a few microns.
  • the greater spacing is achieved in this embodiment because the (piezoelectric) actuation force is generated on the strip of flexible material 305, rather than, for example, by an electrostatic actuator mounted on the mirror itself, which would typically limit the range of movement of the mirror to the range of relative movement of components of the electrostatic actuator.
  • the spacing between the lower surface of the heat sink 314 and or the strip of flexible material 305, and the upper surface of the substrate may be in the range 50mhi-120mhi, such as about 80mhi.
  • a group of mirrors may be provided with a local control unit which is operative to recognize, in a received control signal, an address corresponding to one of the mirrors of the sub-array, and, upon recognizing the address, to generate control voltages for one or more piezoelectric actuators of the mirror based on control information additionally contained in the control signal.
  • a local control unit for each of a group of multiple ones of the mirrors.
  • the mirror array may also include, in addition to mirrors which are in one of the sub-arrays, mirrors which are provided with their own local control unit (i.e. a control unit which controls that mirror alone based on a received control signal), and or the mirror array may also include mirror(s) which are not controlled using a local control unit but rather based on received control signals transmitted directly to those mirrors by an external control circuit.
  • the mirror array of the embodiment is assumed to comprise only mirror elements which are in a corresponding single one of the sub-arrays.
  • any one of the local control units may be implemented as the one or more ASICs 313.
  • the local control unit may be configured to receive the control signals using vias 312 (described in more detail below) and control the corresponding piezoelectric actuators 302 based on it; in this case, the address in the control signal may specify not only the mirror but also a local control unit (or an ASIC 313) for a given piezoelectric actuator 302.
  • an external control circuit not shown in Fig.
  • the local control unit may also be configured (e.g. using a respective one of the ASICs 313) to derive voltages from the sensors of the corresponding plurality of MEMS elements in the respective sub-array, and transmit them to the external control unit.
  • Positioning the ASICs 313 within the structure, e.g. supported above the substrate 306 and proximate to (e.g. substantially in plane with) the strip of flexible material 305, is achievable because the embodiment may be formed in multiple layers by a MEMS process, as described below.
  • Embodiments of the micromirror array can provide tip and tilt displacement range of +/- 120 mrad and a mirror accuracy of 100 prad.
  • Embodiments of the micro mirror array can be operated at high light intensities as required for EUV, and may work at 40 to 60 kW/m 2 of absorbed thermal power density (which implies an incident light power density on the surface of the mirror which is even larger). This is orders of magnitude higher than the absorbed thermal power density of micromirror arrays used in some other applications. This is possible because the piezoelectric actuators are operative to provide, even at a relatively low actuator voltage (e.g.
  • the micromirror array may in use have a temperature of under about 100 degrees Celsius.
  • FIG 4 shows a schematic cross section of a part of microelectromechanical system (MEMS) 400 comprising an array of MEMS elements 401 (three are shown) in a MEMS wafer 402 (also referred to as actuator wafer).
  • MEMS microelectromechanical system
  • Each MEMS element 401 is connected to a mirror 403 in a mirror wafer 430 by a thermally conductive post 404 (as shown in Fig. 2).
  • the post 404 rests on a flexible membrane in the MEMS wafer 402.
  • the MEMS elements 401 comprise actuators 407 (typically piezoelectric actuators) and sensors 408.
  • the flexible membrane is a part of the MEMS waver 402, as are the actuators 407 and sensors 408.
  • the element shown as 405 is a part of the MEMS wafer 402 which is inflexible.
  • the MEMS elements 401 are connected to a local control unit 406, which may comprise at least one CMOS circuit formed in an FEOL and/or a BEOL process.
  • the local control unit 406 located in the MEMS wafer 402 is configured to read and write to all MEMS elements 401 within a sub-array of the full array of MEMS elements 401.
  • the MEMS 400 comprises further local control units connected to further sub-arrays (not shown). Note that, in an embodiment, the sensors 408 can be omitted.
  • the MEMS wafer 402 is bonded by CU/OX hybrid bonding to an interposer wafer 409, also referred to as a high voltage (HV) wafer, which comprises the HV drivers 410 for driving the actuators 407.
  • an interposer wafer 409 also referred to as a high voltage (HV) wafer, which comprises the HV drivers 410 for driving the actuators 407.
  • HV high voltage
  • the inflexible part 405 of the MEMS wafer 402 is attached to the interposer wafer 409.
  • the interposer wafer 409 supports the MEMS wafer 402, whilst comprising cavities 411 allowing the membrane 405 and post 404 to move.
  • the local control unit 406 can be located in the MEMS wafer 402, the interposer wafer 409, or be split over both.
  • TSVs Through silicon vias
  • a control wafer 413 also referred to as support wafer, is connected to the other side of the interposer wafer 409 via a redistribution layer (RDL) 414.
  • the RDL 414 connects the TSVs 412 in the interposer wafer electronically to corresponding TSVs 415 in the control wafer 413.
  • the interposer wafer 409 can be bonded to the control wafer 413 with copper or tin micro-bumps.
  • a control circuit 416 on the opposite side of the control wafer 413 controls the array of MEMS elements 401.
  • the control circuit 416 is configured to transmit and receive data signals to and from the local control unit 406 using the TSVs 412 and 415.
  • the control circuit 416 controls the array of MEMS elements 401 with data signals passed between the control circuit 416 and the local control unit 406.
  • the TSVs 412 and 415 are also used to provide a supply voltage the MEMS elements 401.
  • control circuit 416 connects to one local control unit 406 of a sub-array of MEMS elements, instead of directly to the actuators 407 and sensors 408 of all the MEMS elements 401, a great reduction in the number of TSVs can be achieved.
  • FIG. 5a shows a MEMS array 500 comprising 24 x 24 MEMS elements 501 partitioned into 16 sub-arrays 502, each with 6 x 6 MEMS elements 501.
  • the control circuit (not shown) communicates over a respective serial data bus with each sub-array 502, and can communicate with multiple sub-arrays 502 in parallel. Hence, instead of controlling each element 502 individually, the control circuit can send control signals to the sub-array 502 over the serial bus, and a local control unit (not shown) associated with the sub-array controls the specific MEMS element 501 in the sub-array 502 accordingly.
  • FIG. 5b shows a similar MEMS array 500 with 24 x 24 MEMS elements 501 partitioned into 16 sub-arrays 502, each with 6 x 6 MEMS elements 501.
  • the position of some TSVs 503 connected to the four inner sub-arrays 502 (“Bus 6”, “Bus 7”, “Bus 10” and “Bus 11”) are indicated in the array 500.
  • the array 500 is approximately 26 mm wide, and the TSVs 503 of the inner sub-arrays 502 are located only approximately 7 mm from the edge of the array 500, whereas the centres of the inner subarrays 502 are approximately 10mm from the edge of the array 500.
  • Solder and micro-bump connections tend to be stronger/ more reliable close to the edges of the array 500. It is therefore beneficial to place all (or substantially all) the TSVs 503 within a sub-array 502 closer to the edge of the full array 500, i.e. as shown just inside the perimeter of the area occupied by the four inner sub arrays.
  • Figure 6a shows a schematic diagram of the connections between the control circuit 600 and the MEMS elements 601 via TSVs 602 for transmitting data signals to the control circuit.
  • Figure 6b shows a similar diagram for transmitting data signals from the control circuit.
  • the same mesh like connection is used between MEMS elements 601 with multiple TSVs 602 transmitting data signals from the controller 600 to the MEMS elements 601.
  • each respective plurality of TSVs connects a sub-array of 6x6 MEMS elements.
  • Three logic signals are used to transmit control signals between the control circuit and a local control unit:
  • SCLK serial clock at, for example, 12.5 MHz to cover 344 kb/s for 36 MEMS elements (i.e. one sub-array).
  • MISO master in/slave out
  • control signals are used across the whole array to select a specific MEMS element for read or write.
  • FIG. 7 illustrates an example communication between a control circuit and individual MEMS elements in one sub-array via a local control unit.
  • Each MEMS element receives four control signals:
  • Data can be sent to a MEMS element when both its COL_WE and ROW_WE are active. Data is read from a MEMS element when both its COL_RE and ROW_RE are active. 1 COL_RE and 1 COL_WE signal is used for each column, 1 ROW_RE and 1 ROW_WE signal is used for each row.
  • Methods of forming a MEMS array, and in particular a micromirror array, are also described herein.
  • Figures 8a to 8j illustrate some of the steps of an embodiment of such a method.
  • the method comprises providing a first silicon wafer 800 for forming the piezoactuators and the sensing elements.
  • the first wafer 800 may be referred to as the “actuator wafer”.
  • the actuator wafer may be a silicon on insulator (SOI) wafer with a 4 pm silicon film 801.
  • Low voltage active devices such as the sensing elements can be formed in the wafer 800 using a Complementary Metal Oxide Semiconductor (CMOS) Front End of Line (FEOL) process.
  • CMOS Complementary Metal Oxide Semiconductor
  • BEOL CMOS Back End of Line
  • CMP Chemical Mechanical Polishing/Planarization
  • a Cu damascene process can be used for forming a Cu bonding matrix 803 with CU pads 804 for subsequent Cu-Ox hybrid bonding to another wafer.
  • the method further comprises providing a second silicon wafer
  • the second wafer 805 which will become the substrate on which the micromirror array is fixed.
  • the second wafer 805 may be referred to as the “interposer wafer”.
  • the interposer wafer 805 may be an SOI wafer with a 100 pm silicon film as seen in Figure 8b.
  • a high voltage (HV) CMOS process (both FEOL and BEOL) may be used to form a HV driver in the wafer.
  • a TS V process can be used to form electrical connections
  • FIG. 806 illustrates how the first and second wafers 800 and 805 are bonded, e.g. using a Cu/oxide hybrid bond 809.
  • a “handle wafer”, used for handling the first wafer 800 may be removed to expose the first wafer 800. Selective box removal can then be used to leave a thin layer (e.g. 5 pm) of silicon 810 of the first wafer 800 bonded to the second wafer 805. A1 can be deposited and patterned on the first wafer 800 for subsequent connection to a mirror. The first wafer 800 can be patterned to form the piezoelectric actuators 811. AI2O3 and/or TiN can be deposited on the first wafer for protection against EUV radiation and against plasma.
  • the method further comprises providing a third silicon wafer 812 for forming the mirror.
  • the third wafer 812 may be referred to as the “mirror wafer” 812.
  • the mirror wafer 812 may be an SOI wafer with a 250 pm silicon film.
  • the method may comprise performing a cavity etch on the mirror wafer 812 to allow for a thermal barrier (e.g. 1 pm to 2 pm), followed by Ge deposition for subsequent bonding to the first wafer 800.
  • a hardmask e.g.
  • the mirror wafer 812 is etched to form pillars 813 (“beam connectors”) for connecting to the piezoelectric actuators and to form a thermally conductive post 814 (“center post”) for connecting to a heat sink so as to form a heat diffuser.
  • a mirror release trench 815 is etched around the periphery of the mirror.
  • the third wafer 812 is bonded to the first wafer 800 in order to connect the mirror to the piezoelectric actuators.
  • the step of bonding may comprise aligned Ge/Al eutectic bonding.
  • the Al/Ge bonding layer 816 is both thermally and electrically conducting, which can allow efficient heat transfer from the mirror through the thermally conductive post to the heat sink.
  • Some of the box oxide may have been left on the first wafer 800 to reduce thermal and electrical conduction at some bonding locations such as at the pillars connected to the piezoelectric actuators.
  • the stack of bonded wafers (wafers 1 “actuator wafer” 800, 2 “interposer wafer” 805 and 3 “mirror wafer” 812), which may collectively be referred to as the “device wafer”, is turned upside down, so that the handle wafer 817 of the mirror wafer 812 becomes the supporting wafer.
  • the handle wafer of the second wafer 805 can be removed from the second wafer 805 and box removal may be used to reveal the TSVs 806 in the second wafer 805. This can be followed by dielectric deposition, patterning and bump formation.
  • lithography and silicon etching is used to form cavities 818 in the second wafer 805 underneath the piezoelectric actuators and the heat sink.
  • an outer portion of the flexible membrane 314 e.g. a portion radially outward of the grooves
  • the wall 819 is between a cavity 818a in register with the heat sink and a cavity 818b in register with the piezoelectric actuators.
  • the wall 819 is able conduct heat from the flexible membrane 314 to the substrate.
  • the method then comprises etching a dielectric layer to reveal the piezoelectric actuators (i.e. to release the strip of flexible material), the sensing elements and dicing scribes.
  • the method further comprises providing a fourth silicon wafer 820 for sealing the second wafer 805.
  • the fourth wafer 820 may be referred to as the “support wafer” 820 and comprises TSVs 821 for connecting to the second wafer 805. Bump bonding may be used to bond the fourth wafer 820 to the second wafer 805.
  • An A1 redistribution layer (RDL) and connection pads can be formed on the back of the fourth wafer 820.
  • RDL redistribution layer
  • the mirror 822 is released by removing the front side handle wafer 817.
  • a controller chip can be glued and wirebonded to the back of the fourth wafer 820.
  • Another embodiment of the invention comprises a lithographic apparatus LA, such as the lithographic apparatus LA of Figure 1, configured for dark-field imaging as shown in Figure lb.
  • a potential problem with this configuration of dark-field imaging is that for large patterns (i.e. patterns having a large pitch between features) the diffraction angle is small, and the first order diffractions (+1 and -1) may also fall within the opening 102, which can make imaging at the wafer level difficult.
  • the patterning device comprises a first MEMS, which can be used to displace the surface of the patterning device to direct first order diffractions from the opening 102 to the mirror 101.
  • the MEMS comprises a plurality of MEMS elements arranged under the multilayer reflector of the patterning device MA.
  • Each MEMs element may comprise a displacement structure (e.g. a rectangle of silicon for tipping an tilting) supporting the multilayer reflector or may be directly bonded to the underside of the multilayer reflector.
  • the mirror 101 therefore comprises a second MEMS (such as a micromirror array) to compensate for the redirection by correcting the angle of the patterned beam.
  • the second MEMS may be controlled through a feedback system, which uses the position of the patterning device MA (relative to the incident radiation beam) to determine the required correction of the mirror 101 and provide control signals to the second MEMS accordingly.
  • the second MEMS is thereby dynamically updated throughout scanning.
  • the required corrections for a given position of the patterning device MA can be determined in advance through simulations of the particular patterning device.
  • the mask on the multilayer reflector may comprise both features with large pitch (e.g. pitch of the order of 100 nm or more) and small pitch (e.g. pitch ⁇ lOnm), and the first and second MEMS are configured to be used only for features having a large pitch.
  • the diffraction angle is large enough to avoid the opening 102 in the mirror 101.
  • the local deformation required for any particular area of the patterning device can be pre set in the first MEMS, since the features of the patterning device are fixed.
  • the patterning device may be simulated to determine the required local deformation, which can then be used to set the displacements/positions of the MEMS elements of the first MEMS.
  • Figures 9a and 9b show embodiments of the MEMS used in a grazing incidence mirror.
  • a multilayer reflector is normally required to reflect sufficient EUV, whereas for grazing incidence a single reflective layer or coating may be used.
  • a micromirror array 900 is used to reflect light at grazing incidence.
  • the gaps between individual mirrors 901 in the array 900 can cause flares 902 from edge reflections and plasmas 903 to form.
  • Figure 9b shows another embodiment of the grazing incidence mirror, wherein a continuous reflective layer being a metal foil 904 is located over the displacement structures 906 of the MEMS. By displacing the displacement structure 906, the foil 904 can be deformed to redirect incident light.
  • Figure 10 shows a grazing-incidence collector 1000 for collecting light 1001 from a source 1002 to form a radiation beam B.
  • the collector 1000 comprises a plurality of cylindrical grazing incidence mirrors 1003 for focusing incident light 1001 from the source 1002 towards an intermediate focus point IF.
  • the grazing incidence mirrors may comprise MEMS as described herein in order to control the focus point of the radiation beam B.
  • Figure 11 illustrates another embodiment of the grazing incidence mirror 1100, being a focus mirror in an inspection and/or metrology apparatus.
  • the mirror 1100 can use the MEMS to change the point of focus of the light 1101 incident on the wafer or other substrate 1102.
  • Light diffracted 1103 from the wafer or other substrate 1102 may be received and analyzed in order to determine features of the wafer or other substrate 1102.
  • the MEMS described herein is used in a pellicle frame, in order to control the stress in the pellicle over time.
  • Figure 12a shows a top view of a pellicle 1200 with a border 1201 supported by a frame 1202.
  • the frame comprises a MEMS 1203 with an array of MEMS elements located in the dashed regions under the border 1201. Whilst Figure 12a shows the MEMS 1203 extending under a part of the border 1201, in other embodiments the MEMS 1203 may cover the entire border 1201 of the pellicle 1200 or a different part.
  • Figure 12b shows a side cross-section of the pellicle 1200.
  • the MEMS 1203 is located at the top of the frame so as to contact the border 1201 of the pellicle 1200.
  • the pellicle 1200 may be part of a mask assembly such as the mask assembly 15 of Figure 1. Active mechanical actuation from the MEMS elements is used to provide border deformation in order to apply stress to the pellicle 1200.
  • the MEMS allows for continuous control of the pellicle border bending.
  • a benefit of the MEMS device is that it can be controlled during operation, without requiring the mask assembly to be removed or disassembled. This means that if the pellicle film would lose, or gain, pre-stress due to a degradation process, this could be corrected for by increasing or decreasing the tilt of MEMS elements.
  • correction for a reduction of stress (which may be referred to as tension) of the pellicle 1200 may be applied by actuating the MEMS 1203 on one side, or opposite sides, of the pellicle.
  • the actuation may move the MEMS 1203 outwards (i.e. away from an interior of the pellicle frame).
  • Correction for a reduction of stress may be applied by actuating the MEMS 1203 on all sides of the pellicle 1200 (e.g. moving the MEMS outwards).
  • a localized reduction of stress in the pellicle 1200 may occur (e.g. for half of the pellicle).
  • Correction for this localized reduction of stress may be applied by actuating the MEMS 1203 on opposite sides of the area of localized stress reduction (or on one side of the area of localized stress reduction).
  • the MEMS may be actuated to correct for localized or global changes of stress of the pellicle. This may advantageously increase the lifetime of the pellicle.
  • the MEMS 1203 can be used to determine the level of stress in the pellicle for different areas of the pellicle.
  • a feedback system can be used to control the MEMS elements to locally compensate for any changes in the stress. In this way automated control of the stress of the pellicle 1200 may be provided.

Abstract

A microelectromechanical system, MEMS, comprises a MEMS wafer comprising an array of MEMS elements partitioned into a plurality of sub-arrays. A respective local control unit is provided for each sub-array a respective local control unit arranged to perform read/write communication with each MEMS element of the corresponding sub-array. The MEMS further includes a control wafer comprising a control circuit for controlling the array of MEMS elements. The control circuit is configured to transmit and receive data signals to and from each of the local control units using, for each control unit, a respective plurality of through silicon vias, TSV, in the control wafer. The control circuit is operative to control the array of MEMS elements using the data signals passed between the control circuit and the local control units, which comprise data signals relating to specific ones of the MEMS elements of the corresponding sub-array of MEMS elements.

Description

MEMS ARRAY INTERCONNECTION DESIGN
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority of EP application 20210518.5 which was filed on 30 November 2020, and EP application 20213665.1 which was filed on 14 December 2020, and which are incorporated herein in its entirety by reference.
FIELD
[0002] The invention relates to a microelectromechanical system (MEMS) array interconnect design, in particular an interconnect design to reduce the number of through silicon vias (TSVs) in a MEMS system. It further relates to a MEMS system which is a micromirror array, a programmable illuminator comprising such a micromirror array, a lithographic apparatus comprising such a programmable illuminator, an inspection and/or metrology apparatus comprising such a programmable illuminator and a method for forming such a MEMS.
BACKGROUND
[0003] A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus may, for example, project a pattern at a patterning device onto a layer of radiation-sensitive material (resist) provided on a substrate. The term “patterning device” as employed in this text should be broadly interpreted as referring to a device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term “light valve” can also be used in this context. Generally, the pattern will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit or other device. Examples of such patterning devices include: [0004] - A mask (or reticle). The concept of a mask is well known in lithography, and it includes mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. Placement of such a mask in the radiation beam causes selective transmission (in the case of a transmissive mask) or reflection (in the case of a reflective mask) of the radiation impinging on the mask, according to the pattern on the mask. The mask may be supported by a support structure such as a mask table or mask clamp. This support structure ensures that the mask can be held at a desired position in the incoming radiation beam, and that it can be moved relative to the beam if so desired; [0005] - A programmable mirror array. One example of such a device is a matrix-addressable surface having a viscoelastic control layer and a reflective surface. The basic principle behind such a device is that (for example) addressed areas of the reflective surface reflect incident light as diffracted light, whereas unaddressed areas reflect incident light as undiffracted light. Using an appropriate filter, the undiffracted light can be filtered out of the reflected beam, leaving only the diffracted light behind; in this manner, the beam becomes patterned according to the addressing pattern of the matrix- addressable surface. An alternative embodiment of a programmable mirror array employs a matrix arrangement of tiny mirrors, each of which can be individually tilted about an axis, for example by applying a suitable localized electric field, or by employing electrostatic or piezoelectric actuation means. Once again, the mirrors are matrix-addressable, such that addressed mirrors will reflect an incoming radiation beam in a different direction to unaddressed mirrors; in this manner, the reflected beam is patterned according to the addressing pattern of the matrix-addressable mirrors. The required matrix addressing can be performed using suitable electronic means. In both of the situations described hereabove, the patterning means can comprise one or more programmable mirror arrays. More information on mirror arrays as here referred to can be gleaned, for example, from United States Patents US 5,296,891 and US 5,523,193, and PCT patent applications WO 98/38597 and WO 98/33096, which are incorporated herein by reference. Such a programmable mirror array may be supported by a support structure such as a frame or table, for example, which may be fixed or movable as required; and [0006] - A programmable LCD array. An example of such a construction is given in United
States Patent US 5,229,872, which is incorporated herein by reference. Such a programmable LCD array may be supported by a support structure such as a frame or table, for example, which may be fixed or movable as required.
[0007] For purposes of simplicity, the rest of this text may, at certain locations, specifically direct itself to examples involving a mask and a mask table; however, the general principles discussed in such instances should be seen in the broader context of the patterning means as hereabove set forth.
[0008] To project a pattern on a substrate a lithographic apparatus may use electromagnetic radiation (here referred to often as simply “light”, though the wavelength may not be in the visible range). The wavelength of this radiation determines the minimum size of features which can be formed on the substrate. A lithographic apparatus, which uses extreme ultraviolet (EUV) radiation, having a wavelength within the range 4-20 nm, for example 6.7 nm or 13.5 nm, may be used to form smaller features on a substrate than a lithographic apparatus which uses, for example, radiation with a wavelength of 193 nm.
[0009] Besides the wavelength (l) of the radiation and the Numerical Aperture (NA) of the projection lens, the shape, or more generally the angular intensity distribution, of the illumination source is one of the most important parameters in enabling high resolution in lithography.
[00010] A micromirror array, comprising an array of hundreds or thousands of micromirrors (often referred to below simply as “mirrors”), can be used in the illumination system of a lithographic apparatus to control the cross-sectional shape and intensity distribution of the light. Each micromirror reflects a spot of light and changing the angles of the micromirrors changes the positions of the spots and thus changes the shape of the radiation beam. [00011] Microelectromechanical systems (MEMS) technology may be used to manufacture and control the mirrors. For example, an electrostatic or piezoelectric MEMS system may be used to angle the mirrors.
[00012] Currently micromirror arrays exist for shaping light having a wavelength in the deep ultraviolet spectrum (DUV), e.g. l = 193 nm. However, these micromirror arrays cannot be effectively used at shorter wavelengths as required for light in the extreme ultraviolet spectrum (EUV), e.g. l = 13.5 nm. New micromirror array technology is required for use with EUV radiation. Also, advantageous new applications for this new micromirror array technology are desired, for use with EUV and/or non-EUV radiation, e.g. visible light or DUV radiation.
[00013] PCT patent application PCT/EP2020/072005 (which was not published at the priority date of the present application) discloses a micromirror array which uses piezoelectric actuators to control the angles of mirrors in a micromirror array. The mirrors are provided in a MEMS wafer, and control signals for each mirror are transmitted to the MEMS wafer from a control circuit using, for each mirror, a respective plurality of through silicon vias, TSV.
SUMMARY
[00014] According to a first aspect of the present invention there is provided a microelectromechanical system (MEMS) such as a micromirror array, which for example may be used in the illumination system of a lithographic apparatus or an inspection and or metrology apparatus to condition a radiation beam. The MEMS comprises a MEMS wafer comprising an array of MEMS elements which includes a plurality of sub-arrays of the MEMS elements. The sub-arrays correspond to respective (typically non-overlapping) subsets of multiple MEMS elements of the array. All the MEMS elements of the array may be in a corresponding one of the sub-arrays, so that the array is partitioned into the sub-arrays. Each of the sub-arrays may be associated with a corresponding, e.g. rectangular (a term which here is used to include square), portion of the MEMS wafer.
[00015] For each sub-array, there is a respective local control unit arranged to perform read/write communication with each MEMS element of the corresponding sub-array, and a control wafer comprising a control circuit for controlling the array of MEMS elements, wherein the control circuit is configured to transmit and receive data signals to and from each of the local control units using, for each control unit, a respective plurality of through silicon vias, TSV, in the control wafer. The control circuit is operative to control the array of MEMS elements by using signals passed between the control circuit and the local control units, the signals passed, using the respective plurality of TSVs, between the control circuit and each of the local control units comprising data signals relating to specific ones of the MEMS elements of the corresponding sub-array of MEMS elements. Additionally, the TSVs preferably also carry supply voltages and control signals between the control circuit and the local control units. Thus, the local control units in effect collectively provide a “global bus” for communication between the control circuit and each of the mirrors individually. [00016] In a system such as PCT/EP2020/072005, TSVs and bonding bumps occupy a disadvantageous^ large portion of the MEMS and a failure of any one of them can cause failure of the entire MEMS. Since the number of such interconnects is several times the number of MEMS elements, and each interconnect has a certain chance of failure, the proportion of fault MEMS produced by a manufacturing process is increased. The present concepts makes it possible, by employing local control units each connected to multiple MEMS elements, to reduce the number of interconnects per MEMS element, thus simplifying the manufacturing process and increasing the yield rate of the production process.
[00017] In an embodiment, each MEMS element comprises one or more actuators for displacing the MEMS element. Such actuators can e.g. be piezoelectric actuators that are connected to the MEMS wafer. Such actuators may further, in an embodiment, be connected to the MEMS element by one or more pillars. The actuators may further be connected to the local control unit of the sub-array comprising the MEMS element.
[00018] In an embodiment, the system according to the invention further comprises one or more sensors for sensing a position of the MEMS element. In such embodiment, the one or more sensors may also be connected to the local control unit of the sub-array comprising the MEMS element.
[00019] In an embodiment comprising both actuators and sensors, a position, as sensed by the sensor, can be provided to the local control unit and can be used as a feedback signal for controlling the one or more actuators that are used to displace or position the MEMS element.
[00020] Each local control unit may be locally connected to a large number of individual MEMS elements (e.g. over 20) and to individual sensors and actuators comprised by each MEMS element. Thus, only a small number of TSVs per MEMS element (e.g. fewer than one TSV per MEMS element) are required to connect the local control unit to the (global) control circuit.
[00021] For each of the local control units, the control circuit may be operative to transmit and receive at least some of the same data signals to and from the local control unit using multiple ones of the TSVs. This creates redundancy in the electrical connections, which allows the MEMS to continue to function normally should one connection fail (e.g. due to failed bump bonding). This can significantly increase the overall yield, since the array is then no longer limited by a single connection or small cluster of connections failing.
[00022] The respective plurality of TSVs of one or more of the sub-arrays are preferably located closer to the edges of the MEMS array than the distance from the edges of the MEMS array to the center of the corresponding sub-array. In many MEMS systems the MEMS wafer is supported at the edges of the MEMS array, so that different portions of the sub-array have different stabilities, and the positions closer to the edges of the MEMS array are generally more stable. Thus the bump/bond connection strength of a TSV tends to be greater closer to the outer edge of the MEMS array, and hence it can be beneficial to locate at least the majority of the TSVs of a sub-array at positions with that sub-array close to the edge of the full array. [00023] Preferably the local control unit comprises an analogue to digital converter, ADC, for converting analogue signals received from the MEMS elements into digital signals transmitted to the control circuit, and a digital to analogue converter, DAC, for converting digital signals received from the control circuit into analogue signals transmitted to the MEMS elements. Having the local control unit transform the analog signals into digital signals can have a large beneficial impact on the overall noise in the system.
[00024] The control circuit may comprise a plurality of control units, wherein each control unit is configured to transmit and receive data signals to and from a respective subset of the plurality of sub arrays. In particular for large arrays, it can be beneficial to split the control circuit into multiple control units that operate in parallel, each controlling a subset of the sub-arrays. This reduces, for example, the required complexity of the control circuit, compared for example to employing a single integrated circuit to control all the local control units.
[00025] The number of TSVs in the control wafer may be between a quarter of the number of MEMS elements in the array and twice the number of MEMS elements in the array. One TS V for every four MEMS elements may be sufficient to control the whole array, and further TSVs can be beneficial to provide redundancy.
[00026] The control circuit and each local control unit can employ the respective plurality of TSVs as a serial data bus. A serial bus can provide an easy and efficient communication scheme from the control circuit (Master) to the MEMS elements (Slaves). For example, the array can be operated with three logic signals being MOMI (master out / slave in) for transmitting from the control circuit to the local control units, MIMO (master in / slave out) for receiving signals at the control circuit from the local control units, and a serial clock signal (e.g. 12.5 MHz). In addition, there are slave selector signals specifying the specific MEMS elements on which to perform the read or write communications of the MOMI and MIMO signals. The serial clock of the serial data bus may have frequency in the range of 5 MHz to 50 MHz. The frequency is set to provide a sufficiently high data rate to control the MEMS elements at a desired speed. The control circuit can be configured to use a plurality of the serial data buses in parallel to communicate with the local control units. Hence, the control circuit may be operative to perform read and write operations simultaneously on MEMS elements in different sub-arrays. Additionally or alternatively, each local control unit may be configured to perform respective read and write communications simultaneously on two different MEMS elements in one sub-array. That is, a read communication can be performed on one MEMS element in a sub-array and a write operation can simultaneously be performed on a different MEMS element in the same sub-array.
[00027] As an example, the array of MEMS elements may have 16 sub-arrays, each sub-array comprising 6 x 6 MEMS elements (i.e. the MEMS array is a 24 x 24 MEMS array). In this case, the number of TSV’s may be for example 9 for each sub-array (excluding any TSVs which can be considered redundant because they carry the same signals as one of the 9 TSVs) [00028] Each MEMS in the array is located in a row and a column of the array. The control circuit can be configured to control which specific MEMS element the corresponding local control unit performs a read operation on by transmitting a read control signal to the row and to the column of the specific MEMS element. Similarly, the control circuit may configured to control which other MEMS element the corresponding local control unit performs a write operation on by transmitting a write control signal to the row and to the column of the other MEMS element. Data can only be read from a MEMS element whose row and column are both activated by a read signal at the same time, and, similarly, data can only be transmitted to a MEMS element whose row and column are activated at the same time by a write signal. For an n x n array, there are typically 2 x (n + n) control signals for selecting one MEMS element in the array for either read or write. For example, in a 24 x 24 array, the control circuit can be configured to use 96 control signals for selecting the MEMS element (48 read control signals, and 48 write control signals).
[00029] The MEMS may further comprise an interposer wafer located between the MEMS wafer and the control wafer and comprising a plurality of TSVs corresponding to the TSVs in the control wafer. Each TSV in the interposer layer may provide a respective communication path to a corresponding one of the local control units. The interposer wafer can be used to support the MEMS wafer. The interposer wafer may comprise, for each sub-array, a mesh connection for connecting each MEMS element in the sub-array to the local control unit of that sub-array. Typically, the TSVs in the interposer layer have a different layout and/or mutual spacing from the TSVs in the control way. For that reason, the control wafer may comprise a redistribution layer, RDL, for providing respective communication paths between TSVs in the interposer wafer and corresponding TSVs in the control wafer. This can allow the footprint of the control circuit on the control wafer to be reduced, e.g. to be much smaller than the total area of the MEMS array.
[00030] The interposer wafer may be bonded to the MEMS wafer by a copper/oxide, Cu/OX, hybrid bond. Preferably, the Cu Ox hybrid bonds of at least one sub-array are located closer to the edges of the MEMS array than distance from the edge of the MEMS array to the center of the corresponding sub array, since, for the reason mentioned above, the connection strength is generally better closer to the edge of the MEMS array. The interposer wafer may be bonded to the control wafer by copper or tin micro-bumps, and the control circuit may be wire bonded to the control wafer. Additional micro-bumps, not used to form electrical connections, may be provided for mechanical stability and heat dissipation. [00031] In an embodiment, each MEMS element comprises one or more actuators for displacing the MEMS element (e.g. displacing a micromirror or displacement structure of the MEMS element). Such actuators can e.g. be piezoelectric actuators that are connected to the MEMS wafer. Such actuators may further, in an embodiment, be connected to the MEMS element by one or more pillars. The actuators may further be connected to the local control unit of the sub-array comprising the MEMS element. [00032] In an embodiment, the system according to the invention further comprises one or more sensors for sensing a position of the MEMS element. In such embodiment, the one or more sensors may also be connected to the local control unit of the sub-array comprising the MEMS element.
[00033] In an embodiment comprising both actuators and sensors, a position, as sensed by the sensor, can be provided to the local control unit and can be used as a feedback signal for controlling the one or more actuators that are used to displace or position the MEMS element.
[00034] For each MEMS element of the plurality of mirrors, the MEMS may comprise a heat diffuser for diffusing heat from the MEMS element. The heat diffuser may comprise a heat sink and a thermally conductive post connecting the heat sink to the MEMS element. The heat sink preferably comprises a flexible membrane, which allows the thermally conductive post to pivot when the MEMS element is displaced.
[00035] The heat diffuser allows heat to be conducted away, such as to the substrate. Typically, the heat diffuser comprises a flexible element connected between the MEMS element and the substrate and arranged to flex as the MEMS element is moved. Note that there is a trade-off between increased flexibility of the heat diffuser, and increased ability for the heat diffuser to conduct heat away from the MEMS element. Using piezoelectric actuator(s) allows increased force to be applied to the flexible element, in turn allowing the heat diffuser to be selected to provide improved thermal conductivity. [00036] The MEMS array may be a micromirror array and each MEMS element may then comprise or be associated with a mirror for reflecting incident light. The mirrors may be provided by a mirror wafer bonded to the MEMS wafer.
[00037] Apart from the micromirror array, the microelectromechanical system, MEMS, may be used in other parts of a lithographic apparatus and/or an inspection and or metrology apparatus. For example, the MEMS may be used with a single (macro) mirror by locating the plurality of MEMS elements underneath and deforming the mirror surface. Accordingly, the MEMS may further comprise a continuous reflective layer, wherein displacement of the MEMS elements causes the continuous reflective layer to be deformed in order to redirect light incident on the continuous reflective layer. The reflective layer can be a multilayer reflector, for example when used in a patterning device or in a normal incidence mirror, or may be a single metal foil, for example when used in a grazing incidence mirror.
[00038] The MEMS elements can in some instances be directly bonded to the continuous reflective layer or to a continuous substrate on which the continuous reflective layer is located (for example, the pillars directly attached to the piezoelectric actuators can be directly bonded to the back of the reflective layer). Alternatively, each MEMS element may comprise a displacement structure for supporting the continuous reflective layer and for translating displacements of the one or more piezoelectric actuators to the continuous reflective layer. The displacement structure may be formed in a separate wafer and bonded to the other part of the MEMS. The displacement structure may be a rectangular layer or block, which is tipped and tilted when displaced by the piezoelectric actuators. Other shapes of the displacement structure are also of course possible (e.g. hexagonal in the horizontal plane), depending on the type of deformation of the overlying structure that is required.
[00039] According to a second aspect of the present invention there is provided programmable illuminator for conditioning a radiation beam. The programmable illuminator includes a micromirror array which is a microelectromechanical system according to the first aspect for shaping a radiation beam used to project the pattern.
[00040] The programmable illuminator may further comprise a displacement control feedback system configured to determine for each mirror in the micromirror array a position of the mirror and to adjust a voltage applied to the associated piezoelectric actuators based on the determined position and based on a predefined target position of the mirror. The performance of the piezoelectric actuators may change over time, so that the initial calibration of displacement to applied voltage is no longer valid, and the displacement control feedback system can be used to adapt applied voltage based on the measured mirror position. The feedback system may comprise or make use of the sensing element of the micromirror array to determine the mirror position.
[00041] According to a third aspect of the present invention there is provided a lithographic apparatus arranged to project a pattern from a patterning device onto a substrate. The lithographic apparatus comprises a programmable illuminator according to the second aspect of the present invention for conditioning a radiation beam used to illuminate the patterning device and/or for conditioning a radiation beam used to measure a target structure on the substrate. The micromirror array in the programmable illuminator may be used in an illumination system of a lithographic apparatus for example to control or condition a cross-sectional shape and/or intensity distribution of the light or radiation beam that is used to illuminate the patterning device. Alternatively or in addition, the micromirror array in the programmable illuminator may be used in an alignment system and or overlay measurement system, respectively, of the lithographic apparatus to control or condition a spectral and or spatial distribution of the light or radiation beam that is used to measure a position of an alignment mark(er) or target structure on the substrate and/or to perform an overlay measurement of a mark(er) or target structure on the substrate, respectively.
[00042] According to a fourth aspect of the present invention there is provided an inspection and/or metrology apparatus that comprises a programmable illuminator according to the second aspect of the present invention for conditioning a radiation beam used to measure a target structure on a substrate. For example, the micromirror array in the programmable illuminator may be used to control or condition a spectral and/or spatial distribution of the light or radiation beam that is used by the inspection and or metrology apparatus to measure a target structure, e.g. a mark(er), on the substrate in order to determine the position of that target structure for alignment purposes and/or in order to perform an overlay measurement.
[00043] According to a fifth aspect of the present invention there is provided lithographic apparatus arranged to operate in a dark-field imaging mode when projecting a pattern from a patterning device onto a substrate. The apparatus comprises a patterning device (which may alternatively be referred to as a mask or a reticle) comprising a first MEMS according to the first aspect of the invention, wherein the first MEMS comprises a continuous reflective layer such as multilayer reflector provided with a pattern for patterning the incident radiation beam. The apparatus further comprises a mirror for projecting the pattern from the patterning device and comprising a second MEMS according to the first aspect (e.g. a micromirror array), wherein the mirror comprises an opening arranged to let through the radiation beam used to illuminate the patterning device. The opening allows the radiation beam to have normal incidence on the patterning device. The first MEMS is configured to redirect a first order diffraction from the patterning device, which would otherwise fall inside the opening, and onto the mirror. The second MEMS is configured to compensate for the redirection so as to correct the projected pattern. The diffraction angle at any one point on the patterning device depends on the density or pitch of features of the patterning device at that point. A large pitch gives a small diffraction angle and requires a larger deformation of the surface of the patterning device to redirect the first order diffraction onto the mirror. The first MEMS can be pre-set to provide the appropriate local deformation across the patterning device and may then remain fixed throughout the patterning/scanning process. The second MEMS (i.e. the MEMS on the projection mirror) needs to dynamically update the displacements of the MEMS elements as the radiation beam is scanned across the patterning device in order to correctly compensate for the local deformation of the patterning device where the radiation beam is incident. [00044] According to a sixth aspect of the present invention there is provided a mask assembly, comprising a pellicle (a thin film) arranged to protect a surface of a patterning device from contamination, and a pellicle frame configured to support the pellicle and configured to fix the pellicle relative to the patterning device. The frame comprises a MEMS according to the first aspect of the invention, and the MEMS is configured to displace part of the pellicle so as to apply stress to the pellicle. The MEMS can displace a border area/portion of the pellicle to increase the stress, which can prevent the film from slacking and requiring replacement thereby prolonging the lifetime of the pellicle and mask assembly. Sensors in the MEMS elements can be used to determine the stress in the pellicle, and the MEMS elements can be individually controlled to apply stress locally across different sections of the pellicle.
[00045] According to a seventh aspect of the present invention, there is provided a lithographic apparatus arranged to project a pattern from a patterning device onto a substrate, the lithographic apparatus comprising a mask assembly according to the sixth aspect of the invention.
[00046] According to an eighth aspect of the present invention there is provided a grazing incidence mirror for reflecting light having an angle of incidence in the range of about 75° to 89°. The mirror comprises a MEMS according to the first aspect of the invention. The MEMS can comprise micromirrors or preferably a continuous reflective layer such as a metal foil. The continuous layer can prevent incident radiation from being scattered from the edges of the micromirrors and can also prevent plasma formation in the gaps and underneath the micromirrors. [00047] According to a ninth aspect of the present invention there is provided a collector for collecting light from a light source to form a radiation beam. The collector comprises a plurality of grazing incidence mirrors according to the eighth aspect of the present invention.
[00048] According to a tenth aspect of the present invention there is provided an inspection and/or metrology apparatus comprising a grazing incidence mirror according to the eighth aspect of the present invention, the grazing incidence mirror being configured to allow adjustment of a focus of a radiation beam on a substrate such as a wafer.
[00049] According to an eleventh aspect of the present invention there is provided a method of forming a MEMS system according to the first aspect of the present invention. The method may include: providing a MEMS wafer comprising an array of MEMS elements partitioned into a plurality of sub arrays; providing for each sub-array a respective local control unit arranged to perform read/write communication with each MEMS element of the corresponding sub-array; providing a control wafer comprising a control circuit for controlling the array of MEMS elements; and connecting the control wafer to the MEMS wafer, optionally via an interposer wafer. The control circuit is configured, once the control wafer is connected to the MEMS wafer, to transmit and receive data signals to and from each of the local control units using, for each control unit, a respective plurality of through silicon vias, TSV, in the control wafer, and the control circuit is operative to control the array of MEMS elements by using data signals passed between the control circuit and the local control units, the data signals passed between the control circuit and each of the local control units comprising data signals relating to specific ones of the MEMS elements of the corresponding sub-array of MEMS elements.
BRIEF DESCRIPTION OF THE DRAWINGS
[00050] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings, in which:
Figure 1 depicts a lithographic system comprising a lithographic apparatus with a (programmable) illuminator and a radiation source;
Figure la depicts a part of a lithographic apparatus;
Figure lb shows a part of a lithographic apparatus configured for dark-field imaging;
Figure lc depicts a known inspection and or metrology apparatus;
Figure Id depicts a programmable illuminator for use in the inspection and/or metrology apparatus of Figure lc.
Figure 2 depicts a mirror element of a micromirror array according to an embodiment comprising a mirror and four piezoelectric actuators;
Figure 3 depicts a schematic cross section of a part of a micromirror array according to an embodiment;
Figure 4 depicts a part of a MEMS system which is an embodiment of the invention and which may be employed in a micromirror array which is an embodiment of the invention; Figure 5a depicts a MEMS arrays according to an embodiment;
Figure 5b depicts a MEMS array according to an embodiment with TSVs in a preferential position in the array;
Figure 6a shows a connection diagram of a MEMS array with the MEMS elements transmitting to the control circuit;
Figure 6b shows a connection diagram of a MEMS array with the control circuit transmitting to the MEMS elements;
Figure 7 illustrates how the control circuit can communicate with the MEMS elements in a sub array over a serial bus.
Figure 8a depicts a first step in a method of forming a micromirror array;
Figure 8b depicts a second step in a method of forming a micromirror array;
Figure 8c depicts a third step in a method of forming a micromirror array;
Figure 8d depicts a fourth step in a method of forming a micromirror array;
Figure 8e depicts a fifth step in a method of forming a micromirror array;
Figure 8f depicts a sixth step in a method of forming a micromirror array;
Figure 8g depicts a seventh step in a method of forming a micromirror array;
Figure 8h depicts an eighth step in a method of forming a micromirror array;
Figure 8i depicts a ninth step in a method of forming a micromirror array;
Figure 8j depicts a tenth step in a method of forming a micromirror array;
Figure 9a depicts a grazing incidence mirror being a micromirror array;
Figure 9b depicts a grazing incidence mirror according to another embodiment;
Figure 10 depicts a grazing incidence collector according to an embodiment;
Figure 11 depicts a part of an inspection and/or metrology apparatus comprising a grazing incidence mirror according to an embodiment;
Figure 12a depicts a schematic top view of a pellicle and pellicle frame according to an embodiment; and
Figure 12b shows a side view cross section of the pellicle and frame.
DETAIFED DESCRIPTION
[00051] Figure 1 shows a lithographic system comprising a radiation source SO and a lithographic apparatus FA. The radiation source SO is configured to generate an EUV radiation beam B and to supply the EUV radiation beam B to the lithographic apparatus FA. The lithographic apparatus FA comprises an illumination system IF, a support structure or mask table MT configured to support a mask assembly 15 comprising a patterning device MA, a projection system PS and a substrate table WT configured to support a substrate W.
[00052] The illumination system IF is configured to condition the EUV radiation beam B before the EUV radiation beam B is incident upon the patterning device MA. Thereto, the illumination system IL may include a facetted field mirror device 10 and a facetted pupil mirror device 11. The radiation beam B passes from the illumination system IL and is incident upon the mask assembly 15 held by the support structure MT. The mask assembly 15 includes a patterning device MA and , optionally, a pellicle 19, which, if present, is held in place by a pellicle frame 17. The patterning device MA reflects and patterns the radiation beam B’ . The illumination system IL may include other mirrors or devices in addition to, or instead of, the faceted field mirror device 10 and/or the faceted pupil mirror device 11. For example, a micromirror array as described herein may be added to the illumination system IL in addition to the facetted field mirror device 10 and faceted pupil mirror device 11 as disclosed in US 8,294,877 B2, which is hereby incorporated in its entirety by reference, or may be used to replace one or both of the faceted field mirror device 10 and the faceted pupil mirror device 11 as disclosed in US 10,254,654 B2, which is hereby incorporated in its entirety by reference. In that case the illumination system IL, which now includes at least one micromirror array as described herein, is a programmable illuminator IL. Such a programmable illuminator IL may be used for conditioning a radiation beam used to illuminate the patterning device. For example, the programmable illuminator IL may be used to control or condition the EUV radiation beam B by providing it with a desired cross-sectional shape and or a desired intensity distribution.
[00053] After being thus conditioned, the EUV radiation beam B illuminates the patterning device MA and interacts with it. As a result of this interaction, a patterned EUV radiation beam B’ is generated. The projection system PS is configured to project the patterned EUV radiation beam B’ onto the substrate W. For that purpose, the projection system PS may comprise a plurality of mirrors 13,14 which are configured to project the patterned EUV radiation beam B’ onto the substrate W held by the substrate table WT. The projection system PS may apply a reduction factor to the patterned EUV radiation beam B’, thus forming an image with features that are smaller than corresponding features on the patterning device MA. For example, a reduction factor of 4 or 8 may be applied. Although the projection system PS is illustrated as having only two mirrors 13,14 in Figure 1, the projection system PS may include a different number of mirrors (e.g. six or eight mirrors).
[00054] The substrate W may include previously formed patterns. Where this is the case, the lithographic apparatus LA aligns the image, formed by the patterned EUV radiation beam B’, with a pattern previously formed on the substrate W.
[00055] A relative vacuum, i.e. a small amount of gas (e.g. hydrogen) at a pressure well below atmospheric pressure, may be provided in the radiation source SO, in the illumination system IL, and or in the projection system PS.
[00056] The radiation source SO may be a laser produced plasma (LPP) source, a discharge produced plasma (DPP) source, a free electron laser (EEL) or any other radiation source that is capable of generating EUV radiation.
[00057] In order to separate the optics in the illumination system IL (i.e. optics before the pattering device MA) and the optics of the projection system PS (i.e. the optics after the patterning device MA), a so called chief ray angle of optics (CRAO) may be used, such that the radiation beam B is incident on the patterning device MA at an angle.
[00058] Figure la shows a part of a lithographic apparatus, such as the lithographic apparatus LA of Figure 1, wherein the radiation beam B is incident on the patterning device MA. The patterning device is typically a mask (e.g. a binary, alternating phase-shift, or attenuated phase-shift mask) on a multilayer reflector. The multilayer reflector typically comprises alternating layers of e.g. Mo or Ru and Si. The layer thicknesses is determined by the target wavelength (e.g. 13.5 nm for EUV) so as to maximize the reflectivity at that wavelength and thereby optimize overall throughput. The reflectivity of the multilayer reflector has an angular dependence, which needs to be taken into account when used for lithography.
[00059] The radiation beam is generally a conditioned radiation beam B that has been conditioned by optics of the illumination system IL (e.g. mirrors 10 and 11 of Figure 1). The radiation beam B is incident on the patterning device MA at an angle within the numerical aperture NA centered on the CRAO. The patterning device MA has to reflect over a large angular bandwidth, to support the NA. If the angle of incidence is too large, then the patterning device MA may not accurately reflect the radiation beam B. Therefore, the angular bandwidth of the patterning device limits the maximum NA achievable at the patterning device MA.
[00060] Figure lb shows a part of a lithographic apparatus, such as the lithographic apparatus LA of Figure 1, with an alternative configuration for separating the optics in the illumination system IL and the optics of the projection system PS using dark-field imaging. In this configuration, the radiation beam B has substantially normal incidence on the patterning device MA, which can therefore reduce the requirements on the angular bandwidth of the patterning device MA compared to CRAO. The first mirror 101 of the projection optics comprises an opening 102 for letting the radiation beam B through. The radiation beam B is diffracted by the patterning device MA, with the zeroth order diffraction sent back through the opening 102 into the illuminator (not shown). Higher order diffractions, such as the + 1 and -1 diffraction orders are incident on the mirror 101 outside the opening 102 and can be projected onto the wafer (not shown) via the projection system PS.
[00061] As was described briefly above, the mask assembly 15 may include a pellicle 19 that is provided adjacent to the patterning device MA. The pellicle 19, if present, is provided in the path of the radiation beam B such that radiation beam B passes through the pellicle 19 both as it approaches the patterning device MA from the illumination system IL and as it is reflected by the patterning device MA towards the projection system PS. The pellicle 19 comprises a thin film that is substantially transparent to EUV radiation (although it will absorb a small amount of EUV radiation). By EUV transparent pellicle or a film substantially transparent for EUV radiation herein is meant that the pellicle 19 is transmissive for at least 65% of the EUV radiation, preferably at least 80% and more preferably at least 90% of the EUV radiation. The pellicle 19 acts to protect the patterning device MA from particle contamination. [00062] Whilst efforts may be made to maintain a clean environment inside the lithographic apparatus LA, particles may still be present inside the lithographic apparatus LA. In the absence of a pellicle 19, particles may be deposited onto the patterning device MA. Particles on the patterning device MA may disadvantageous^ affect the pattern that is imparted to the radiation beam B and therefore the pattern that is transferred to the substrate W. The pellicle 19 advantageously provides a barrier between the patterning device MA and the environment in the lithographic apparatus LA in order to prevent particles from being deposited on the patterning device MA.
[00063] The pellicle 19 is positioned at a distance from the patterning device MA that is sufficient that any particles that are incident upon the surface of the pellicle 19 are not in a field plane of the lithographic apparatus LA. This separation between the pellicle 19 and the patterning device MA acts to reduce the extent to which any particles on the surface of the pellicle 19 impart a pattern to the radiation beam B that is imaged onto the substrate W. It will be appreciated that where a particle is present in the beam of radiation B, but at a position that is not in a field plane of the beam of radiation B (i.e., not at the surface of the patterning device MA), then any image of the particle will not be in focus at the surface of the substrate W. In the absence of other considerations it may be desirable to position the pellicle 19 a considerable distance away from the patterning device MA. However, in practice the space which is available in the lithographic apparatus LA to accommodate the pellicle is limited due to the presence of other components. In some embodiments, the separation between the pellicle 19 and the patterning device MA may, for example, be approximately between 1 mm and 10 mm, for example between 1 mm and 5 mm, more preferably between 2 mm and 2.5 mm.
[00064] Figure lc shows an inspection and/or metrology apparatus that is known from US 9,946,167 B2, which is hereby incorporated in its entirety by reference. Figure lc corresponds to Figure 3a of US 9,946,167 B2. The inspection and/or metrology apparatus is a dark field metrology apparatus for measuring e.g. overlay and/or alignment.
[00065] In lithographic processes, it is desirable to frequently make measurements of the structures created, e.g., for process control and verification. Various tools for making such measurements are known, including scanning electron microscopes, which are often used to measure critical dimension (CD), and specialized tools to measure overlay, the accuracy of alignment of two layers in a device and alignment, i.e. the position of alignment marks on the substrate . Various forms of scatterometers have been developed for use in the lithographic field. These devices direct a beam of radiation onto a target structure, e.g. a grating or mark(er), and measure one or more properties of the scattered radiation - e.g., intensity at a single angle of reflection as a function of wavelength; intensity at one or more wavelengths as a function of reflected angle; or polarization as a function of reflected angle - to obtain a “spectrum” from which a property of interest of the target can be determined. Determination of the property of interest may be performed by various techniques: e.g., reconstruction of the target structure by iterative approaches such as rigorous coupled wave analysis or finite element methods; library searches; and principal component analysis. [00066] The dark field metrology apparatus shown in Figure lc may be a stand-alone device/system or may be incorporated in the lithographic apparatus LA as an alignment system and/or as an overlay measurement system (not shown). An optical axis, which has several branches throughout the apparatus, is represented by a dotted line O. In this apparatus, light emitted by radiation source 111 (e.g., a xenon lamp) is directed onto a substrate W via a beam splitter 115 by an optical system comprising lenses 112, 114 and objective lens 116. These lenses are arranged in a double sequence of a 4F arrangement. Therefore, the angular distribution at which the radiation is incident on the substrate can be selected by defining a spatial intensity distribution in a plane that presents the spatial spectrum of the substrate plane, here referred to as a (conjugate) pupil plane. In particular, this can be done by inserting an aperture plate 113 of suitable form between lenses 112 and 114, in a plane which is a back-projected image of the objective lens pupil plane. In the example illustrated, aperture plate 113 has different forms, labeled 113N and 113S, allowing different illumination modes to be selected. The illumination system in the present example forms an off-axis illumination mode. In the first illumination mode, aperture plate 113N provides off-axis from a direction designated, for the sake of description only, as ‘north’ . In a second illumination mode, aperture plate 113S is used to provide similar illumination, but from an opposite direction, labeled ‘south’. Other modes of illumination are possible by using different apertures. The rest of the pupil plane is desirably dark, as any unnecessary light outside the desired illumination mode will interfere with the desired measurement signals.
[00067] A target structure (not shown), e.g. a grating or mark(er), on substrate W is placed normal to the optical axis O of objective lens 116. A ray of illumination impinging on the target structure from an angle off the axis O gives rise to a zeroth diffraction order ray and two first diffraction order rays. Since the aperture in plate 113 has a finite width (necessary to admit a useful quantity of light) the incident rays will in fact occupy a range of angles, and the diffracted rays 0 and +1/-1 will be spread out somewhat. According to the point spread function of a small target, each order +1 and -1 will be further spread over a range of angles, not a single ideal ray. Note that the grating pitches and illumination angles can be designed or adjusted so that the first order rays entering the objective lens are closely aligned with the central optical axis.
[00068] At least the 0 and +1 orders diffracted by the target on substrate W are collected by objective lens 116 and directed back through beam splitter 115. Both the first and second illumination modes are illustrated, by designating diametrically opposite apertures labeled as north (N) and south (S). When the incident ray is from the north side of the optical axis, that is when the first illumination mode is applied using aperture plate 113N, the +1 diffracted rays, which are labeled +1(N), enter the objective lens 116. In contrast, when the second illumination mode is applied using aperture plate 113S the -1 diffracted rays (labeled -1(S) are the ones which enter the lens 116.
[00069] A second beam splitter 117 divides the diffracted beams into two measurement branches. In a first measurement branch, optical system 118 forms a diffraction spectrum (pupil plane image) of the target on first sensor 119 (e.g. a CCD or CMOS sensor) using the zeroth and first order diffractive beams. Each diffraction order hits a different point on the sensor, so that image processing can compare and contrast orders. The pupil plane image captured by sensor 119 can be used for focusing the inspection and/or metrology apparatus and/or normalizing intensity measurements of the first order beam. The pupil plane image can also be used for many measurement purposes such as reconstruction. [00070] In the second measurement branch, an optical system including lenses 120, 122 forms an image of the target on the substrate W on sensor 123 (e.g. a CCD or CMOS sensor). In the second measurement branch, an aperture plate referred to as field stop 121 is provided in a plane that is conjugate to the pupil-plane. This plane will be referred to as an ‘intermediate pupil plane’ when describing the invention. Field stop 121 functions to block the zeroth order diffracted beam so that the image of the target formed on sensor 123 is formed only from the -1 or +1 first order beam. The images captured by sensors 119 and 123 are output to image processor and controller PU, the function of which will depend on the particular type of measurements being performed. Note that the term ‘image’ is used here in a broad sense. An image of the grating lines as such will not be formed, if only one of the -1 and +1 orders is present.
[00071] The illumination system of the inspection and or metrology apparatus comprises an illuminator 110. As shown in Figure lc, this illuminator 110 comprises lens 112 and aperture plate 113. More details of the inspection and or metrology apparatus can be found in US 9,946,167 B2.
[00072] Figure Id shows a programmable illuminator 140 for use in the inspection and or metrology apparatus of Figure lc. This programmable illuminator 140 can be used in the inspection and or metrology apparatus of Figure lc instead of the illuminator 110. The programmable illuminator 140 comprises a micromirror array 133 according to the present invention as well as a low NA relay 4F system 135 comprising a pair of lenses. Radiation or light from a radiation source 130 (not part of the programmable illuminator 140), e.g. a broad band radiation source or white light source, may be directed via an optional fiber 131 and an optional collimating lens system 132 to the micromirror array
133. A processing unit PU can control the micromirror array 133 in such a way that the micromirrors
134, or more precise the mirrors in the micromirrors 134, in the micromirror array 133 are tilted individually. By tuning the tilt angle of each individual mirror independently, the spatial distribution of the light that is output by the low NA relay system 135 can be controlled and various illumination modes can be made as desired without having to use aperture plates. If the programmable illuminator 140 is used in the inspection and or metrology apparatus of Figure lc it interfaces with lenses 114, meaning that the light that is output by the low NA relay system 135 is received by the lenses 114 of Figure lc. [00073] In order to control the spectral distribution of the light that is output by the low NA relay system 135 at least part of the mirrors in the micromirror array 133 may comprise a grating on top of the mirror surfaces (not shown). The grating may be the same for all mirrors or, alternatively, different gratings, e.g. gratings having different pitches, may be used. By appropriate control of the micromirror array 133 the light that is output by the low NA relay system 135 comprises a single wavelength or a single (narrow) range of wavelengths. It is however also possible to control the micromirror array 133 in such a way that the light that is output by the low NA relay system 135 comprises a number of different wavelengths or a number of different (narrow) ranges of wavelengths. The gratings may be lithographically patterned on the mirror surfaces. Each mirror with grating diffracts light of different wavelengths in different directions according to the associated grating equation. A portion of the diffracted light is captured by the low NA relay system 135 and an image is formed. By tuning the angle of each mirror independently, the light distribution at the output can be controlled both spatially and spectrally as (a) certain diffraction order(s) will be captured by the low NA relay system 135 and (an)other diffraction order(s) will not be captured. Such a spatial and spectral light distribution can be used advantageously for example for illuminating and measuring an overlay target structure on a substrate or for measuring the position of an alignment mark on a substrate. In this text, the terms target structure, target, mark, marker and grating are, where the context allows, all synonyms of each other. [00074] The spectral bandwidth of the diffracting beam which can be captured by the low NA relay system 135 is dl=P.NA where P is the pitch of the grating and NA is the numerical aperture of the low NA relay system 135. With P=500nm and NA=0.02 the spectral bandwidth is lOnm, meaning that a diffraction order of the grating comprises a range or band of wavelengths of lOnm.
[00075] The spatial resolution of the low NA relay system 135 is ~ l/NA. With l=850hhi and NA=0.02 the spatial resolution is 42.5 micrometer. If the size of the mirrors Is greater than 42.5 micrometer, each mirror can be resolved. A reasonable size of a mirror is 100x100 micrometer. [00076] By rotating/tilting the mirrors around their individual axis, a different central wavelength band can be directed into the low NA relay system 135. The rotating range of each mirror required for operation over the visible wavelength range should be Dl/2R, where Dl=400hhi for an operating wavelength range of 450nm-850nm. This means that each mirror must be able to rotate by 0.4 radians. [00077] The MEMS system shown in Figure 2 is a micromirror with a mirror 20 and four piezoelectric actuators 21 for displacing the mirror 20. In other embodiments (not shown) the micromirror may have a different number of piezoelectric actuators 21 for displacing the mirror 20. In all these embodiments the micromirror has at least one piezoelectric actuator 21 for displacing the mirror 20. A number of micromirrors as shown in Figure 2 can be arranged in an array to form a micromirror array.
[00078] Figure 2 shows a MEMS system with a mirror 20 which may be a part of a micromirror array according to an embodiment. The MEMS system has a four-fold rotational symmetry about an axis. In particular, four piezoelectric actuators 21 are arranged symmetrically under the mirror 20 to enable tip and tilt displacement of the mirror 20. The mirror 20 is typically rectangular (which in this document is used to include square) with each side being in the range 0.5mm to 2.5mm. For example, it may be square with a 1 mm2 surface area. In other embodiments the mirror may be another shape, such as hexagonal. Each piezoelectric actuator 21 has a curved strip of flexible material 22, fixed to the underlying substrate (no shown) at one end and connected via a hinge 23 to a pillar 24. The strip of flexible material 22 has an elongation direction which at rest lies substantially parallel to the plane of the front surface of the mirror 20. The strip of flexible material 22 has a layer of piezoelectric material (e.g. PZT) on it, to which a voltage can be applied in order to activate the piezoelectric actuator 21. When activating the piezoelectric actuator 21, the strip 22 bends, acting as a cantilever, to displace the mirror 20 via the pillar 24. The magnitude of the displacement is a function of the applied voltage (as well as being a function of other parameters, such as the geometry of the piezoelectric actuator). The hinge 23 is formed by narrowing the strip 22 at one end, so that the cross sectional area of the hinge 23 is smaller than that of the strip 22 along the elongation direction of the strip 22. The hinge 23 is therefore less stiff than the strip 22, which allows it to act as a hinge. The hinge is typically required to flex in a plane transverse to an axis direction, which itself is transverse to the elongation direction of the strip 22
[00079] The mirror 20 is also connected to a heat diffuser, comprising a thermally conductive post 25 connected to the center of the back of the mirror 20, and a heat sink 26 connected to the other end of the post 25. In a rest condition, the length direction of the post 25 is the axis of four-fold rotational symmetry of the MEMS system. The thermally conductive post 25 is arranged to transfer heat from the mirror 20 to the heat sink 26, which diffuses the heat over a relatively large surface area. The heat sink 26 comprises a flexible membrane being a circular, patterned silicon layer. It may alternatively have multiple layers; if so, one or more (e.g. all) of the layers may be silicon, and one or more layers may be of material of other than silicon. The flexible membrane has curved grooves 27 formed in it, which increase the flexibility of the flexible membrane. In use, when the mirror 20 is displaced, the thermally conductive post 25 pivots and elastically deforms the flexible membrane.
[00080] In the embodiment as shown each piezoelectric actuator 21 is associated with a sensing element 28, being a piezoresistor fixed to the pillar 24 at one end and to the underlying substrate at the other. As the pillar 24 is displaced, the piezoresistor is stressed/deformed, which changes the electric properties of the piezoresistor, from which the displacement can be determined. For example, the piezoresistor may be connected in a Wheatstone bridge, configured so that the output voltage of the bridge is a function of the displacement of the mirror 20. The output from the piezoresistor is temperature sensitive, and temperature compensation may be used to increase the accuracy of displacement measurements. Note that the microelectromechanical system (MEMS) according to the invention may also be implemented without the use of the mentioned sensing elements.
[00081] The microelectromechanical system (MEMS) used to displace the mirrors 20 can be advantageously incorporated in other parts of the lithographic apparatus and/or the inspection and/or metrology apparatus. Looking at Figure 2, the mirror 20 of each MEMS element can be replaced by a displacement structure being any form of non-reflective object that fits over the MEMS element, and which translates the displacement from the piezoelectric actuators 21. Such a displacement structure can have the same shape and dimensions as the mirror (e.g. square as in Figure 2), but does not require a reflective top surface. Instead of directly reflecting incident light, the displacement structure can be used to deform an overlying structure, such as a continuous reflective layer of a mirror. In this way, the MEMS can be incorporated in the patterning device to deform the multilayer reflector and thereby redirect incident light. Alternatively, instead of replacing the mirror 20 with a displacement structure, the pillars 24 connected to the piezoelectric actuators 21 may be directly bonded to the overlying structure. That is, the pillars 24 may be directly bonded to the underside of the overlying structure (e.g. the underside of a multilayer reflector), in order to deform that structure with the piezoelectric actuators 21
[00082] Figure 3 shows a schematic diagram of a cross section of a part 300 of a micromirror array according to an embodiment. The micromirror array may include a mirror element as shown in Fig. 2. A mirror 301 is connected to a piezoelectric actuator 302 via a pillar 303. The pillar 303 comprises layers of silicon, germanium, aluminum and oxide having a combined thickness of 151 pm. The oxide layer 304 has a thickness of 1 pm and provides both thermal and electrical insulation to protect the piezoelectric actuator 302.
[00083] The piezoelectric actuator 302 comprises a strip of flexible material 305, being a 5 pm thick strip of silicon, connected to a substrate 306 at the opposite end from the pillar 303. The piezoelectric actuator 302 also comprises a layer of piezoelectric material 307 being a layer of PZT having a thickness in the range of 500 nm to 2 pm. The layer of piezoelectric material 307 has top and bottom electrodes 308 made of platinum (Pt) and FaNi03 (FNO) for applying a voltage to the layer of piezoelectric material 307. The layer of piezoelectric material is bonded to the strip of flexible material 305 by a layer of nitride (SiN) 309 having a thickness of 100 nm. The stack of SiN/Pt/FNO/PZT/Pt/FNO is covered by a layer of silicon oxide and aluminum oxide 310. Applying a voltage to the electrodes 308 causes the layer of piezoelectric material 307 to contract or expand, but because the layer is constrained at the interface to the strip of flexible material 305, the combined system of the layer of piezoelectric material 307 and the strip of flexible material 305 bends. It is this bending motion of the piezoelectric actuator 302 which displaces the pillar 303 and thereby also the mirror 301.
[00084] In the embodiment as shown, a sensing element 311 comprising a piezoresistor is embedded in the strip of flexible material 305 and covered by oxide. The sensing element 311 is arranged to sense the deflection of the piezoelectric actuator 302. Note however that the actuator 302 may be operated and controlled in the absence of the sensing element 311 as well.
[00085] The piezoelectric actuator 302 is electrically connected to the substrate 306 by a through silicon via (TSV) 312. Application specific integrated circuits (ASICs) 313 can be used to apply the voltage to the piezoelectric actuator 302 and also to derive the output voltage from the sensing element 310. Optionally, one of the ASICs 313 can be used to apply control voltages to the actuator(s) based on received control signals, and the other can be used to derive the output voltage from the sensing element 311 and transmit it to a controller.
[00086] The mirror 301 is connected to a heat sink 314 by a thermally conductive post 315. The heat sink 314 and the thermally conductive post 315 together form a heat diffuser for dissipating heat from the mirror 301. The post 315 comprises layers of silicon, germanium and aluminum. The heat sink 314 comprises a flexible element, specifically in this example a flexible membrane of silicon, which allows the post 315 to move as the mirror 301 is displaced. The heat sink 314 is electrically connected to the substrate 306, and may be grounded to prevent charge build up on the mirror 301. The heat sink 314 and the strip of flexible material 305 are in the same plane and may be formed from the same silicon wafer. The spacing between the lower surface of the mirror 301 and the upper surface of the heat sink 314 and/or the strip of flexible material 305 may be in the range 50mhi-120mhi, such as about 80mhi. Typically, known micromirror arrays have a smaller spacing than this, such as only a few microns. The greater spacing is achieved in this embodiment because the (piezoelectric) actuation force is generated on the strip of flexible material 305, rather than, for example, by an electrostatic actuator mounted on the mirror itself, which would typically limit the range of movement of the mirror to the range of relative movement of components of the electrostatic actuator.
[00087] The spacing between the lower surface of the heat sink 314 and or the strip of flexible material 305, and the upper surface of the substrate may be in the range 50mhi-120mhi, such as about 80mhi.
[00088] As described below, a group of mirrors (a “sub-array” of the mirror array) may be provided with a local control unit which is operative to recognize, in a received control signal, an address corresponding to one of the mirrors of the sub-array, and, upon recognizing the address, to generate control voltages for one or more piezoelectric actuators of the mirror based on control information additionally contained in the control signal. Thus, there is one local control unit for each of a group of multiple ones of the mirrors.
[00089] In principle, the mirror array may also include, in addition to mirrors which are in one of the sub-arrays, mirrors which are provided with their own local control unit (i.e. a control unit which controls that mirror alone based on a received control signal), and or the mirror array may also include mirror(s) which are not controlled using a local control unit but rather based on received control signals transmitted directly to those mirrors by an external control circuit. However, these two possibilities are not considered further here. Instead, the mirror array of the embodiment is assumed to comprise only mirror elements which are in a corresponding single one of the sub-arrays.
[00090] Any one of the local control units may be implemented as the one or more ASICs 313. The local control unit may be configured to receive the control signals using vias 312 (described in more detail below) and control the corresponding piezoelectric actuators 302 based on it; in this case, the address in the control signal may specify not only the mirror but also a local control unit (or an ASIC 313) for a given piezoelectric actuator 302. Using the control units, an external control circuit (not shown in Fig. 3) is able to individually control all the mirrors of the mirror array, by transmitting identical control signals to the respective local control units of all of the sub-arrays mirrors, such that each local control unit recognizes control signals addressed to it, and controls the corresponding piezoelectric actuator(s) accordingly. The local control unit may also be configured (e.g. using a respective one of the ASICs 313) to derive voltages from the sensors of the corresponding plurality of MEMS elements in the respective sub-array, and transmit them to the external control unit. Positioning the ASICs 313 within the structure, e.g. supported above the substrate 306 and proximate to (e.g. substantially in plane with) the strip of flexible material 305, is achievable because the embodiment may be formed in multiple layers by a MEMS process, as described below.
[00091] Embodiments of the micromirror array can provide tip and tilt displacement range of +/- 120 mrad and a mirror accuracy of 100 prad. Embodiments of the micro mirror array can be operated at high light intensities as required for EUV, and may work at 40 to 60 kW/m2 of absorbed thermal power density (which implies an incident light power density on the surface of the mirror which is even larger). This is orders of magnitude higher than the absorbed thermal power density of micromirror arrays used in some other applications. This is possible because the piezoelectric actuators are operative to provide, even at a relatively low actuator voltage (e.g. under about 100V), such a strong force that they are able to deform the flexible element (flexible member) even though the flexible element is thick enough to provide high heat conductivity to the substrate. Due to the high thermal conductivity, the micromirror array may in use have a temperature of under about 100 degrees Celsius.
[00092] Figure 4 shows a schematic cross section of a part of microelectromechanical system (MEMS) 400 comprising an array of MEMS elements 401 (three are shown) in a MEMS wafer 402 (also referred to as actuator wafer). Each MEMS element 401 is connected to a mirror 403 in a mirror wafer 430 by a thermally conductive post 404 (as shown in Fig. 2). The post 404 rests on a flexible membrane in the MEMS wafer 402. The MEMS elements 401 comprise actuators 407 (typically piezoelectric actuators) and sensors 408. The flexible membrane is a part of the MEMS waver 402, as are the actuators 407 and sensors 408. The element shown as 405 is a part of the MEMS wafer 402 which is inflexible. The MEMS elements 401 are connected to a local control unit 406, which may comprise at least one CMOS circuit formed in an FEOL and/or a BEOL process. The local control unit 406 located in the MEMS wafer 402 is configured to read and write to all MEMS elements 401 within a sub-array of the full array of MEMS elements 401. The MEMS 400 comprises further local control units connected to further sub-arrays (not shown). Note that, in an embodiment, the sensors 408 can be omitted.
[00093] The MEMS wafer 402 is bonded by CU/OX hybrid bonding to an interposer wafer 409, also referred to as a high voltage (HV) wafer, which comprises the HV drivers 410 for driving the actuators 407. In particular, the inflexible part 405 of the MEMS wafer 402 is attached to the interposer wafer 409. The interposer wafer 409 supports the MEMS wafer 402, whilst comprising cavities 411 allowing the membrane 405 and post 404 to move. The local control unit 406 can be located in the MEMS wafer 402, the interposer wafer 409, or be split over both.
[00094] Through silicon vias (TSVs) 412 are located in the interposer wafer 409 and provide a connection from the local control unit 406 to the other side of the interposer wafer 409. A control wafer 413, also referred to as support wafer, is connected to the other side of the interposer wafer 409 via a redistribution layer (RDL) 414. The RDL 414 connects the TSVs 412 in the interposer wafer electronically to corresponding TSVs 415 in the control wafer 413. The interposer wafer 409 can be bonded to the control wafer 413 with copper or tin micro-bumps. A control circuit 416 on the opposite side of the control wafer 413 controls the array of MEMS elements 401. The control circuit 416 is configured to transmit and receive data signals to and from the local control unit 406 using the TSVs 412 and 415. The control circuit 416 controls the array of MEMS elements 401 with data signals passed between the control circuit 416 and the local control unit 406. The TSVs 412 and 415 are also used to provide a supply voltage the MEMS elements 401.
[00095] Because the control circuit 416 connects to one local control unit 406 of a sub-array of MEMS elements, instead of directly to the actuators 407 and sensors 408 of all the MEMS elements 401, a great reduction in the number of TSVs can be achieved.
[00096] Figure 5a shows a MEMS array 500 comprising 24 x 24 MEMS elements 501 partitioned into 16 sub-arrays 502, each with 6 x 6 MEMS elements 501. The control circuit (not shown) communicates over a respective serial data bus with each sub-array 502, and can communicate with multiple sub-arrays 502 in parallel. Hence, instead of controlling each element 502 individually, the control circuit can send control signals to the sub-array 502 over the serial bus, and a local control unit (not shown) associated with the sub-array controls the specific MEMS element 501 in the sub-array 502 accordingly.
[00097] Figure 5b shows a similar MEMS array 500 with 24 x 24 MEMS elements 501 partitioned into 16 sub-arrays 502, each with 6 x 6 MEMS elements 501. The position of some TSVs 503 connected to the four inner sub-arrays 502 (“Bus 6”, “Bus 7”, “Bus 10” and “Bus 11”) are indicated in the array 500. The array 500 is approximately 26 mm wide, and the TSVs 503 of the inner sub-arrays 502 are located only approximately 7 mm from the edge of the array 500, whereas the centres of the inner subarrays 502 are approximately 10mm from the edge of the array 500. Solder and micro-bump connections tend to be stronger/ more reliable close to the edges of the array 500. It is therefore beneficial to place all (or substantially all) the TSVs 503 within a sub-array 502 closer to the edge of the full array 500, i.e. as shown just inside the perimeter of the area occupied by the four inner sub arrays.
[00098] Figure 6a shows a schematic diagram of the connections between the control circuit 600 and the MEMS elements 601 via TSVs 602 for transmitting data signals to the control circuit. There is a mesh like connection at the MEMS level (in the interposer wafer) with multiple TSVs forming communication paths to the control circuit.
[00099] Figure 6b shows a similar diagram for transmitting data signals from the control circuit. The same mesh like connection is used between MEMS elements 601 with multiple TSVs 602 transmitting data signals from the controller 600 to the MEMS elements 601.
[000100] In embodiments with 16 sub-arrays used for communication between 24x24 MEMS elements and one global control circuit, each respective plurality of TSVs connects a sub-array of 6x6 MEMS elements. Three logic signals are used to transmit control signals between the control circuit and a local control unit:
SCLK: serial clock at, for example, 12.5 MHz to cover 344 kb/s for 36 MEMS elements (i.e. one sub-array).
MOSI: master out/slave in MISO: master in/slave out
[000101] Additionally, 96 control signals are used across the whole array to select a specific MEMS element for read or write.
[000102] Figure 7 illustrates an example communication between a control circuit and individual MEMS elements in one sub-array via a local control unit. Each MEMS element receives four control signals:
COL_RE, ROW_RE (Read Enable)
COL_WE, ROW_WE (Write Enable)
[000103] Data can be sent to a MEMS element when both its COL_WE and ROW_WE are active. Data is read from a MEMS element when both its COL_RE and ROW_RE are active. 1 COL_RE and 1 COL_WE signal is used for each column, 1 ROW_RE and 1 ROW_WE signal is used for each row. [000104] Methods of forming a MEMS array, and in particular a micromirror array, are also described herein. Figures 8a to 8j illustrate some of the steps of an embodiment of such a method. [000105] As illustrated in Figure 8a, the method comprises providing a first silicon wafer 800 for forming the piezoactuators and the sensing elements. The first wafer 800 may be referred to as the “actuator wafer”. The actuator wafer may be a silicon on insulator (SOI) wafer with a 4 pm silicon film 801. Low voltage active devices such as the sensing elements can be formed in the wafer 800 using a Complementary Metal Oxide Semiconductor (CMOS) Front End of Line (FEOL) process. A CMOS Back End of Line (BEOL) process can then be used to form metal interconnect layers for connecting the low voltage devices to other circuitry. Chemical Mechanical Polishing/Planarization (CMP) can then be used to form a smooth surface with a planar oxide layer 802. A Cu damascene process can be used for forming a Cu bonding matrix 803 with CU pads 804 for subsequent Cu-Ox hybrid bonding to another wafer.
[000106] As illustrated in Figure 8b, the method further comprises providing a second silicon wafer
805 which will become the substrate on which the micromirror array is fixed. The second wafer 805 may be referred to as the “interposer wafer”. The interposer wafer 805 may be an SOI wafer with a 100 pm silicon film as seen in Figure 8b. A high voltage (HV) CMOS process (both FEOL and BEOL) may be used to form a HV driver in the wafer. A TS V process can be used to form electrical connections
806 through the silicon film 807 of the second wafer 805. The TSV process can be followed by planarization (e.g. CMP or wet etch) and Cu pad formation. The Cu pads 808 are arranged to connect to the Cu bonding matrix 803 of the first wafer 800. [000107] Figure 8c illustrates how the first and second wafers 800 and 805 are bonded, e.g. using a Cu/oxide hybrid bond 809.
[000108] As shown in Figure 8d, a “handle wafer”, used for handling the first wafer 800, may be removed to expose the first wafer 800. Selective box removal can then be used to leave a thin layer (e.g. 5 pm) of silicon 810 of the first wafer 800 bonded to the second wafer 805. A1 can be deposited and patterned on the first wafer 800 for subsequent connection to a mirror. The first wafer 800 can be patterned to form the piezoelectric actuators 811. AI2O3 and/or TiN can be deposited on the first wafer for protection against EUV radiation and against plasma.
[000109] As illustrated in Figure 8e, the method further comprises providing a third silicon wafer 812 for forming the mirror. The third wafer 812 may be referred to as the “mirror wafer” 812. The mirror wafer 812 may be an SOI wafer with a 250 pm silicon film. The method may comprise performing a cavity etch on the mirror wafer 812 to allow for a thermal barrier (e.g. 1 pm to 2 pm), followed by Ge deposition for subsequent bonding to the first wafer 800. Using a hardmask (e.g. nitride) and a resist mask the mirror wafer 812 is etched to form pillars 813 (“beam connectors”) for connecting to the piezoelectric actuators and to form a thermally conductive post 814 (“center post”) for connecting to a heat sink so as to form a heat diffuser. A mirror release trench 815 is etched around the periphery of the mirror.
[000110] As shown in Figure 8f, the third wafer 812 is bonded to the first wafer 800 in order to connect the mirror to the piezoelectric actuators. The step of bonding may comprise aligned Ge/Al eutectic bonding. The Al/Ge bonding layer 816 is both thermally and electrically conducting, which can allow efficient heat transfer from the mirror through the thermally conductive post to the heat sink. Some of the box oxide may have been left on the first wafer 800 to reduce thermal and electrical conduction at some bonding locations such as at the pillars connected to the piezoelectric actuators. [000111] In Figure 8g, the stack of bonded wafers (wafers 1 “actuator wafer” 800, 2 “interposer wafer” 805 and 3 “mirror wafer” 812), which may collectively be referred to as the “device wafer”, is turned upside down, so that the handle wafer 817 of the mirror wafer 812 becomes the supporting wafer. The handle wafer of the second wafer 805 can be removed from the second wafer 805 and box removal may be used to reveal the TSVs 806 in the second wafer 805. This can be followed by dielectric deposition, patterning and bump formation.
[000112] In Figure 8h, lithography and silicon etching is used to form cavities 818 in the second wafer 805 underneath the piezoelectric actuators and the heat sink. Note that in use an outer portion of the flexible membrane 314 (e.g. a portion radially outward of the grooves) is in contact with a wall 819. The wall 819 is between a cavity 818a in register with the heat sink and a cavity 818b in register with the piezoelectric actuators. The wall 819 is able conduct heat from the flexible membrane 314 to the substrate. The method then comprises etching a dielectric layer to reveal the piezoelectric actuators (i.e. to release the strip of flexible material), the sensing elements and dicing scribes. [000113] As illustrated in Figure 8i, the method further comprises providing a fourth silicon wafer 820 for sealing the second wafer 805. The fourth wafer 820 may be referred to as the “support wafer” 820 and comprises TSVs 821 for connecting to the second wafer 805. Bump bonding may be used to bond the fourth wafer 820 to the second wafer 805. An A1 redistribution layer (RDL) and connection pads can be formed on the back of the fourth wafer 820.
[000114] In Figure 8j, the mirror 822 is released by removing the front side handle wafer 817. A controller chip can be glued and wirebonded to the back of the fourth wafer 820.
[000115] Another embodiment of the invention comprises a lithographic apparatus LA, such as the lithographic apparatus LA of Figure 1, configured for dark-field imaging as shown in Figure lb. A potential problem with this configuration of dark-field imaging is that for large patterns (i.e. patterns having a large pitch between features) the diffraction angle is small, and the first order diffractions (+1 and -1) may also fall within the opening 102, which can make imaging at the wafer level difficult. [000116] To solve this problem, the patterning device comprises a first MEMS, which can be used to displace the surface of the patterning device to direct first order diffractions from the opening 102 to the mirror 101. The MEMS comprises a plurality of MEMS elements arranged under the multilayer reflector of the patterning device MA. Each MEMs element may comprise a displacement structure (e.g. a rectangle of silicon for tipping an tilting) supporting the multilayer reflector or may be directly bonded to the underside of the multilayer reflector.
[000117] Redirecting the diffracted radiation can distort the image, and the mirror 101 therefore comprises a second MEMS (such as a micromirror array) to compensate for the redirection by correcting the angle of the patterned beam. The second MEMS may be controlled through a feedback system, which uses the position of the patterning device MA (relative to the incident radiation beam) to determine the required correction of the mirror 101 and provide control signals to the second MEMS accordingly. The second MEMS is thereby dynamically updated throughout scanning. The required corrections for a given position of the patterning device MA can be determined in advance through simulations of the particular patterning device.
[000118] The mask on the multilayer reflector may comprise both features with large pitch (e.g. pitch of the order of 100 nm or more) and small pitch (e.g. pitch < lOnm), and the first and second MEMS are configured to be used only for features having a large pitch. For the other features, the diffraction angle is large enough to avoid the opening 102 in the mirror 101.
[000119] The local deformation required for any particular area of the patterning device can be pre set in the first MEMS, since the features of the patterning device are fixed. The patterning device may be simulated to determine the required local deformation, which can then be used to set the displacements/positions of the MEMS elements of the first MEMS.
[000120] Figures 9a and 9b show embodiments of the MEMS used in a grazing incidence mirror. For normal incidence, a multilayer reflector is normally required to reflect sufficient EUV, whereas for grazing incidence a single reflective layer or coating may be used. [000121] In Figure 9a a micromirror array 900 is used to reflect light at grazing incidence. However, the gaps between individual mirrors 901 in the array 900 can cause flares 902 from edge reflections and plasmas 903 to form.
[000122] Figure 9b shows another embodiment of the grazing incidence mirror, wherein a continuous reflective layer being a metal foil 904 is located over the displacement structures 906 of the MEMS. By displacing the displacement structure 906, the foil 904 can be deformed to redirect incident light. [000123] Figure 10 shows a grazing-incidence collector 1000 for collecting light 1001 from a source 1002 to form a radiation beam B. The collector 1000 comprises a plurality of cylindrical grazing incidence mirrors 1003 for focusing incident light 1001 from the source 1002 towards an intermediate focus point IF. The grazing incidence mirrors may comprise MEMS as described herein in order to control the focus point of the radiation beam B.
[000124] Figure 11 illustrates another embodiment of the grazing incidence mirror 1100, being a focus mirror in an inspection and/or metrology apparatus. The mirror 1100 can use the MEMS to change the point of focus of the light 1101 incident on the wafer or other substrate 1102. Light diffracted 1103 from the wafer or other substrate 1102 may be received and analyzed in order to determine features of the wafer or other substrate 1102.
[000125] In another embodiment, the MEMS described herein is used in a pellicle frame, in order to control the stress in the pellicle over time. Figure 12a shows a top view of a pellicle 1200 with a border 1201 supported by a frame 1202. The frame comprises a MEMS 1203 with an array of MEMS elements located in the dashed regions under the border 1201. Whilst Figure 12a shows the MEMS 1203 extending under a part of the border 1201, in other embodiments the MEMS 1203 may cover the entire border 1201 of the pellicle 1200 or a different part. Figure 12b shows a side cross-section of the pellicle 1200. The MEMS 1203 is located at the top of the frame so as to contact the border 1201 of the pellicle 1200. The pellicle 1200 may be part of a mask assembly such as the mask assembly 15 of Figure 1. Active mechanical actuation from the MEMS elements is used to provide border deformation in order to apply stress to the pellicle 1200. The MEMS allows for continuous control of the pellicle border bending. A benefit of the MEMS device is that it can be controlled during operation, without requiring the mask assembly to be removed or disassembled. This means that if the pellicle film would lose, or gain, pre-stress due to a degradation process, this could be corrected for by increasing or decreasing the tilt of MEMS elements. For example, correction for a reduction of stress (which may be referred to as tension) of the pellicle 1200 may be applied by actuating the MEMS 1203 on one side, or opposite sides, of the pellicle. The actuation may move the MEMS 1203 outwards (i.e. away from an interior of the pellicle frame). Correction for a reduction of stress may be applied by actuating the MEMS 1203 on all sides of the pellicle 1200 (e.g. moving the MEMS outwards). In another example, a localized reduction of stress in the pellicle 1200 may occur (e.g. for half of the pellicle). Correction for this localized reduction of stress may be applied by actuating the MEMS 1203 on opposite sides of the area of localized stress reduction (or on one side of the area of localized stress reduction). In general, the MEMS may be actuated to correct for localized or global changes of stress of the pellicle. This may advantageously increase the lifetime of the pellicle.
[000126] In addition, the MEMS 1203 can be used to determine the level of stress in the pellicle for different areas of the pellicle. A feedback system can be used to control the MEMS elements to locally compensate for any changes in the stress. In this way automated control of the stress of the pellicle 1200 may be provided.
[000127] Full tip and tilt displacements may not be required for the pellicle, since the MEMS is only used to apply stress to the pellicle. As such, MEMS elements having tilt control in only one dimension may be used in the pellicle frame. This can simplify the fabrication and control system of the MEMS. [000128] Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid- crystal displays (LCDs), thin-film magnetic heads, etc. [000129] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.

Claims

1. A microelectromechanical system, MEMS, comprising: a MEMS wafer comprising an array of MEMS elements, each MEMS element comprises one or more actuators for displacing the MEMS element, the array comprising a plurality of sub-arrays of MEMS elements, each sub-array comprising a plurality of the MEMS elements; for each sub-array a respective local control unit arranged to perform read/write communication with each MEMS element of the corresponding sub-array; and a control wafer comprising a control circuit for controlling the array of MEMS elements, wherein the control circuit is configured to transmit and receive at least data signals to and from each of the local control units using, for each control unit, a respective plurality of through silicon vias, TSV, in the control wafer; the control circuit being operative to control the array of MEMS elements by using data signals passed between the control circuit and the local control units, the data signals passed between the control circuit and each of the local control units comprising data signals relating to specific ones of the MEMS elements of the corresponding sub-array of MEMS elements.
2. A microelectro mechanical system according to claim 1, wherein, for each of the local control units, the control circuit is operative to transmit and receive at least some of the same data signals to and from the local control unit using multiple ones of the TSVs.
3. A microelectromechanical system according to claim 1 or claim 2, wherein the respective plurality of TSVs of one or more of the sub-arrays are located closer to the edges of the MEMS array than the distance from the edges of the MEMS array to the centre of the corresponding sub-array.
4. A microelectromechanical system according to any one of the preceding claims, wherein the local control unit comprises an analogue to digital converter, ADC, for converting analogue signals received from the MEMS elements into digital signals transmitted to the control circuit, and a digital to analogue converter, DAC, for converting digital signals received from the control circuit into analogue signals transmitted to the MEMS elements.
5. A microelectromechanical system according to any one of the preceding claims, wherein the control circuit comprises a plurality of control units, and wherein each control unit is configured to transmit and receive data signals to and from a respective subset of the plurality of sub-arrays.
6. A microelectromechanical system according to any one of the preceding claims, wherein the number of TSVs in the control wafer is between a quarter of the number of MEMS elements in the array and twice the number of MEMS elements in the array.
7. A microelectromechanical system according to any one of the preceding claims, wherein the control circuit and each local control unit employ the respective plurality of TSVs as a serial data bus.
8. A microelectromechanical system according to claim 7, wherein a serial clock of the serial data bus has a frequency in the range of 5 MHz to 50 Hz.
9. A microelectromechanical system according to claim 7 or claim 8, wherein the control circuit is configured to use a plurality of the serial data buses in parallel to communicate with the local control units.
10. A microelectromechanical system according to any one of the preceding claims, wherein the local control unit is configured to perform respective read and write communications simultaneously on two different MEMS elements in one sub-array.
11. A microelectromechanical system according to any one of the preceding claims, wherein the array of MEMS elements comprises 16 sub-arrays, each sub-array comprising 6 x 6 MEMS elements.
12. A microelectromechanical system according to any one of the preceding claims, wherein each MEMS in the array is located in a row and a column and wherein the control circuit controls which specific MEMS element the corresponding local control unit performs a read operation on by transmitting a read control signal to the row and to the column of the specific MEMS element, and wherein the control circuit is configured to control which other MEMS element the corresponding local control unit performs a write operation on by transmitting a write control signal to the row and to the column of the other MEMS element.
13. A microelectromechanical system according to any one of the preceding claims, further comprising an interposer wafer located between the MEMS wafer and the control wafer and comprising a plurality of TSVs corresponding to the TSVs in the control wafer and providing communication paths to the local control units.
14. A microelectromechanical system according to claim 13, wherein the interposer wafer comprises, for each sub-array, a mesh connection for connecting each MEMS element in the sub-array to the local control unit of that sub-array.
15. A microelectromechanical system according to claim 13 or claim 14, wherein the control wafer comprises a redistribution layer, RDL, for providing communication paths between the TSVs in the interposer wafer and corresponding ones of the TSVs in the control wafer.
16. A microelectromechanical system according to any one of claims 13 to 15, wherein the interposer wafer is bonded to the MEMS wafer by a copper/oxide, Cu/OX, hybrid bond.
17. A microelectromechanical system according to claim 16, wherein the Cu/Ox hybrid bonds of at least one sub-array are located closer to the edges of the MEMS array than the distance from the edges of the MEMS array to the centre of the corresponding sub-array.
18. A microelectromechanical system according to any one of claims 13 to 17, wherein the interposer wafer is bonded to the control wafer by copper or tin micro-bumps.
19. A microelectromechanical system according to any one of the preceding claims, wherein the control circuit is wire bonded to the control wafer.
20. A microelectromechanical system according to any one of the preceding claims, wherein each MEMS element comprises one or more sensors for sensing a position of the MEMS element, wherein each actuator and each sensor is connected to the local control unit of the sub-array comprising the MEMS element.
21. A microelectromechanical system according to any of the preceding claims, wherein each actuator is a piezoelectric actuator connected to the MEMS wafer and wherein the piezoelectric actuator is connected to the MEMS element by one or more pillars.
22. A microelectromechanical system according to any of the preceding claims and comprising, for each MEMS element, a heat diffuser for diffusing heat from the MEMS element, the heat diffuser comprising a heat sink and a thermally conductive post connecting the heat sink to the MEMS element.
23. A microelectromechanical system according to claim 22, wherein the heat sink comprises a flexible membrane, which allows the thermally conductive post to pivot when the MEMS element is displaced.
24. A microelectromechanical system according to any one of the preceding claims, wherein the MEMS array is a micromirror array and each MEMS element is associated with a respective mirror for reflecting incident light.
25. A microelectromechanical system according to claim 24, wherein the mirrors are comprised by a mirror wafer bonded to the MEMS wafer.
26. A microelectromechanical system, MEMS, according to any one of claims 1 to 23, further comprising a continuous reflective layer, wherein displacement of the MEMS elements causes the continuous reflective layer to be deformed in order to redirect light incident on the continuous reflective layer.
27. A microelectromechanical system, MEMS, according to claim 26, wherein each MEMS element comprises a displacement structure for supporting the continuous reflective layer and for translating displacements of the one or more piezoelectric actuators to the continuous reflective layer.
28. A microelectromechanical system, MEMS, according to claim 26, wherein each MEMS element is directly bonded to the continuous reflective layer or to a substrate on which the continuous reflective layer is located.
29. A microelectromechanical system, MEMS, according to claim 26, 27 or 28, wherein the continuous reflective layer is a multilayer reflector.
30. A microelectromechanical system, MEMS, according to claim 26 or 27, wherein the continuous reflective layer is a metal foil.
31. A programmable illuminator comprising a microelectromechanical system according to claim 24 or claim 25 for conditioning a radiation beam.
32. A programmable illuminator according to claim 31 and comprising a displacement control feedback system configured to determine for each of the mirrors a position of the mirror and to adjust a voltage applied to the one or more actuators based on the determined position and based on a predefined target position of the mirror.
33. A lithographic apparatus arranged to project a pattern from a patterning device onto a substrate, comprising a programmable illuminator according to claims 31 or 32 for conditioning a radiation beam used to illuminate the patterning device and/or for conditioning a radiation beam used to measure a target structure on the substrate.
34. A lithographic apparatus arranged to project a pattern from a patterning device onto a substrate comprising: a patterning device comprising a first microelectromechanical system according to any one of claims 26 to 29; and a mirror for projecting the pattern from the patterning device and comprising a second microelectromechanical system according to any one of claims 24 to 29, wherein the mirror comprises an opening arranged to let through a radiation beam used to illuminate the patterning device; wherein the first MEMS is configured to redirect a first order diffraction from the patterning device falling inside the opening onto the mirror, and wherein the second MEMS is configured to compensate for the redirection so as to correct the projected pattern.
35. A lithographic apparatus according to claim 34, wherein the patterning device comprises a mask, and wherein the mask is arranged on the continuous reflective surface of the first MEMS.
36. A mask assembly comprising: a pellicle arranged to protect a surface of a patterning device from contamination; and a pellicle frame for supporting the pellicle and for fixing the pellicle relative to the patterning device, wherein the frame comprises a microelectromechanical system according to any one of claims 1 to 23, and wherein the MEMS is configured to displace at least part of the pellicle so as to apply stress to the pellicle.
37. A lithographic apparatus arranged to project a pattern from a patterning device onto a substrate, the lithographic apparatus comprising a mask assembly according to claim 36.
38. A grazing incidence mirror for reflecting light having an angle of incidence in the range of 75° to 89°, comprising a microelectromechanical system according to claim 26, 27 or 30.
39. A collector for collecting light from a light source to form a radiation beam, comprising a plurality of grazing incidence mirrors according to claim 38.
40. An inspection and/or metrology apparatus comprising a grazing incidence mirror according to claim 38, the grazing incidence mirrors being configured to allow adjustment of a focus of a radiation beam on a substrate.
41. An inspection and/or metrology apparatus, comprising a programmable illuminator according to claims 31 or 32 for conditioning a radiation beam used to measure a target structure on a substrate.
42. A method of forming a microelectromechanical system, MEMS, comprising: providing a MEMS wafer comprising an array of MEMS elements, the array comprising a plurality of sub-arrays of MEMS elements, each sub-array comprising a plurality of the MEMS elements; providing for each sub-array a respective local control unit arranged to perform read/write communication with each MEMS element of the corresponding sub-array; providing a control wafer comprising a control circuit for controlling the array of MEMS elements, connecting the control wafer to the MEMS wafer, wherein the control circuit is configured to transmit and receive data signals to and from each of the local control units using, for each control unit, a respective plurality of through silicon vias, TSV, in the control wafer, and the control circuit is operative to control the array of MEMS elements by using data signals passed between the control circuit and the local control units, the data signals passed between the control circuit and each of the local control units comprising data signals relating to specific ones of the MEMS elements of the corresponding sub-array of MEMS elements.
43. A method according to claim 42, wherein the MEMS is a micromirror array and each MEMS element is associated with a mirror.
44. A method according to claim 42, further comprising providing a continuous reflective layer on the plurality of MEMS elements so that displacements of the MEMS elements causes the continuous reflective layer to be deformed in order to redirect light incident on the continuous reflective layer.
PCT/EP2021/081689 2020-11-30 2021-11-15 Mems array interconnection design WO2022112037A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP20210518.5 2020-11-30
EP20210518 2020-11-30
EP20213665 2020-12-14
EP20213665.1 2020-12-14

Publications (1)

Publication Number Publication Date
WO2022112037A1 true WO2022112037A1 (en) 2022-06-02

Family

ID=78725486

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2021/081689 WO2022112037A1 (en) 2020-11-30 2021-11-15 Mems array interconnection design

Country Status (2)

Country Link
TW (1) TW202232046A (en)
WO (1) WO2022112037A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024052168A1 (en) * 2022-09-09 2024-03-14 Robert Bosch Gmbh Micro-electromechanical device

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
WO1998033096A1 (en) 1997-01-29 1998-07-30 Micronic Laser Systems Ab Method and apparatus for the production of a structure by focused laser radiation on a photosensitively coated substrate
WO1998038597A2 (en) 1997-02-28 1998-09-03 Micronic Laser Systems Ab Data-conversion method for a multibeam laser writer for very complex microlithographic patterns
US20040063325A1 (en) * 2002-01-11 2004-04-01 Masami Urano Semiconductor device having MEMS
US8294877B2 (en) 2011-02-10 2012-10-23 Carl Zeiss Smt Gmbh Illumination optical unit for projection lithography
JP2017087348A (en) * 2015-11-10 2017-05-25 株式会社豊田中央研究所 Semiconductor device
US9946167B2 (en) 2010-11-12 2018-04-17 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US10254654B2 (en) 2013-08-26 2019-04-09 Nikon Corporation Microelectromechanical mirror assembly

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
WO1998033096A1 (en) 1997-01-29 1998-07-30 Micronic Laser Systems Ab Method and apparatus for the production of a structure by focused laser radiation on a photosensitively coated substrate
WO1998038597A2 (en) 1997-02-28 1998-09-03 Micronic Laser Systems Ab Data-conversion method for a multibeam laser writer for very complex microlithographic patterns
US20040063325A1 (en) * 2002-01-11 2004-04-01 Masami Urano Semiconductor device having MEMS
US9946167B2 (en) 2010-11-12 2018-04-17 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US8294877B2 (en) 2011-02-10 2012-10-23 Carl Zeiss Smt Gmbh Illumination optical unit for projection lithography
US10254654B2 (en) 2013-08-26 2019-04-09 Nikon Corporation Microelectromechanical mirror assembly
JP2017087348A (en) * 2015-11-10 2017-05-25 株式会社豊田中央研究所 Semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024052168A1 (en) * 2022-09-09 2024-03-14 Robert Bosch Gmbh Micro-electromechanical device

Also Published As

Publication number Publication date
TW202232046A (en) 2022-08-16

Similar Documents

Publication Publication Date Title
US20220283428A1 (en) Micromirror arrays
US8570632B2 (en) Microactuator, optical device and exposure apparatus, and device manufacturing method
KR102321222B1 (en) Method and device for inspecting spatial light modulator, and exposure method and device
US20240004184A1 (en) High accuracy temperature-compensated piezoresistive position sensing system
EP1844490A1 (en) Measuring apparatus, exposure apparatus and method, and device manufacturing method
TWI277838B (en) Lithographic apparatus and device manufacturing method
WO2022112037A1 (en) Mems array interconnection design
US20220342199A1 (en) Micromirror arrays
US20240012332A1 (en) High force low voltage piezoelectric micromirror actuator
WO2022128284A1 (en) Micromirror arrays
CN116783553A (en) High-force piezoelectric micromirror actuator
CN116507581A (en) High-precision temperature compensation piezoresistive position sensing system
WO2024002585A1 (en) Plasma shielding for an electrostatic mems device
WO2024012756A1 (en) Mirror assembly for micromirror array
TW202405507A (en) Mirror assembly for micromirror array

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21811331

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 21811331

Country of ref document: EP

Kind code of ref document: A1