WO2022076043A1 - Transistor à effet de champ à haute tension doté de trajets de courant verticaux et son procédé de fabrication - Google Patents

Transistor à effet de champ à haute tension doté de trajets de courant verticaux et son procédé de fabrication Download PDF

Info

Publication number
WO2022076043A1
WO2022076043A1 PCT/US2021/037267 US2021037267W WO2022076043A1 WO 2022076043 A1 WO2022076043 A1 WO 2022076043A1 US 2021037267 W US2021037267 W US 2021037267W WO 2022076043 A1 WO2022076043 A1 WO 2022076043A1
Authority
WO
WIPO (PCT)
Prior art keywords
region
dielectric
semiconductor
pair
gate
Prior art date
Application number
PCT/US2021/037267
Other languages
English (en)
Inventor
Mitsuhiro Togo
Junko Ono
Yasuyuki Aoki
Kazutaka Yoshizawa
Original Assignee
Sandisk Technologies Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/063,145 external-priority patent/US11450768B2/en
Priority claimed from US17/063,084 external-priority patent/US11978774B2/en
Priority claimed from US17/063,182 external-priority patent/US20220109070A1/en
Application filed by Sandisk Technologies Llc filed Critical Sandisk Technologies Llc
Publication of WO2022076043A1 publication Critical patent/WO2022076043A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66659Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Definitions

  • the present disclosure relates generally to the field of semiconductor devices and specifically to a high voltage field effect transistor including vertical current paths and methods of making the same.
  • a semiconductor structure which comprises: a shallow trench isolation structure comprising a dielectric material and embedded within a semiconductor material layer and laterally surrounding an upper portion of the semiconductor material layer, wherein the upper portion of the semiconductor material layer comprises a base semiconductor portion comprising a pair of top horizontal surfaces and a pedestal semiconductor portion located between the pair of top horizontal surfaces and vertically protruding above a horizontal plane including the pair of top horizontal surfaces; a gate stack structure comprising a gate dielectric and a gate electrode and including a pair of sidewalls that are vertically coincident with a pair of sidewalls of the pedestal semiconductor portion; a dielectric gate spacer contacting the pair of sidewalls of the gate stack structure and the pair of sidewalls of the pedestal semiconductor portion; and a source region and a drain region located within the base semiconductor portion and having a respective top surface located within the horizontal plane including the pair of top horizontal surfaces of the base semiconductor portion.
  • a method of forming a semiconductor structure comprises: forming a shallow trench isolation structure comprising a dielectric material in an upper region of a semiconductor material layer having a doping of a first conductivity type, wherein the shallow trench isolation structure laterally surrounds a device region of the semiconductor material layer; forming a gate stack structure comprising a gate dielectric and a gate electrode over a center portion of the device region of the semiconductor material layer; vertically recessing areas of the device region of the semiconductor material layer that are not covered by the gate stack structure, wherein the device region of the semiconductor material layer includes a base semiconductor portion comprising a pair of top horizontal surfaces that are recessed below a bottom surface of the gate dielectric and a pedestal semiconductor portion located between the pair of top horizontal surfaces and the bottom surface of the gate dielectric; forming a dielectric gate spacer on the pair of sidewalls of the gate stack structure and on a pair of sidewalls of the pedestal semiconductor portion; and forming a source region and
  • a semiconductor structure which comprises: a shallow trench isolation structure comprising a dielectric material embedded within a semiconductor material layer and laterally surrounding an upper portion of the semiconductor material layer, wherein the upper portion of the semiconductor material layer comprises a base semiconductor portion comprising a pair of top horizontal surfaces and a pedestal semiconductor portion located between the pair of top horizontal surfaces and vertically protruding above a horizontal plane including the pair of top horizontal surfaces; a gate stack structure comprising a gate dielectric and a gate electrode and including a pair of sidewalls that are vertically coincident with a pair of sidewalls of the pedestal semiconductor portion; a dielectric gate spacer contacting the pair of sidewalls of the gate stack structure and the pair of sidewalls of the pedestal semiconductor portion; and a pair of epitaxial semiconductor material portions located on, and above, the pair of top horizontal surfaces of the base semiconductor portion and epitaxially aligned to the base semiconductor portion, wherein the pair of epitaxial semiconductor material portions comprises a source region and
  • a method of forming a semiconductor structure comprises: forming a shallow trench isolation structure comprising a dielectric material in an upper region of a semiconductor material layer having a doping of a first conductivity type, wherein the shallow trench isolation structure laterally surrounds a device region of the semiconductor material layer; forming a gate stack structure comprising a gate dielectric and a gate electrode over a center portion of the device region of the semiconductor material layer; vertically recessing areas of the device region of the semiconductor material layer that are not covered by the gate stack structure, wherein the device region of the semiconductor material layer includes a base semiconductor portion comprising a pair of top horizontal surfaces that are recessed below a bottom surface of the gate dielectric and a pedestal semiconductor portion located between the pair of top horizontal surfaces and the bottom surface of the gate dielectric; forming a dielectric gate spacer on the pair of sidewalls of the gate stack structure and on a pair of sidewalls of the pedestal semiconductor portion; and forming a pair of
  • a semiconductor structure which comprises: a gate stack structure overlying a semiconductor material layer having a doping of a first conductivity type and comprising a gate dielectric and a gate electrode; a source extension region and a drain extension region embedded in an upper portion of the semiconductor material layer and located on opposite sides of the gate stack structure; a planarization dielectric layer overlying the gate stack structure, the source extension region, and the drain extension region; a first conductive pillar structure vertically extending through the planarization dielectric layer in contact with the source extension region, having a narrower width than the source extension region, and comprising a source extension pillar structure and a source region; and a second conductive pillar structure vertically extending through the planarization dielectric layer in contact with the drain extension region, having a narrower width than the drain extension region, and comprising a drain extension pillar structure and a drain region, wherein: the source extension region, the drain extension region, the source extension pillar structure,
  • a method of forming a semiconductor structure comprises: forming a gate stack structure comprising a gate dielectric and a gate electrode over a semiconductor material layer having a doping of a first conductivity type; forming a source extension region and a drain extension region in the semiconductor material layer on opposite sides of the gate stack structure; forming a planarization dielectric layer overlying the gate stack structure, the source extension region, and the drain extension region; forming a pair of via cavities through the planarization dielectric layer, wherein a top surface of the source extension region and the drain extension region are physically exposed; and forming a first conductive pillar structure and a second conductive pillar structure within the pair of via cavities, wherein: the first conductive pillar structure comprises a source extension pillar structure and a source region; the second conductive pillar structure comprises a drain extension pillar structure and a drain region; the source extension region, the drain extension region, the source extension pillar structure, the drain extension pillar pillar pillar
  • FIG. 1A is a vertical cross-sectional view of a first device region of a first exemplary structure after formation of shallow trench isolation structures according to an embodiment of the present disclosure.
  • FIG. IB is a vertical cross-sectional view of a second device region of the first exemplary structure after formation of the shallow trench isolation structures according to an embodiment of the present disclosure.
  • FIG. 1C is a top-down view of the first device region of the first exemplary structure of FIG. 1A.
  • FIG. ID is a top-down view of the second device region of the first exemplary structure of FIG. IB.
  • FIG. 2A is a vertical cross-sectional view of the first device region of the first exemplary structure after formation of a first gate dielectric layer according to an embodiment of the present disclosure.
  • FIG. 2B is a vertical cross-sectional view of the second device region of the first exemplary structure after formation of a second gate dielectric layer according to an embodiment of the present disclosure.
  • FIG. 3A is a vertical cross-sectional view of the first device region of a first exemplary structure after formation of a first gate stack structure according to an embodiment of the present disclosure.
  • FIG. 3B is a vertical cross-sectional view of the second device region of the first exemplary structure after formation of a second gate stack structure according to an embodiment of the present disclosure.
  • FIG. 3C is a top-down view of the first device region of the first exemplary structure of FIG. 3A.
  • FIG. 3D is a top-down view of the second device region of the first exemplary structure of FIG. 3B.
  • FIG. 4A is a vertical cross-sectional view of the first device region of the first exemplary structure after masking the second device region and formation of a source-side cavity and a drain-side cavity in the first device region according to an embodiment of the present disclosure.
  • FIG. 4B is a vertical cross-sectional view of the second device region of the first exemplary structure after masking the second device region and formation of a source-side cavity and a drain-side cavity in the first device region according to an embodiment of the present disclosure.
  • FIG. 5A is a vertical cross-sectional view of the first device region of the first exemplary structure after formation of source extension regions and drain extension regions according to an embodiment of the present disclosure.
  • FIG. 5B is a vertical cross-sectional view of the second device region of the first exemplary structure after formation of source extension regions and drain extension regions according to an embodiment of the present disclosure.
  • FIG. 6A is a vertical cross-sectional view of the first device region of the first exemplary structure after formation of dielectric gate spacers, shallow trench isolation spacers, source regions, and drain regions according to an embodiment of the present disclosure.
  • FIG. 6B is a vertical cross-sectional view of the second device region of the first exemplary structure after formation of dielectric gate spacers, shallow trench isolation spacers, source regions, and drain regions according to an embodiment of the present disclosure.
  • FIG. 6C is a top-down view of the first device region of the first exemplary structure of FIG. 6A.
  • FIG. 6D is a top-down view of the second device region of the first exemplary structure of FIG. 6B.
  • FIG. 7A is a vertical cross-sectional view of the first device region of the first exemplary structure after formation of a planarization dielectric layer and contact via structures according to an embodiment of the present disclosure.
  • FIG. 7B is a vertical cross-sectional view of the second device region of the first exemplary structure after formation of a planarization dielectric layer and contact via structures according to an embodiment of the present disclosure.
  • FIG. 7C is a vertical cross-sectional view of the first device region of the first exemplary structure of FIG. 7 A along a direction that is parallel to a lengthwise direction of the first gate stack structure according to an embodiment of the present disclosure.
  • FIG. 8A is a vertical cross-sectional view of a first alternative configuration of the first device region of the first exemplary structure according to an embodiment of the present disclosure.
  • FIG. 8B is a vertical cross-sectional view of a second alternative configuration of the first device region of the first exemplary structure according to an embodiment of the present disclosure.
  • FIG. 8C is a vertical cross-sectional view of a third alternative configuration of the first device region of the first exemplary structure according to an embodiment of the present disclosure.
  • FIG. 9A is a vertical cross-sectional view of a fourth alternative configuration of the first device region of the first exemplary structure according to an embodiment of the present disclosure.
  • FIG. 9B is a vertical cross-sectional view of a fifth alternative configuration of the first device region of the first exemplary structure according to an embodiment of the present disclosure.
  • FIG. 10A is a vertical cross-sectional view of a first device region of a second exemplary structure after formation of a source-side cavity and a drain-side cavity in the first device region according to an embodiment of the present disclosure.
  • FIG. 10B is a vertical cross-sectional view of a second device region of a second exemplary structure after formation of a source-side cavity and a drain-side cavity in the first device region in the second device region according to an embodiment of the present disclosure.
  • FIG. 11A is a vertical cross-sectional view of the first device region of the second exemplary structure after formation of a source extension region and a drain extension region in the second device region according to an embodiment of the present disclosure.
  • FIG. 1 IB is a vertical cross-sectional view of the second device region of the second exemplary structure after formation of a source extension region and a drain extension region in the second device region according to an embodiment of the present disclosure.
  • FIG. 12A is a vertical cross-sectional view of the first device region of the second exemplary structure after formation of a dielectric gate spacer and a shallow trench isolation spacer according to an embodiment of the present disclosure.
  • FIG. 12B is a vertical cross-sectional view of the second device region of the second exemplary structure after formation of a dielectric gate spacer and a shallow trench isolation spacer according to an embodiment of the present disclosure.
  • FIG. 13A is a vertical cross-sectional view of the first device region of the second exemplary structure after covering the second device region with a dielectric cover layer and formation of epitaxial semiconductor material portions according to an embodiment of the present disclosure.
  • FIG. 13B is a vertical cross-sectional view of the second device region of the second exemplary structure after covering the second device region with a dielectric cover layer and formation of epitaxial semiconductor material portions according to an embodiment of the present disclosure.
  • FIG. 14A is a vertical cross-sectional view of the first device region of the second exemplary structure after formation of a source region and a drain region according to an embodiment of the present disclosure.
  • FIG. 14B is a vertical cross-sectional view of the second device region of the second exemplary structure after formation of a source region and a drain region according to an embodiment of the present disclosure.
  • FIG. 15A is a vertical cross-sectional view of the first device region of the second exemplary structure after formation of a planarization dielectric layer and contact via structures according to an embodiment of the present disclosure.
  • FIG. 15B is another vertical cross-sectional view of the first device region of the second exemplary structure of FIG. 15A along a lengthwise direction of the gate stack structure according to an embodiment of the present disclosure.
  • FIG. 16A is a vertical cross-sectional view of a first device region of a third exemplary structure after formation of source extension regions and drain extension regions according to an embodiment of the present disclosure.
  • FIG. 16B is a vertical cross-sectional view of a second device region of the third exemplary structure after formation of source extension regions and drain extension regions according to an embodiment of the present disclosure.
  • FIG. 17A is a vertical cross-sectional view of the first device region of the third exemplary structure after formation of dielectric gate spacers and shallow trench isolation spacers according to an embodiment of the present disclosure.
  • FIG. 17B is a vertical cross-sectional view of the second device region of the third exemplary structure after formation of dielectric gate spacers and shallow trench isolation spacers according to an embodiment of the present disclosure.
  • FIG. 18A is a vertical cross-sectional view of the first device region of the third exemplary structure after covering the second device region with a dielectric cover layer and formation of epitaxial semiconductor material portions according to an embodiment of the present disclosure.
  • FIG. 18B is a vertical cross-sectional view of the second device region of the third exemplary structure after covering the second device region with a dielectric cover layer and formation of epitaxial semiconductor material portions according to an embodiment of the present disclosure.
  • FIG. 19A is a vertical cross-sectional view of the first device region of the third exemplary structure after formation of a source region and a drain region according to an embodiment of the present disclosure.
  • FIG. 19B is a vertical cross-sectional view of the second device region of the third exemplary structure after formation of a source region and a drain region according to an embodiment of the present disclosure.
  • FIG. 20 is a vertical cross-sectional view of the first device region of the third exemplary structure after formation of a planarization dielectric layer and various contact via structures according to an embodiment of the present disclosure.
  • FIG. 21 is a vertical cross-sectional view of a first device region of a fourth exemplary structure after formation of gate stack structures according to an embodiment of the present disclosure.
  • FIG. 22 is a vertical cross-sectional view of the first device region of the fourth exemplary structure after formation of a source extension region and a drain extension region according to an embodiment of the present disclosure.
  • FIG. 23 is a vertical cross-sectional view of the first device region of the fourth exemplary structure after formation of a dielectric gate spacer and a shallow trench isolation spacer according to an embodiment of the present disclosure.
  • FIG. 24 is a vertical cross-sectional view of a first device region of a fourth exemplary structure after formation of a planarization dielectric layer according to an embodiment of the present disclosure.
  • FIG. 25A is a vertical cross-sectional view of the first device region of the fourth exemplary structure after formation of contact via structures according to an embodiment of the present disclosure.
  • FIG. 25B is a partial see-through top-down view of the first device region of the fourth exemplary structure of FIG. 25 A.
  • FIG. 26 is a vertical cross-sectional view of the first device region of the fourth exemplary structure after formation of semiconductor pillar structures according to an embodiment of the present disclosure.
  • FIG. 27A is a vertical cross-sectional view of the first device region of the fourth exemplary structure after formation of a source region and a drain region according to an embodiment of the present disclosure.
  • FIG. 27B is a partial see-through top-down view of the first device region of the fourth exemplary structure of FIG. 27 A.
  • FIG. 28A is a vertical cross-sectional view of the first device region of the fourth exemplary structure after formation of metallic contact via structures according to an embodiment of the present disclosure.
  • FIG. 28B is a partial see-through top-down view of the first device region of the fourth exemplary structure of FIG. 28A.
  • FIG. 29 is a partial see-through top-down view of a first alternative configuration of the fourth exemplary structure.
  • FIG. 30A is a vertical cross-sectional view of a second alternative configuration of the fourth exemplary structure along plane A - A’ in FIG. 30B.
  • FIG. 30B is a partial see-through top-down view of a second alternative configuration of the fourth exemplary structure.
  • FIG. 31A is a vertical cross-sectional view of a third alternative configuration of the fourth exemplary structure along plane A - A’ in FIG. 31B.
  • FIG. 3 IB is a partial see-through top-down view of the third alternative configuration of the fourth exemplary structure.
  • FIGS. 32A to 32G illustrate vertical cross-sectional views of the first device region during steps of forming of a fourth alternative configuration of the fourth exemplary structure.
  • Dielectric breakdown can occur at bottom corners of the gate dielectric during high voltage operation of the field effect transistor.
  • Embodiments of the present disclosure provide high voltage field effect transistors including vertical current paths and methods of making the same, the various aspects of which are described below. Increase in the total length of the current path in the high voltage field effect transistors can be advantageously utilized to reduce high voltage breakdown and allows manufacture of high voltage field effect transistors within smaller device areas.
  • first, second, and third are employed merely to identify similar elements, and different ordinals may be employed across the specification and the claims of the instant disclosure.
  • the same reference numerals refer to the same element or similar element. Unless otherwise indicated, elements having the same reference numerals are presumed to have the same composition.
  • a first element located “on” a second element can be located on the exterior side of a surface of the second element or on the interior side of the second element.
  • a first element is located “directly on” a second element if there exist a physical contact between a surface of the first element and a surface of the second element.
  • a “layer” refers to a material portion including a region having a thickness.
  • a layer may extend over the entirety of an underlying or overlying structure, or may have an extent less than the extent of an underlying or overlying structure.
  • a layer may be located between any pair of horizontal planes between, or at, a top surface and a bottom surface of the continuous structure.
  • a layer may extend horizontally, vertically, and/or along a tapered surface.
  • a substrate may be a layer, may include one or more layers therein, and/or may have one or more layer thereupon, thereabove, and/or therebelow.
  • a “layer stack” refers to a stack of layers.
  • a “line” or a “line structure” refers to a layer that has a predominant direction of extension, i.e., having a direction along which the layer extends the most.
  • a “semiconducting material” refers to a material having electrical conductivity in the range from 1.0 x 10’ 6 S/cm to 1.0 x 10 5 S/cm.
  • a “semiconductor material” refers to a material having electrical conductivity in the range from 1.0 x 10’ 6 S/cm to 1.0 x 10 5 S/cm in the absence of electrical dopants therein, and is capable of producing a doped material having electrical conductivity in a range from 1.0 S/cm to 1.0 x 10 5 S/cm upon suitable doping with an electrical dopant.
  • an “electrical dopant” refers to a p-type dopant that adds a hole to a valence band within a band structure, or an n-type dopant that adds an electron to a conduction band within a band structure.
  • a “conductive material” refers to a material having electrical conductivity greater than 1.0 x 10 5 S/cm.
  • an “insulator material”, “insulating material” or a “dielectric material” refers to a material having electrical conductivity less than 1.0 x 10’ 6 S/cm.
  • a “heavily doped semiconductor material” refers to a semiconductor material that is doped with electrical dopant at a sufficiently high atomic concentration to become a conductive material, i.e., to have electrical conductivity greater than 1.0 x 10 5 S/cm.
  • a “doped semiconductor material” may be a heavily doped semiconductor material, or may be a semiconductor material that includes electrical dopants (i.e., p-type dopants and/or n-type dopants) at a concentration that provides electrical conductivity in the range from 1.0 x 10’ 6 S/cm to 1.0 x 10 5 S/cm.
  • An “intrinsic semiconductor material” refers to a semiconductor material that is not doped with electrical dopants.
  • a semiconductor material may be semiconducting or conductive, and may be an intrinsic semiconductor material or a doped semiconductor material.
  • a doped semiconductor material can be semiconducting or conductive depending on the atomic concentration of electrical dopants therein.
  • a “metallic material” refers to a conductive material including at least one metallic element therein. All measurements for electrical conductivities are made at the standard condition.
  • a “field effect transistor” refers to any semiconductor device having a semiconductor channel through which electrical current flows with a current density modulated by an external electrical field.
  • a “channel region” refers to a semiconductor region in which mobility of charge carriers is affected by an applied electrical field.
  • a “gate electrode” refers to a conductive material portion that controls electron mobility in the channel region by application of an electrical field.
  • a “source region” refers to a doped semiconductor region that supplies charge carriers that flow through the channel region.
  • a “drain region” refers to a doped semiconductor region that receives charge carriers supplied by the source region and passes through the channel region.
  • An “active region” refers to a source region of a field effect transistor or a drain region of a field effect transistor.
  • a “source extension region” refers to a doped semiconductor region having a lesser dopant concentration than, and having a same type of doping as, a source region and including a portion disposed between the source region and the channel region.
  • a “drain extension region” refers to a doped semiconductor region having a lesser dopant concentration than, and having a same type of doping as, a drain region and including a portion disposed between the drain region and the channel region.
  • An “active region extension” refers to a source extension region or a drain extension region.
  • the first exemplary structure may include many device regions, which can include a first device region 100 illustrated in FIGS. 1A and 1C and a second device region 200 illustrated in FIGS. IB and ID.
  • a first device region 100 illustrated in FIGS. 1A and 1C can include a first device region 100 illustrated in FIGS. 1A and 1C and a second device region 200 illustrated in FIGS. IB and ID.
  • a second device region 200 illustrated in FIGS. IB and ID illustrated in FIGS. IB and ID.
  • semiconductor substrate refers to a substrate that includes at least one semiconductor material portion, i.e., at least one portion of a semiconductor material.
  • the semiconductor substrate 10 includes a semiconductor material at least at a top portion thereof.
  • the semiconductor substrate 10 may optionally include at least one additional material layer at a bottom portion thereof.
  • the semiconductor substrate 10 can be a bulk semiconductor substrate consisting of a semiconductor material (e.g., single crystal silicon wafer), or can be a semiconductor-on-insulator (SOI) substrate including a buried insulator layer (such as a silicon oxide layer) underlying the semiconductor (e.g., silicon) material portion, and a handle substrate underlying the buried insulator layer.
  • SOI semiconductor-on-insulator
  • the semiconductor substrate 10 can include a lightly doped semiconductor material portion on which at least one field effect transistor can be formed.
  • the entirety of the semiconductor material on the semiconductor substrate 10 may include the lightly doped semiconductor material.
  • the lightly doped semiconductor material can be a semiconductor well embedded within another semiconductor material having a different dopant concentration and optionally, a doping of the opposite conductivity type.
  • the dopant concentration of the lightly doped semiconductor material portion may be optimized for a body region of the at least one field effect transistor to be subsequently formed.
  • the lightly doped semiconductor material portion may include electrical dopants at an atomic concentration in a range from 1.0 x 10 14 /cm 3 to 1.0 x 10 18 /cm 3 , such as from 1.0 x 10 15 /cm 3 to 1.0 x 10 17 /cm 3 , although lesser and greater atomic concentrations can also be employed.
  • the conductivity type of the portion of the semiconductor substrate 10 to be subsequently employed as a body region of a field effect transistor is herein referred to as a first conductivity type, which may be p-type for an n-type field effect transistor or n-type for a p-type field effect transistor.
  • the semiconductor material of the semiconductor substrate 10 can be an elemental semiconductor material (such as silicon) or an alloy of at least two elemental semiconductor materials (such as a silicon-germanium alloy), or can be a compound semiconductor material (such as a III-V compound semiconductor material or a II- VI compound semiconductor material), or can be an organic semiconductor material.
  • the thickness of the semiconductor substrate 10 can be in a range from 0.5 mm to 2 mm in case the semiconductor substrate 10 is a bulk semiconductor substrate. In case the semiconductor substrate 10 is a semiconductor-on-insulator substrate, the thickness of the top semiconductor material layer within the semiconductor substrate 10 may be in a range from 100 nm to 1,000 nm, although lesser and greater thicknesses can also be employed.
  • Pad layers such as a stack of a silicon oxide layer and a silicon nitride layer can be deposited over the top surface of the semiconductor substrate 10, and can be lithographically patterned to cover each device region, i.e., each region in which semiconductor devices are to be subsequently formed.
  • An anisotropic etch process can be performed to etch shallow trenches that vertically extend through the pad layers and into an upper portion of the semiconductor substrate 10.
  • the photoresist layer can be employed as an etch mask layer during the anisotropic etch process.
  • the depth of the shallow trenches, as measured from the horizontal plane including the top surface of the semiconductor substrate 10, can be in a range from 300 nm to 3 microns, although lesser and greater depths may also be employed.
  • the shallow trenches can be interconnected among one another to provide multiple device regions that correspond to a respective unetched portion of the semiconductor substrate 10.
  • the multiple device regions include the first device region 100 in which a high voltage filed effect transistor is subsequently formed, and the second device region 200 in which a low voltage field effect transistor is subsequently formed. It is understood that multiple semiconductor devices including filed effect transistors can be formed on a same semiconductor substrate 10, and the high voltage field effect transistor formed in the first device region 100 and the low voltage field effect transistor formed in the second device region 200 are only exemplary devices among the entire set of semiconductor devices that can be formed on the semiconductor substrate 10.
  • the photoresist layer can be subsequently removed, for example, by ashing.
  • At least one dielectric material such as undoped silicate glass can be deposited in the shallow trenches by a conformal deposition process such as a chemical vapor deposition process.
  • a chemical mechanical planarization process can be performed to remove portions of the at least one dielectric material from above the pad layers.
  • the remaining portions of the at least one dielectric material constitute shallow trench isolation structures 20.
  • the pad layers can be subsequently removed, for example, by wet etch processes. For example, a wet etch employing hot phosphoric acid can be performed to remove the silicon nitride layer, and a wet etch process employing dilute hydrofluoric acid can be performed to remove the silicon oxide layer. Physically exposed surfaces of the shallow trench isolation structures 20 may be collaterally recessed during removal of the silicon oxide layer.
  • the first device region 100 can have a rectangular top surface, which is a surface of the semiconductor substrate 10.
  • the lengthwise sides of the rectangular top surface that is parallel to the direction of horizontal current flow within the first device region 100 can be in a range from 600 nm to 10 microns, such as from 1.2 microns to 5 microns, although lesser and greater dimensions may also be employed.
  • the widthwise sides of the rectangular top surface that is perpendicular to the direction of horizontal current flow within the first device region 100 can be in a range from 300 nm to 20 microns, such as from 600 nm to 10 microns, although lesser and greater dimensions may also be employed.
  • the second device region 200 can have a rectangular top surface, and the dimensions for the rectangular top surface may be suitably selected.
  • a shallow trench isolation structure 20 comprising a dielectric material can be formed in an upper region of the semiconductor material layer (e.g., a doped well or an epitaxial semiconductor layer) of the semiconductor substrate 10.
  • the semiconductor material layer can have a doping of the first conductivity type, and the shallow trench isolation structure 20 can laterally surround each device region of the semiconductor material layer such as the first device region 100 and the second device region 200.
  • gate dielectric layers (50L, 250L) can be formed over the top surface of the semiconductor substrate 10.
  • a first gate dielectric layer 50L having a thickness suitable for operation of a high voltage field effect transistor can be formed on all physically exposed surfaces of the semiconductor substrate 10, for example, by thermal oxidation of the physically exposed surface portions of the semiconductor substrate 10.
  • the semiconductor substrate 10 includes single crystalline silicon
  • the first gate dielectric layer can consist essentially of thermal silicon oxide.
  • the thickness of the first gate dielectric layer 50L can be in a range from 6 nm to 30 nm, although lesser and greater thicknesses can also be employed.
  • a photoresist layer (not shown) can be applied over the first exemplary structure, and can be lithographically patterned to cover the first device region 100 without covering the second device region 200.
  • An isotropic etch process such as a wet etch process employing dilute hydrofluoric acid can be performed to remove the portion of the first gate dielectric layer 50L located in the second device region 200.
  • the photoresist layer can be subsequently removed, for example, by ashing.
  • a second gate dielectric layer 250L can be formed in the second device region
  • a thermal oxidation process may be performed to convert a surface portion of the semiconductor substrate 10 in the second device region 200 into the second gate dielectric layer 250L.
  • a dielectric metal oxide layer may be deposited and may be incorporated into the second gate dielectric layer 250L. Any portion of the gate dielectric material that is formed on the first gate dielectric layer 50L during formation of the second gate dielectric layer 250L is incorporated into the second gate dielectric layer 250L.
  • the first gate dielectric layer 50L has a thickness (such as a thickness in a range from 6 nm to 30 nm) that is suitable for operation of a high voltage field effect transistor, and the second gate dielectric layer 250L has a thickness that is suitable for operation of a low voltage field effect transistor.
  • the second gate dielectric layer 250L is thinner than the first gate dielectric layer 50L.
  • the second gate dielectric layer 250L can have a thickness in a range from 1 nm to 4 nm, although lesser and greater thicknesses may also be employed.
  • the second gate dielectric layer 250L is formed first in both first and second device regions (100, 200), followed by forming a mask over the second gate dielectric layer 250L in the second device region 200 and further oxidizing the exposed semiconductor substrate 10 in the first device region 100 to increase the thickness of the second gate dielectric layer 250L exposed in the first device region 100 to form the first gate dielectric layer 50L.
  • At least one gate electrode material layer and a gate cap dielectric layer can be deposited over the first gate dielectric layer 50L and the second gate dielectric layer 250L.
  • the at least one gate electrode material layer includes one or more layers of an electrically conductive material that can be employed as a gate electrode material.
  • the at least one gate electrode material layer can include a semiconductor gate electrode layer including a doped semiconductor material and a metallic gate electrode layer including a metallic gate electrode material.
  • the semiconductor gate electrode layer can include a doped polysilicon layer having a thickness in a range from 30 nm to 150 nm
  • the metallic gate electrode layer can include a metallic material such as a transition metal or metal silicide and can have a thickness in a range from 50 nm to 150 nm, although lesser and greater thicknesses may also be employed.
  • the gate cap dielectric layer includes a gate cap dielectric material such as silicon nitride, and can have a thickness in a range from 30 nm to 100 nm, although lesser and greater thicknesses may also be employed.
  • a photoresist layer can be applied over the gate cap dielectric layer, and can be lithographically patterned to form gate patterns, i.e., patterns of gate electrodes to be subsequently formed.
  • the gate patterns can cover middle portions of the device regions such as a middle portion of the first device region 100 and a middle portion of the second device region 200.
  • An anisotropic etch process can be performed to transfer the gate patterns through the gate cap dielectric layer, the at least one gate electrode layer, the first gate dielectric layer 50L, and the second gate dielectric layer 250L.
  • a terminal step of the anisotropic etch process can be selective to the semiconductor material of the semiconductor substrate 10 so that overetch into the semiconductor substrate 10 is minimized.
  • the photoresist layer can be removed, for example, by ashing.
  • Each patterned portion of the gate cap dielectric layer comprises a gate cap dielectric 58.
  • Each patterned portion of the at least one gate electrode layer comprises a gate electrode (52, 54).
  • each gate electrode (52, 54) can include a respective stack of a semiconductor gate electrode 52 and a metallic gate electrode 54.
  • a patterned portion of the first gate dielectric layer 50L formed in the first device region 100 includes a first gate dielectric 50, and a patterned portion of the second gate dielectric layer 250L formed in the second device region 200 includes a second gate dielectric 250.
  • the contiguous set of material portions including the first gate dielectric 50, a semiconductor gate electrode 52, a metallic gate electrode 54, and a gate cap dielectric 58 in the first device region 100 comprises a first gate stack structure (50, 52, 54, 58).
  • the continuous set of material portions including the second gate dielectric 250, a semiconductor gate electrode 52, a metallic gate electrode 54, and a gate cap dielectric 58 in the second device region 200 comprises a second gate stack structure (250, 52, 54, 58).
  • the lateral dimension between two edges of the first gate dielectric 50 that contact the semiconductor substrate 10 in the first device region 100 is the first gate length of the first gate stack structure (50, 52, 54, 58), which can be in a range from 200 nm to 3,000 nm, although lesser and greater dimensions may also be employed.
  • the lateral dimension between two edges of the second gate dielectric 250 that contact the semiconductor substrate 10 in the second device region 200 is the second gate length of the second gate stack structure (250, 52, 54, 58), which can be in a range from 5 nm to 100 nm, although lesser and greater dimensions may also be employed.
  • a photoresist layer 57 can be applied over the first exemplary structure, and can be lithographically patterned to cover the second device region 200 without covering the first device region 100.
  • an anisotropic etch process can be performed to vertically recess the semiconductor material of the semiconductor substrate 10 selective to the dielectric materials of the gate cap dielectric 58 and the shallow trench isolation structure 20.
  • Anisotropic etching of a semiconductor material can be effected, for example, by a Bosch process employing sulfur hexafluoride or by a reactive ion etch process employing a combination of nitrogen trifluoride, halofluorocarbon, and optionally an inert gas (such as argon).
  • Physically exposed portions of the top surface of the semiconductor substrate 10 can be vertically recessed to form a source-side cavity 29S on one side of the first gate stack structure (50, 52, 54, 58) and a drain-side cavity 29D on an opposite side of the first gate stack structure (50, 52, 54, 58).
  • the shallow trench isolation structure 20 comprises a dielectric material and is embedded within a semiconductor material layer of the semiconductor substrate 10.
  • the shallow trench isolation structure 20 laterally surrounds a first upper portion of the semiconductor material layer in the first device region 100, and laterally surrounds a second upper portion of the semiconductor material layer.
  • a pair of recessed horizontal surfaces of a remaining portion of the semiconductor substrate 10 can be formed at the bottom of the source-side cavity 29S and the drain-side cavity 29D in the first device region 100.
  • the first device region 100 of the semiconductor material layer includes a base semiconductor portion 30B comprising the pair of top horizontal surfaces 31 that are recessed below a bottom surface of the first gate dielectric 50 and a pedestal semiconductor portion 30P located between the pair of top horizontal surfaces 31 and the bottom surface of the gate dielectric 50.
  • the first upper portion of the semiconductor material layer in the first device region 100 comprises the base semiconductor portion 30B comprising a pair of top horizontal surfaces 31 and the pedestal semiconductor portion 30P located between the pair of top horizontal surfaces 31 and vertically protruding above a horizontal plane HP including the pair of top horizontal surfaces 31.
  • the base semiconductor portion 30B can be located between the horizontal plane including the bottom surface of the shallow trench isolation structure 20 and the horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30B.
  • a horizontal interface between the first gate dielectric 50 and the pedestal semiconductor portion 30P is located above the horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30B.
  • a vertical distance between the horizontal interface between the first gate dielectric 50 and the pedestal semiconductor portion 30P and the horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30B can be in a range from 100 nm to 2 microns, and may be in a range from 300 nm to 1,000 nm, although lesser and greater vertical distances may also be employed.
  • a top periphery of the pedestal semiconductor portion 3 OP comprises a pair of first edges that coincide with a pair of edges of the first gate dielectric 50 and a pair of second edges that are adjoined to the shallow trench isolation structure 20 (outside the vertical plane of FIG. 4 A and not shown in FIG. 4A).
  • the pair of top horizontal surfaces 31 of the base semiconductor portion 30B are adjoined to sidewalls of the shallow trench isolation structure 20.
  • the base semiconductor portion 30B comprises a first single crystalline semiconductor material (e.g., silicon) portion having a doping of the first conductivity type
  • the pedestal semiconductor portion 30P comprises a second single crystalline semiconductor material (e.g., silicon) potion having a doping of the first conductivity type.
  • the first base semiconductor portion 30B and the pedestal semiconductor portion 30P can have the same material composition and can be epitaxially aligned to each other.
  • the pedestal semiconductor portion 30P can have a pair of sidewalls that are each physically exposed to the source-side cavity 29S or to the drain-side cavity 29D, and are laterally spaced apart from each other by a uniform lateral spacing, which can be the same as the gate length.
  • the pair of sidewalls of the pedestal semiconductor portion 30P can be vertically coincident with overlying sidewalls of the first gate stack structure (50, 52, 54, 58).
  • a first surface and a second surface are “vertically coincident” if the second surface overlies or underlies the first surface and if there exists a vertical plane including the first surface and the second surface.
  • the pedestal semiconductor portion 30P can comprise a pair of sidewalls that are vertically coincident with sidewalls of the first gate stack structure (50, 52, 54, 58).
  • the photoresist layer 57 can be subsequently removed, for example, by ashing.
  • At least one doped extension region (32S, 32D) having a doping of a second conductivity type that is the opposite of the first conductivity type can be formed underneath at least one sidewall of the pedestal semiconductor portion 30P in the first device region 100.
  • the at least one doped extension region (32S, 32D) formed in the first device region 100 can include a source extension region 32S and a drain extension region 32D.
  • dopants of the second conductivity type can be introduced into the physically exposed portions of the semiconductor material layer in the semiconductor substrate 10.
  • At least one ion implantation process and/or at least one plasma doping may be employed to introduce dopants of the second conductivity type into surface portions of the semiconductor material layer in the semiconductor substrate 10.
  • masked ion implantation processes may be employed to implant dopants of different conductivity type into different field effect transistors.
  • multiple instances of the first device region 100 can be formed on the semiconductor substrate 10 such that a first subset of the first device regions 100 includes p-type doped portions of the semiconductor substrate 10 (so that the first conductivity type is p-type for the first subset) and a second subset of the first device regions 100 includes n-type doped portions of the semiconductor substrate 10 (so that the first conductivity type is n-type for the second subset).
  • dopants of a respective second conductivity type that is the opposite of the first conductivity type can be implanted to form a respective source extension region 32S and a respective drain extension region 32D.
  • dopants of a respective second conductivity type that is the opposite of the first conductivity type can be implanted to form a respective source extension region 232S and a respective drain extension region 232D.
  • the source extension regions (32S, 232S) and the drain extension regions (32D, 232D) of different field effect transistors may, or may not, have the same atomic concentration of dopants.
  • one or more masked ion implantation processes may be employed to provide dopants of a respective second conductivity type at a target atomic concentration within each of the source extension regions (32S, 232S) and the drain extension regions (32D, 232D).
  • each source extension region 32S and each drain extension region 32D can include dopants of a respective second conductivity type at an atomic concentration that is less than the atomic concentration of dopants to be employed in source regions and drain regions to be subsequently formed to prevent breakdown of field effect transistors during operation.
  • each source extension region 32S and each drain extension region 32D can include dopants of a respective second conductivity type at an atomic concentration in a range from 1.0 x 1017/cm3 to 1.0 x 1020/cm3, such as from 1.0 x 1018/cm3 to 1.0 x 1019/cm3, although lesser and greater atomic concentrations can also be employed.
  • the extension regions 232S and 232D in the second device region 200 may have the same concentration of dopants of the second conductivity type.
  • angled ion implantation processes may be employed to dope surface portions of the pedestal semiconductor portion 30P that underlie the physically exposed sidewalls of the pedestal semiconductor portion 30P, i.e., the sidewalls of the pedestal semiconductor portion 30P that are physically exposed to the source-side cavity 29S or to the drain-side cavity 29D.
  • the thickness of the horizontally-extending portions of the source extension region 32S and the drain extension region 32D in each first device region 100 may be in a range from 10 nm to 200 nm, although lesser and greater thicknesses may also be employed.
  • the width of the vertically-extending portions of the source extension region 32S and the drain extension region 32D in each first device region 100 may be in a range from 10 nm to 200 nm, although lesser and greater thicknesses may also be employed.
  • a single crystalline semiconductor material potions having a doping of the first conductivity type is located within the pedestal semiconductor portion 30P.
  • Each interface between the at least one doped extension region (32S, 32DS) and the single crystalline semiconductor material portion within the pedestal semiconductor portion 30P comprises a p-n junction that is parallel to one of the pair of sidewalls of the pedestal semiconductor portion 30P and is adjoined to a bottom surface of the first gate dielectric 50.
  • a conformal dielectric material layer including a dielectric material such as silicon oxide can be deposited, for example, by a chemical vapor deposition process.
  • the thickness of the conformal dielectric material layer can be in a range from 30 nm to 300 nm, although lesser and great thicknesses may also be employed.
  • the conformal dielectric layer can be anisotropically etched by performed an anisotropic etch process such as a reactive ion etch process.
  • a first dielectric gate spacer 56 is formed around the first gate stack structure (50, 52, 54, 58) in the first device region 100, and a second dielectric gate spacer 256 is formed around the second gate stack structure (250, 52, 54, 58) in the second device region 200.
  • the first dielectric gate spacer 56 can be formed on a pair of sidewalls of the first gate stack structure (50, 52, 54, 58) and on a pair of sidewalls of the pedestal semiconductor portion 30P that are vertically coincident with the pair of sidewalls of the first gate stack structure (50, 52, 54, 58).
  • the width of the first dielectric gate spacer 56 and the second dielectric gate spacer 256 can be in a range from 20 nm to 400 nm, such as from 40 nm to 200 nm, although lesser and greater widths can also be employed.
  • a remaining portion of the conformal dielectric material layer in the first device region 100 comprises a first shallow trench isolation spacer 66 that comprises a same insulating material as the first dielectric gate spacer 56 and the second dielectric gate spacer 256.
  • the first shallow trench isolation spacer 66 contacts sidewalls of the shallow trench isolation structure 20 and peripheral potions of the pair of top horizontal surfaces 31 of the base semiconductor portion 30B.
  • the first shallow trench isolation spacer 66 is adjoined to the first dielectric gate spacer 56 as shown in FIG. 6C.
  • Dopants of the second conductivity type can be implanted into portions of the semiconductor substrate 10 that are not masked by the gate stack structures (50, 250, 52, 54, 58), the dielectric gate spacers (56, 256), the shallow trench isolation spacers 66, or the shallow trench isolation structures 20 to form source regions (34S, 234S) and drain regions (34D, 234D).
  • at least one ion implantation process may be employed to introduce dopants of the second conductivity type into unmasked surface portions of the semiconductor material layer in the semiconductor substrate 10.
  • masked ion implantation processes may be employed to implant dopants of different conductivity type into different field effect transistors.
  • multiple instances of the first device region 100 can be formed on the semiconductor substrate 10 such that a first subset of the first device regions 100 includes p-type doped portions of the semiconductor substrate 10 (so that the first conductivity type is p-type for the first subset) and a second subset of the first device regions 100 includes n-type doped portions of the semiconductor substrate 10 (so that the first conductivity type is n-type for the second subset).
  • dopants of a respective second conductivity type that is the opposite of the first conductivity type can be implanted to form a respective source region 34S and a respective drain region 34D.
  • dopants of a respective second conductivity type that is the opposite of the first conductivity type can be implanted to form a respective source region 234S and a respective extension region 234D.
  • the source regions (34S, 234S) and the drain regions (34D, 234D) of different field effect transistors may, or may not, have the same atomic concentration of dopants.
  • one or more masked ion implantation processes may be employed to provide dopants of a respective second conductivity type at a target atomic concentration within each of the source regions (34S, 234S) and the drain regions (34D, 234D).
  • each source region (34S, 234S) and each drain region (34D, 234D) can include dopants of a respective second conductivity type at an atomic concentration that is greater than the atomic concentration of dopants in the source extension regions (32S, 232S) and the drain extension regions (32D, 232D).
  • each source region (34S, 234S) and each drain region (34D, 234D) can include dopants of a respective second conductivity type at an atomic concentration in a range from 5.0 x 1018/cm3 to 2.0 x 1021/cm3, such as from 1.0 x 1020/cm3 to 1.0 x 1021/cm3, although lesser and greater atomic concentrations can also be employed.
  • the source regions (34S, 234S) and the drain regions (34D, 234D) can contact bottom surfaces of the respective dielectric gate spacers (56, 256) and bottom surfaces of the shallow trench isolation spacers 66.
  • the thickness of the source region (34S, 234S) and the drain region (34D, 234D) may be in a range from 50 nm to 1,000 nm, although lesser and greater thicknesses may also be employed.
  • a single crystalline semiconductor material potions having a doping of the first conductivity type is located within the base semiconductor portion 30B in the first device region 100.
  • Each interface between the source and drain regions (34S, 34D) and the single crystalline semiconductor material portion within the base semiconductor portion 30B in a first device region 100 comprises a p-n junction that includes a horizontal plane and a non-horizontal peripheral interface.
  • a source region 34S and a drain region 34D can be formed within upper portions of the base semiconductor portion 30B by doping regions of the base semiconductor portion 30B that underlies the pair of top horizontal surfaces 31 with dopants of the second conductivity type that is an opposite of the first conductivity type in each first device region 100.
  • Each doped extension region (32S, 32D) is adjoined to a respective one of the source region 34S and the drain region 34D upon formation of the source region 34S and the drain region 34D in the first device region 100.
  • a dielectric liner 62 can be conformally deposited over the top surface of each source region (34S, 234S), the top surface of each drain region (34D, 234D), an outer sidewall of each dielectric gate spacer (56, 256), and a top surface of each gate stack structure (50, 250, 52, 54, 58).
  • the dielectric liner 62 can include a dielectric diffusion barrier material, such as silicon nitride.
  • the dielectric liner 62 may include a stress-inducing silicon nitride material that can induce tensile stress or compress stress in the channel regions, i.e., portions of the semiconductor substrate 10 that underlie a gate dielectric (50 or 250).
  • the dielectric liner 62 can be deposited by a conformal deposition process such as a chemical vapor deposition process.
  • the thickness of the dielectric liner 62 can be in a range from 5 nm to 100 nm, such as from 10 nm to 50 nm, although lesser and greater thicknesses may also be employed.
  • a planarization dielectric layer 70 can be formed over the dielectric liner 62.
  • the planarization dielectric layer 70 can include a self-planarizing dielectric material such as flowable oxide (FOX) or a planarizable dielectric material such as undoped silicate glass or a doped silicate glass.
  • a top surface of the planarization dielectric layer 70 can be planarized by performing a chemical mechanical planarization process.
  • the top surface of the planarization dielectric layer 70 may be vertically spaced above the topmost surface of the dielectric liner 62 by a vertical distance in a range from 100 nm to 1,000 nm, such as from 200 nm to 500 nm, although lesser and greater vertical spacings may also be employed.
  • Via cavities can be formed through the planarization dielectric layer 70 and the dielectric liner 62 on each of the source regions (34S, 234S), the drain regions (34D, 234D), and the gate electrodes (52, 54).
  • a photoresist layer (not shown) can be applied over the planarization dielectric layer 70, and can be lithographically patterned to form discrete openings in areas that overlie the source regions, the drain regions, and the gate electrodes (52, 54).
  • An anisotropic etch process can be performed to transfer the pattern of the openings in the photoresist layer through the planarization dielectric layer 70 and the dielectric liner 62. Surfaces of the source regions, the drain regions, and the gate electrodes (52, 54) are physically exposed underneath the via cavities.
  • the photoresist layer can be subsequently removed, for example, by ashing.
  • At least one conductive material can be deposited in the via cavities to form various contact via structures (88S, 88D, 88G).
  • a metallic liner such as a conductive metal nitride liner including TiN, TaN, or WN
  • a metallic fill material such as W, Ti, Co, Cu, Ru, or Al
  • a planarization process such as chemical mechanical planarization or a recess etch.
  • Each contiguous set of remaining conductive material portions constitutes a contact via structure (88S, 88D, or 88G).
  • the contact via structures (88S, 88D, 88G) can include a source contact via structure 88S (i.e., source electrode) that includes a source metallic liner 81S and a source metallic fill material portion 83S, a drain contact via structure 88D (i.e., drain electrode) that includes a drain metallic liner 8 ID and a drain metallic fill material portion 83D, and a gate contact via structure 88G (i.e., gate contact) that includes a gate metallic liner 81G and a gate metallic fill material portion 83G.
  • a source contact via structure 88S i.e., source electrode
  • drain contact via structure 88D i.e., drain electrode
  • a gate contact via structure 88G i.e., gate contact
  • the source contact via structure 88S contacts a top surface of a source region (34S, 234S)
  • the drain contact via structure 88D contacts a top surface of a drain region (34D, 234D)
  • a gate contact via structure 88G contacts a top surface of a gate electrode (52, 54).
  • a first alternative configuration of the first device region 100 of the first exemplary structure can be derived from the first device region 100 of the first exemplary structure by omitting formation of a drain extension region 32D at the processing steps of FIGS. 5A and 5B.
  • the first device region 100 can be tilted such that implanted ions of the second conductivity type are implanted only on the side of the sourceside cavity 29S and not on the side of the drain-side cavity 29D.
  • the tilt angle of the direction of the implanted ions relative to the vertical direction of the first exemplary structure may be in a range from 20 degrees to 80 degrees, such as from 30 degrees to 60 degrees, although lesser and greater tilt angles can also be employed.
  • 30P can contact an inner sidewall of the first dielectric gate spacer 56 in the first device region 100.
  • a second alternative configuration of the first device region 100 of the first exemplary structure can be derived from the first device region 100 of the first exemplary structure by omitting formation of a source extension region 32S at the processing steps of FIGS. 5A and 5B.
  • the first device region 100 can be tilted such that implanted ions of the second conductivity type are implanted only on the side of the drainside cavity 29D and not on the side of the source-side cavity 29S.
  • the tilt angle of the direction of the implanted ions relative to the vertical direction of the first exemplary structure may be in a range from 20 degrees to 80 degrees, such as from 30 degrees to 60 degrees, although lesser and greater tilt angles can also be employed.
  • a sidewall of a single crystalline semiconductor material portion in the pedestal semiconductor portion 30P can contact an inner sidewall of the first dielectric gate spacer 56 in the first device region 100.
  • a third alternative configuration of the first device region 100 of the first exemplary structure can be derived from the first device region 100 of the first exemplary structure by omitting formation of a source extension region 32S and a drain extension region 32D at the processing steps of FIGS. 5A and 5B.
  • the first device region 100 can be covered with an ion implantation mask (such as a patterned photoresist layer) during formation of a source extension region 232S and a drain extension region 232D in the second device region 200.
  • an ion implantation mask such as a patterned photoresist layer
  • a pair of sidewalls of a single crystalline semiconductor material portion in the pedestal semiconductor portion 30P can contact a pair of inner sidewalls of the first dielectric gate spacer 56 in the first device region 100.
  • a fourth alternative configuration of the first device region 100 of the first exemplary structure can be derived from the first device region 100 illustrated in FIGS. 7A - 7C by increasing the depth of the source-side cavity 29S and the drain-side cavity 29D at the processing steps of FIGS. 5A and 5B.
  • a vertical distance between the horizontal interface between the first gate dielectric 50 and the pedestal semiconductor portion 30P and the horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30B can be in a range from 500 nm to 2 microns, and may be in a range from 600 nm to 900 nm, although lesser and greater vertical distances may also be employed.
  • a fifth alternative configuration of the first device region 100 of the first exemplary structure by omitting formation of at least one doped extension region (32S, 32D).
  • the source extension region 32S and the drain extension region 32D can be omitted from the first device region 100.
  • the source extension region 32S may be omitted, and the drain extension region 32D may be present.
  • the source extension region 32S may be present, and the drain extension region 32D may be omitted.
  • a semiconductor structure which comprises: a shallow trench isolation structure 20 comprising a dielectric material embedded within a semiconductor material layer (e.g., a well in or an epitaxial layer in the semiconductor substrate 10) and laterally surrounding an upper portion of the semiconductor material layer, wherein the upper portion of the semiconductor material layer comprises a base semiconductor portion 30B comprising a pair of top horizontal surfaces 31 and a pedestal semiconductor portion 30P located between the pair of top horizontal surfaces 31 and vertically protruding above a horizontal plane HP including the pair of top horizontal surfaces; a gate stack structure (50, 52, 54, 58) comprising a gate dielectric 50 and a gate electrode (52, 54) and including a pair of sidewalls that are vertically coincident with a pair of sidewalls of the pedestal semiconductor portion 30P; a dielectric gate spacer 56 contacting the pair of sidewalls of the gate stack structure (50, 52, 54, 58)
  • a horizontal interface between the gate dielectric 50 and the pedestal semiconductor portion 30P is located above the horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30B.
  • a vertical distance between the horizontal interface between the gate dielectric 50 and the pedestal semiconductor portion 30P and the horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30B is in a range from 100 nm to 2 microns.
  • the semiconductor structure comprises a shallow trench isolation spacer 66 that comprises a same insulating material as the dielectric gate spacer 56, adjoined to the dielectric gate spacer 56, and contacts sidewalls of the shallow trench isolation structure 20 that are located above the horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30B.
  • a top surface of the source region 34S contacts a bottom portion of a first segment of the dielectric gate spacer 56 within the horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30B ; and a top surface of the drain region 34D contacts a bottom surface of a second segment of the dielectric gate spacer 56 within the horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30B.
  • a top periphery of the pedestal semiconductor portion 30P comprises: a pair of first edges that coincide with a pair of edges of the gate dielectric 50; and a pair of second edges that are adjoined to the shallow trench isolation structure 20.
  • the pair of top horizontal surfaces 31 of the base semiconductor portion 30B are adjoined to sidewalls of the shallow trench isolation structure 20.
  • the semiconductor structure comprises: a dielectric liner 62 continuously extending over, and contacting, a top surface of the source region 34S, a top surface of the drain region 34D, an outer sidewall of the dielectric gate spacer 56, and a top surface of the gate stack structure (50, 52, 54, 58); a planarization dielectric layer 70 overlying the dielectric liner 62 and having a planar top surface; and contact via structures (88S, 88D, 88G) vertically extending through the planarization dielectric layer 70 and the dielectric liner 62 and contacting a respective one of the source region 34S, the drain region 34D, and the gate electrode (52, 54).
  • the base semiconductor portion 30B comprises a first single crystalline semiconductor material portion having a doping of the first conductivity type; the source region 34S and the drain region 34D have a doping of a second conductivity type that is an opposite of the first conductivity type; and the pedestal semiconductor portion 30P consists of a second single crystalline semiconductor material potion having a doping of the first conductivity type as illustrated in FIGS. 8C and 9B.
  • the first single crystalline semiconductor material portion and the second single crystalline semiconductor material portion have a same material composition and are epitaxially aligned to each other.
  • the base semiconductor portion 30B comprises a first single crystalline semiconductor material portion having a doping of the first conductivity type; the source region 34S and the drain region 34D have a doping of a second conductivity type that is an opposite of the first conductivity type; and the pedestal semiconductor portion 30P comprises of a second single crystalline semiconductor material potion having a doping of the first conductivity type and at least one doped extension region (32S, 32D) having a doping of the second conductivity type and adjoined to a respective one of the source region 34S and the drain region 34D as illustrated in FIGS. 7A, 8A, 8B, and 9A.
  • each of the at least one doped extension region (32S, 32D) has a uniform lateral width and contacts a surface segment of the dielectric gate spacer 56.
  • each interface between the at least one doped extension region (32S, 32D) and the second single crystalline semiconductor material potion (which has a doping of a first conductivity type and is located within the pedestal semiconductor portion 30P) comprises a p-n junction that is parallel to one of the pair of sidewalls of the pedestal semiconductor portion 30P and is adjoined to a bottom surface of the gate dielectric 50.
  • a second exemplary structure can be the same as the first exemplary structure illustrated in FIGS. 4A and 4B.
  • the areas of the first device region 100 are vertically recessed employing the first gate stack structure (50, 52, 54, 58) as an etch mask.
  • the pedestal semiconductor portion 30P comprises a pair of sidewalls that are vertically coincident with sidewalls of the first gate stack structure (50, 52, 54, 58).
  • a photoresist layer 47 can be applied over the second exemplary structure, and can be lithographically patterned to cover the first device region 100 without covering the second device region 200. Dopants of the second conductivity type can be implanted into unmasked surface portions of the semiconductor substrate 10 in the second device region 200 to form a source extension region 232S and a drain extension region 232D. The processing steps of FIGS. 5A and 5B may be performed while the patterned photoresist layer 47 covers the first device region 100 and prevents formation of any doped extension region in the first device region 100. Subsequently, the photoresist layer 47 can be removed, for example, by ashing.
  • dielectric gate spacers (56, 256) and shallow trench isolation spacers 66 For example, a conformal dielectric material layer including a dielectric material such as silicon oxide can be deposited, and can be subsequently etched by performing an anisotropic etch process, such as a reactive ion etch process.
  • a first dielectric gate spacer 56 is formed around the first gate stack structure (50, 52, 54, 58) in the first device region 100, and a second dielectric gate spacer 256 is formed around the second gate stack structure (250, 52, 54, 58) in the second device region 200.
  • the first dielectric gate spacer 56 can be formed on a pair of sidewalls of the first gate stack structure (50, 52, 54, 58) and on a pair of sidewalls of the pedestal semiconductor portion 30P that are vertically coincident with the pair of sidewalls of the first gate stack structure (50, 52, 54, 58).
  • the width of the first dielectric gate spacer 56 and the second dielectric gate spacer 256 can be in a range from 20 nm to 400 nm, such as from 40 nm to 20 nm, although lesser and greater widths can also be employed.
  • a remaining portion of the conformal dielectric material layer in the first device region 100 comprises a first shallow trench isolation spacer 66 that comprises a same insulating material as the first dielectric gate spacer 56 and the second dielectric gate spacer 256.
  • the first shallow trench isolation spacer 66 contacts sidewalls of the shallow trench isolation structure 20 and peripheral potions of the pair of top horizontal surfaces 31 of the base semiconductor portion 30B.
  • the first shallow trench isolation spacer 66 is adjoined to the first dielectric gate spacer 56.
  • a dielectric cover layer 260 can be conformally deposited over the second exemplary structure, and can be lithographically patterned to cover the second device region 200 without covering the first device region 100.
  • the dielectric cover layer 260 includes a dielectric material, such as silicon oxide or silicon nitride.
  • the thickness of the dielectric cover layer 260 may be in a range from 10 nm to 50 nm, although lesser and greater thicknesses may also be employed.
  • a selective semiconductor deposition process can be performed to deposit a single crystalline or polycrystalline semiconductor material (e.g., single crystal silicon or polysilicon) from each physically exposed surface of the semiconductor substrate 10. Particularly, a single crystalline or polycrystalline semiconductor material is deposited on each physically exposed portion of the top horizontal surfaces 31 of the base semiconductor portion 30B in the first device region 100.
  • the dielectric cover layer 260 prevents deposition of a semiconductor material over the second device region 200.
  • the selective semiconductor deposition process may comprise a selective epitaxy process that grows single crystalline semiconductor materials from physically exposed semiconductor surfaces such as the physically exposed portions of the top horizontal surfaces 31 of the base semiconductor portion 30B in the first device region 100.
  • the second exemplary structure can be placed within a vacuum enclosure of a selective epitaxy process chamber, and a precursor gas for depositing a semiconductor material and an etchant gas can be simultaneously or alternately flowed into the vacuum enclosure to effect the selective semiconductor deposition process.
  • the precursor gas may include, for example, silane, disilane, dichlorosilane, trichlorosilane, germane, digermane, and/or other semiconductor precursor gases known in the art.
  • the etchant gas may include, for example, gas phase hydrogen chloride. Epitaxial semiconductor material portions can grow from the physically exposed portions of the top horizontal surfaces 31 of the base semiconductor portion 30B in the first device region 100.
  • a pair of epitaxial semiconductor material portions can be formed by growing a single crystalline semiconductor material (e.g., single crystal silicon) from the pair of top horizontal surfaces 31 of the base semiconductor portion 30B in the first device region 100.
  • the epitaxial semiconductor material portions can have a doping of an opposite conductivity type from that of the base semiconductor portion 30B, i.e., a doping of the second conductivity type.
  • the single crystalline semiconductor material can be grown with in-situ doping with dopants of the second conductivity type.
  • the entirety of the base semiconductor portion 30B and the pedestal semiconductor portion 30P can have a doping of the first conductivity type during formation of the pair of epitaxial semiconductor material portions.
  • the epitaxial semiconductor material portions can function as extensions of a body region, and are herein referred to as extension regions (35S, 35D).
  • the extension regions (35S, 35D) include a source extension region 35S formed in the source-side cavity 29S, and a drain extension region 35D formed in the drain-side cavity 29D.
  • each source extension region 35S and each drain extension region 35D can include dopants of a respective second conductivity type at an atomic concentration in a range from 1.0 x 1017/cm3 to 1.0 x 1020/cm3, such as from 1.0 x 1018/cm3 to 1.0 x 1019/cm3, although lesser and greater atomic concentrations can also be employed.
  • the semiconductor material of the extension regions (35S, 35D) may be the same as, or may be different, from the semiconductor material of the base semiconductor portion 30B.
  • the base semiconductor portion 30B is a single crystalline silicon portion having a doping of the first conductivity type
  • the extension regions (35S, 35D) may be a single crystalline silicon portion having a doping of the second conductivity type or a single crystalline silicongermanium portion having a doping of the second conductivity type.
  • the single crystalline semiconductor material of the extension regions (35S, 35D) can be epitaxially aligned to the single crystalline semiconductor material of the base semiconductor portion 30B.
  • the thickness of the extension regions (35S, 35D) may be the same as, greater than, or less than, the height of the sidewalls of the pedestal semiconductor portion 30P that contact the first dielectric gate spacer 56.
  • the thickness of the extension regions (35S, 35D) may be in a range from 50 nm to 1,000 nm, such as from 300 nm to 500 nm, although lesser and greater vertical distances may also be employed.
  • top surfaces of the extension regions (35S, 35D) can be located below the horizontal plane including the top surface of the shallow trench isolation structure 20.
  • the dielectric cover layer 260 can be subsequently removed, for example, by an isotropic etch process such as a wet etch process.
  • a source region 34S and a drain region 34D having a doping of the second conductivity type are formed within upper regions of the pair of epitaxial semiconductor material portions by converting the upper regions of the pair of epitaxial semiconductor material portions into the source region 34S and the drain region 34D or by epitaxially growing additional single crystal semiconductor material doped with a higher concentration of dopants of the second conductivity type on the extension regions (35S, 35D).
  • dopants of the second conductivity type can be implanted into upper portions of the extension regions (35S, 35D) in the first device region 100 and into upper portions of the semiconductor substrate 10 that are not masked by the second gate stack structure (250, 52, 54, 58), the dielectric gate spacers (56, 256), the shallow trench isolation spacers 66, or the shallow trench isolation structures 20 in the second device region 200.
  • at least one ion implantation process may be employed to introduce dopants of the second conductivity type into surface portions of the extension regions (35S, 35D), thereby converting the implanted surface portions of the extension regions (35S, 35D) into a source region 34S and a drain region 34D in the first device region 100.
  • Dopants of the second conductivity type can be introduced into surface portion of the semiconductor substrate 10 in the second device region 200 to form a source region 234S and a drain region 234D.
  • masked ion implantation processes may be employed to implant dopants of different conductivity type into different field effect transistors.
  • multiple instances of the first device region 100 can be formed on the semiconductor substrate 10 such that a first subset of the first device regions 100 includes p-type doped portions of the semiconductor substrate 10 (so that the first conductivity type is p-type for the first subset) and a second subset of the first device regions 100 includes n-type doped portions of the semiconductor substrate 10 (so that the first conductivity type is n-type for the second subset).
  • dopants of a respective second conductivity type that is the opposite of the first conductivity type can be implanted to form a respective source region 34S and a respective drain region 34D.
  • dopants of a respective second conductivity type that is the opposite of the first conductivity type can be implanted to form a respective source region
  • the source regions 34S and the drain regions 34D of different field effect transistors may, or may not, have the same atomic concentration of dopants.
  • one or more masked ion implantation processes may be employed to provide dopants of a respective second conductivity type at a target atomic concentration within each of the source regions 34S and the drain regions 34D.
  • each source region (34S, 234S) and each drain region (34D, 234D) can include dopants of a respective second conductivity type at an atomic concentration in a range from 5.0 x 1018/cm3 to 2.0 x 1021/cm3, such as from 1.0 x 1020/cm3 to 1.0 x 1021/cm3, although lesser and greater atomic concentrations can also be employed.
  • the thickness of the source region 34S and the drain region 34D in each first device region 100 can be less than the thickness of the extension regions (35S, 35D) as formed at the processing steps of FIGS. 13A and 13B.
  • the thickness of the source region 34S and the drain region 34D in each first device region 100 may be in a range from 50 nm to 1,000 nm, such as 100 nm to 300 nm, although lesser and greater thicknesses may also be employed.
  • unimplanted portions of the extension regions (35S, 35D) remain under the source region 34S and the drain region 34D after formation of the source region 34S and the drain region 34D in the first device region 100.
  • FIGS. 15A and 15B the processing steps of FIGS. 7A - 7C can be performed to form a dielectric liner 62, a planarization dielectric layer 70, and contact via structures (88S, 88D, 88G).
  • the contact via structures (88S, 88D, 88G) can include a source contact via structure 88S (i.e., source electrode) that includes a source metallic liner 8 IS and a source metallic fill material portion 83S, a drain contact via structure 88D (i.e., drain electrode) that includes a drain metallic liner 8 ID and a drain metallic fill material portion 83D, and a gate contact via structure 88G (i.e., gate contact) that includes a gate metallic liner 81G and a gate metallic fill material portion 83G.
  • a source contact via structure 88S i.e., source electrode
  • drain contact via structure 88D i.e., drain electrode
  • a gate contact via structure 88G i.e., gate contact
  • the source contact via structure 88S contacts a top surface of a source region (34S, 234S)
  • the drain contact via structure 88D contacts a top surface of a drain region (34D, 234D)
  • a gate contact via structure 88G contacts a top surface of a gate electrode (52, 54).
  • a third exemplary structure according to an embodiment of the present disclosure can be the same as the first exemplary structure of FIGS. 5 A and 5B.
  • dielectric gate spacers (56, 256) and shallow trench isolation spacers 66 For example, a conformal dielectric material layer including a dielectric material such as silicon oxide can be deposited, and can be subsequently anisotropically etched by performed an anisotropic etch process such as a reactive ion etch process.
  • a first dielectric gate spacer 56 is formed around the first gate stack structure (50, 52, 54, 58) in the first device region 100
  • a second dielectric gate spacer 256 is formed around the second gate stack structure (250, 52, 54, 58) in the second device region 200.
  • the first dielectric gate spacer 56 can be formed on a pair of sidewalls of the first gate stack structure (50, 52, 54, 58) and on a pair of sidewalls of the pedestal semiconductor portion 30P that are vertically coincident with the pair of sidewalls of the first gate stack structure (50, 52, 54, 58).
  • the width of the first dielectric gate spacer 56 and the second dielectric gate spacer 256 can be in a range from 20 nm to 400 nm, such as from 40 nm to 20 nm, although lesser and greater widths can also be employed.
  • a remaining portion of the conformal dielectric material layer in the first device region 100 comprises a first shallow trench isolation spacer 66 that comprises a same insulating material as the first dielectric gate spacer 56 and the second dielectric gate spacer
  • the first shallow trench isolation spacer 66 contacts sidewalls of the shallow trench isolation structure 20 and peripheral potions of the pair of top horizontal surfaces 31 of the base semiconductor portion 30B.
  • the first shallow trench isolation spacer 66 is adjoined to the first dielectric gate spacer 56.
  • a dielectric cover layer 260 can be conformally deposited over the second exemplary structure, and can be lithographically patterned to cover the second device region 200 without covering the first device region 100.
  • the dielectric cover layer 260 includes a dielectric material such as silicon oxide or silicon nitride.
  • the thickness of the dielectric cover layer 260 may be in a range from 10 nm to 50 nm, although lesser and greater thicknesses may also be employed.
  • a selective semiconductor deposition process can be performed to grow a single crystalline or polycrystalline semiconductor material (e.g., silicon) from each physically exposed surface of the semiconductor substrate 10. Particularly, a single crystalline or polycrystalline semiconductor material is deposited on each physically exposed portion of the top horizontal surfaces 31 of the base semiconductor portion 30B in the first device region 100.
  • the dielectric cover layer 260 prevents deposition of a semiconductor material over the second device region 200.
  • the selective semiconductor deposition process may comprise a selective epitaxy process that grows single crystalline semiconductor materials from physically exposed semiconductor surfaces such as the physically exposed portions of the top horizontal surfaces 31 of the base semiconductor portion 30B in the first device region 100.
  • the second exemplary structure can be placed within a vacuum enclosure of a selective epitaxy process chamber, and a precursor gas for depositing a semiconductor material and an etchant gas can be simultaneously or alternately flowed into the vacuum enclosure to effect the selective semiconductor deposition process.
  • the precursor gas may include, for example, silane, disilane, dichlorosilane, trichlorosilane, germane, digermane, and/or other semiconductor precursor gases known in the art.
  • the etchant gas may include, for example, gas phase hydrogen chloride. Epitaxial semiconductor material portions can grow from the physically exposed portions of the top horizontal surfaces 31 of the base semiconductor portion 30B in the first device region 100.
  • a pair of epitaxial semiconductor material portions can be formed by growing a single crystalline semiconductor material from the pair of top horizontal surfaces 31 of the base semiconductor portion 30B in the first device region 100.
  • the epitaxial semiconductor material portions can have a doping of a second conductivity type that is the opposite of the first conductivity type.
  • the single crystalline semiconductor material can be grown with in-situ doping with dopants of the second conductivity type.
  • the epitaxial semiconductor material portions can function as additional extensions of a source region and a drain region, and are herein referred to as a raised source extension regions 33S and a raised drain extension region 33D.
  • the raised source extension region 33S is formed on a top surface of the source extension region 32S and the raised drain extension region 33D is formed on a top surface of the drain extension region 32D in the first device region 100.
  • the single crystalline semiconductor material of the raised source extension region 33S and the raised drain extension region 33D can be grown with in-situ doping with dopants of the second conductivity type.
  • the raised source extension region 33S and the raised drain extension region 33D may include dopants of the second conductivity type at an atomic concentration that may be the same as, or may be different from, the atomic concentration of dopants of the second conductivity type within the source extension region 32S and the drain extension region 32D.
  • the raised source extension region 33S and the raised drain extension region 33D may include dopants of the second conductivity type at an atomic concentration in a range from 1.0 x 1018/cm3 to 1.0 x 1020/cm3, such as from 5.0 x 1018/cm3 to 5.0 x 1019/cm3, although lesser and greater atomic concentrations can also be employed.
  • the semiconductor material of the raised source extension region 33S and the raised drain extension region 33D may be the same as, or may be different, from the semiconductor material of the base semiconductor portion 30B.
  • the extension regions (35S, 35D) may be a single crystalline silicon portion having a doping of the second conductivity type or a single crystalline silicongermanium portion having a doping of the second conductivity type.
  • the single crystalline semiconductor material of raised source extension region 33S and the raised drain extension region 33D can be epitaxially aligned to the single crystalline semiconductor material of the base semiconductor portion 30B.
  • the thickness of raised source extension region 33S and the raised drain extension region 33D may be the same as, greater than, or less than, the height of the sidewalls of the pedestal semiconductor portion 30P that contact the first dielectric gate spacer 56.
  • the thickness of raised source extension region 33S and the raised drain extension region 33D may be in a range from 50 nm to 1,500 nm, such as from 150 nm to 1 ,000 nm, although lesser and greater vertical distances may also be employed.
  • top surfaces of raised source extension region 33S and the raised drain extension region 33D can be located below the horizontal plane including the top surface of the shallow trench isolation structure 20.
  • At least one doped extension region (32S, 32D) having a doping of the second conductivity type can be formed underneath at least one sidewall of the pedestal semiconductor portion 30P prior to formation of the first dielectric gate spacer 56.
  • Each of the at least one doped extension region (32S, 32D) is adjoined to a respective one of the pair of epitaxial semiconductor material portions (i.e., the raised source extension region 33S and the raised drain extension region 33D) upon formation of the pair of epitaxial semiconductor material portions.
  • the dielectric cover layer 260 can be subsequently removed, for example, by an isotropic etch process such as a wet etch process.
  • a source region 34S and a drain region 34D having a doping of the second conductivity type are formed within upper regions of the pair of epitaxial semiconductor material portions or by epitaxially growing additional single crystal semiconductor material doped with a higher concentration of dopants of the second conductivity type on the pair of epitaxial semiconductor material portions.
  • dopants of the second conductivity type can be implanted into upper portions of the raised source extension region 33S and the raised drain extension region 33D in the first device region 100, and into upper portions of the semiconductor substrate 10 that are not masked by the second gate stack structure (250, 52, 54, 58), the dielectric gate spacers (56, 256), the shallow trench isolation spacers 66, or the shallow trench isolation structures 20 in the second device region 200.
  • At least one ion implantation process may be employed to introduce dopants of the second conductivity type into surface portions of the raised source extension region 33S and the raised drain extension region 33D, thereby converting the implanted surface portions of the raised source extension region 33S and the raised drain extension region 33D into a source region 34S and a drain region 34D in the first device region 100.
  • Dopants of the second conductivity type can be introduced into surface portion of the semiconductor substrate 10 in the second device region 200 to form a source region 34S and a drain region 34D.
  • masked ion implantation processes may be employed to implant dopants of different conductivity type into different field effect transistors.
  • multiple instances of the first device region 100 can be formed on the semiconductor substrate 10 such that a first subset of the first device regions 100 includes p-type doped portions of the semiconductor substrate 10 (so that the first conductivity type is p-type for the first subset) and a second subset of the first device regions 100 includes n-type doped portions of the semiconductor substrate 10 (so that the first conductivity type is n-type for the second subset).
  • dopants of a respective second conductivity type that is the opposite of the first conductivity type can be implanted to form a respective source region 34S and a respective drain region 34D.
  • each second device region 200 having a doping of a respective first conductivity type dopants of a respective second conductivity type that is the opposite of the first conductivity type can be implanted to form a respective source region 234S and a respective extension region 234D.
  • the source regions (34S, 234S) and the drain regions (34D, 234D) of different field effect transistors may, or may not, have the same atomic concentration of dopants.
  • one or more masked ion implantation processes may be employed to provide dopants of a respective second conductivity type at a target atomic concentration within each of the source regions and the drain regions.
  • each source region and each drain region can include dopants of a respective second conductivity type at an atomic concentration in a range from 5.0 x 1018/cm3 to 2.0 x
  • 1021/cm3 such as from 1.0 x 1020/cm3 to 1.0 x 1021/cm3, although lesser and greater atomic concentrations can also be employed.
  • the thickness of the source region 34S and the drain region 34D in each first device region 100 can be less than the thickness of the raised source extension region 33S and the raised drain extension region 33D as formed at the processing steps of FIGS. 18A and 18B.
  • the thickness of the source region 34S and the drain region 34D in each first device region 100 may be in a range from 50 nm to 1,000 nm, although lesser and greater thicknesses may also be employed.
  • unimplanted portions of the raised source extension region 33S and the raised drain extension region 33D remain under the source region 34S and the drain region 34D after formation of the source region 34S and the drain region 34D in the first device region 100.
  • the processing steps of FIGS. 7A - 7C can be performed to form a dielectric liner 62, a planarization dielectric layer 70, and contact via structures (88S, 88D, 88G).
  • the contact via structures (88S, 88D, 88G) can include a source contact via structure 88S (i.e., source electrode) that includes a source metallic liner 81S and a source metallic fill material portion 83 S, a drain contact via structure 88D (i.e., drain electrode) that includes a drain metallic liner 81D and a drain metallic fill material portion 83D, and a gate contact via structure 88G (i.e., gate contact) that includes a gate metallic liner 81G and a gate metallic fill material portion 83G.
  • a source contact via structure 88S i.e., source electrode
  • drain contact via structure 88D i.e., drain electrode
  • a gate contact via structure 88G i.e., gate contact
  • the source contact via structure 88S contacts a top surface of a source region (34S, 234S), the drain contact via structure 88D contacts a top surface of a drain region (34D, 234D), and a gate contact via structure 88G contacts a top surface of a gate electrode (52, 54).
  • the top surfaces of the source region 34S and the drain region 34D in the first device region 100 can be located above the horizontal plane including the interface between the first gate dielectric 50 and the pedestal semiconductor portion 30P.
  • a semiconductor structure which comprises: a shallow trench isolation structure 20 comprising a dielectric material embedded within a semiconductor material layer (e.g., a well in or an epitaxial layer in the semiconductor substrate 10) and laterally surrounding an upper portion (30B, 30P) of the semiconductor material layer, wherein the upper portion of the semiconductor material layer comprises a base semiconductor portion 30B comprising a pair of top horizontal surfaces 31 and a pedestal semiconductor portion 30P located between the pair of top horizontal surfaces 31 and vertically protruding above a horizontal plane HP including the pair of top horizontal surfaces 31; a gate stack structure (50, 52, 54, 58) comprising a gate dielectric 50 and a gate electrode (52, 54) and including a pair of sidewalls that are vertically coincident with a pair of sidewalls of the pedestal semiconductor portion 30P; a dielectric gate spacer 56 contacting the pair of sidewall
  • a bottom surface of the source region 34S and a bottom surface of the drain region 34D are located above a horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30.
  • the dielectric gate spacer 56 contacts peripheral portions of the pair of top horizontal surfaces 31 of the base semiconductor portion 30B.
  • a horizontal interface between the gate dielectric 50 and the pedestal semiconductor portion 30P is located above the horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30B; and a vertical distance between the horizontal interface between the gate dielectric 50 and the pedestal semiconductor portion 30P and the horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30B is in a range from 100 nm to 2 microns.
  • the semiconductor structure comprises a shallow trench isolation spacer 66 that comprises a same insulating material as the dielectric gate spacer 56, adjoined to the dielectric gate spacer 56, and contacts sidewalls of the shallow trench isolation structure 20 that are located above the horizontal plane HP including the pair of top horizontal surfaces 31 of the base semiconductor portion 30B.
  • an entirety of sidewalls of pair of epitaxial semiconductor material portions ⁇ (35S , 35D, 34S, 34D) or (33S, 33D, 34S, 34D) ⁇ contacts a respective sidewall of the dielectric gate spacer 56 or a respective sidewall of the shallow trench isolation spacer 66.
  • semiconductor structure comprises: a dielectric liner 62 continuously extending over, and contacting, a top surface of the source region 34S, a top surface of the drain region 34D, an outer sidewall of the dielectric gate spacer 56, and a top surface of the gate stack structure (50, 52, 54, 58); a planarization dielectric layer 70 overlying the dielectric liner 62 and having a planar top surface; and contact via structures (88S, 88D, 88G) vertically extending through the planarization dielectric layer 70 and the dielectric liner 62 and contacting a respective one of the source region 34S, the drain region, 34D and the gate electrode (52, 54).
  • the base semiconductor portion 30B comprises a first single crystalline semiconductor material portion having a doping of a first conductivity type; the pedestal semiconductor portion 30P comprises of a second single crystalline semiconductor material potion having a doping of a second conductivity type that is an opposite of the first conductivity type; and the source region 34S and the drain region 34D have a doping of the second conductivity type.
  • the first single crystalline semiconductor material portion and the second single crystalline semiconductor material portion have a same material composition and are epitaxially aligned to each other.
  • each of the pair of epitaxial semiconductor material portions (35S, 35D, 34S, 34D) comprises a respective extension region (35S, 35D) having a doping of the second conductivity type and contacting a bottom surface of a respective one of the source region 34S and the drain region 34D.
  • the second single crystalline semiconductor material potion (having a doping of the second conductivity type) contacts sidewalls of the dielectric gate spacer 56, as illustrated in FIGS. 15A and 15B.
  • the pedestal semiconductor portion 30P comprises of a source extension region 32S and a drain extension region 32D having a doping of the second conductivity type, adjoined to a bottom surface of the gate dielectric 50, contacting a bottom surface of the dielectric gate spacer 56, and including a horizontally-extending region having a respective top surface within the horizontal plane including the pair of top horizontal surfaces 31.
  • the pair of epitaxial semiconductor material portions comprises: a raised source extension region 33S contacting a bottom surface of the source region 34S and having a bottom surface located within the horizontal plane HP including the pair of top horizontal surfaces 31; and a raised drain extension region 33D contacting a bottom surface of the drain region 34D and having a bottom surface located within the horizontal plane HP including the pair of top horizontal surfaces 31.
  • a first device region 100 of a fourth exemplary structure is illustrated.
  • the fourth exemplary structure may be the same as the first exemplary structure of FIGS. 3 A - 3D at this processing step.
  • the fourth exemplary structure may include a second device region 200 as in the first exemplary structure of FIG. 3A - 3D.
  • the processing steps of FIGS. 5 A and 5B can be performed to form a source extension region 32S and a drain extension region 32D in the first device region 100.
  • the second device region 200 (not illustrated) of the fourth exemplary structure may be the same as the second device region 200 of the first exemplary structure illustrated in FIG. 5B at this processing step.
  • the processing steps of FIGS. 6A and 6B can be performed to form a first dielectric gate spacer 56 and a first shallow trench isolation spacer 66 in the first device region 100.
  • the bottom surface of the first dielectric gate spacer 56 may be formed within the horizontal plane including the bottom surface of the first gate dielectric 50.
  • a second dielectric gate spacer 256 can be formed in the second device region 200 in the same manner as illustrated in FIG. 6B.
  • a dielectric liner 62 can be conformally deposited over the top surface of each source extension region 32S and a drain extension region 32D, an outer sidewall of each dielectric gate spacer (56, 256), and a top surface of each gate stack structure ((50 or 250), 52, 54, 58) in the first device region 100 and the second device region 200.
  • the dielectric liner 62 can include a dielectric diffusion barrier material, such as silicon nitride.
  • the dielectric liner 62 may include a stress-inducing silicon nitride material that can induce tensile stress or compress stress in the channel regions, i.e., portions of the semiconductor substrate 10 that underlie a gate dielectric (50 or 250).
  • the dielectric liner 62 can be deposited by a conformal deposition process such as a chemical vapor deposition process.
  • the thickness of the dielectric liner 62 can be in a range from 5 nm to 100 nm, such as from 10 nm to 50 nm, although lesser and greater thicknesses may also be employed.
  • a planarization dielectric layer 70 can be formed over the dielectric liner 62.
  • the planarization dielectric layer 70 can include a self-planarizing dielectric material such as flowable oxide (FOX) or a planarizable dielectric material such as undoped silicate glass or a doped silicate glass.
  • a top surface of the planarization dielectric layer 70 can be planarized by performing a chemical mechanical planarization process.
  • the top surface of the planarization dielectric layer 70 may be vertically spaced above the topmost surface of the dielectric liner 62 by a vertical distance in a range from 100 nm to 1,000 nm, such as from 200 nm to 500 nm, although lesser and greater vertical spacings may also be employed.
  • via cavities can be formed through the planarization dielectric layer 70 and the dielectric liner 62 on each of the source extension regions 32S, the drain extension regions 32D, and the gate cap dielectric 58 located on the gate electrodes (52, 54).
  • a photoresist layer (not shown) can be applied over the planarization dielectric layer 70, and can be lithographically patterned to form discrete openings in areas that overlie the source extension regions 32S, the drain extension regions 32D, and the gate cap dielectric 58.
  • the gate contact via cavity 89G can be extended through the gate cap dielectric 58 to the gate electrode (52, 54).
  • An anisotropic etch process can be performed to transfer the pattern of the openings in the photoresist layer through the planarization dielectric layer 70 and the dielectric liner 62.
  • the via cavities (89S, 89D) may extend through the dielectric liner 62 in one conductivity type of transistors (e.g., in n- type transistors), while the via cavities (89S, 89D) may stop on the dielectric liner 62 in the other conductivity type of transistors (e.g., in p-type transistors), such that subsequent epitaxial growth described below with respect to FIG. 26 occurs in the via cavities of only the first conductivity type transistors.
  • the via cavities (89S, 89D) in the other conductivity type of transistors may be extended through the dielectric liner 62 after the epitaxial growth in the first conductivity type transistors, followed by separate epitaxial growth in the via cavities (89S, 89D) of the second conductivity type transistors.
  • each of the via cavities (89S, 89D, 89G) may include a respective straight sidewall that vertically extends from the top surface of the planarization dielectric layer 70 at least to a top surface of respective one of the source extension regions 32S, the drain extension regions 32D, and the gate cap dielectric 58.
  • the via cavities (89S, 89D, 89G) may extend below the horizontal plane including the topmost surface of a respective one of the source extension regions 32S, the drain extension regions 32D, and the gate cap dielectric 58.
  • the via cavities (89S, 89D, 89G) can include at least one source contact via cavity 89S, at least one drain contact via cavity 89D, and at least one partially completed gate contact via cavity 89G.
  • a surface of a source region 34S is physically exposed at the bottom of each source contact via cavity 89S.
  • a surface of a drain region 34D is physically exposed at the bottom of each drain contact via cavity 89D.
  • a surface of the gate cap dielectric 58 is physically exposed at the bottom of each gate contact via cavity 89G.
  • the gate contact via cavity 89G may extend through the gate cap dielectric 58 to expose the top surface of the gate electrode.
  • the gate electrode (52, 54) can include a vertical stack of a semiconductor gate electrode 52 and a metallic gate electrode 54 including a metallic material.
  • a top surface of the metallic gate electrode 54 can be physically exposed at the bottom of each gate contact via cavity 89G.
  • the at least one gate contact via cavity 89G can be formed in a subsequent processing step.
  • a selective semiconductor deposition process can be performed to grow a single crystalline or polycrystalline semiconductor material from each physically exposed semiconductor surface.
  • a single crystalline or polycrystalline semiconductor material e.g., silicon
  • the semiconductor material does not grow from the exposed gate cap dielectric 58.
  • the selective semiconductor deposition process may comprise a selective epitaxy process that grows single crystalline semiconductor materials from physically exposed semiconductor surfaces such as the physically exposed surfaces of the source extension region 32S and a drain extension region 32D in the first device region 100.
  • the fourth exemplary structure can be placed within a vacuum enclosure of a selective epitaxy process chamber, and a precursor gas for depositing a semiconductor material and an etchant gas can be simultaneously or alternately flowed into the vacuum enclosure to effect the selective semiconductor deposition process.
  • the precursor gas may include, for example, silane, disilane, dichlorosilane, trichlorosilane, germane, digermane, and/or other semiconductor precursor gases known in the art.
  • the etchant gas may include, for example, gas phase hydrogen chloride. Epitaxial semiconductor material portions can grow from the physically exposed portions of the source extension region 32S and a drain extension region 32D in the first device region 100.
  • epitaxial semiconductor material portions can be formed by growing a single crystalline semiconductor material from the physically exposed surfaces of the source extension region 32S and a drain extension region 32D in the first device region 100.
  • the epitaxial semiconductor material portions can have a doping of a same conductivity type as the source extension region 32S and the drain extension region 32D, i.e., a doping of the second conductivity type.
  • the single crystalline semiconductor material can be grown with in-situ doping with dopants of the second conductivity type.
  • the epitaxial semiconductor material portions are pillar- shaped, are narrower than the source extension region 32S and a drain extension region 32D, and can function as vertically-extending extensions of the source extension region 32S and the drain extension region 32D.
  • each epitaxial semiconductor material portion formed in the source contact via cavity 89S is herein referred to as a source extension pillar structure 133S
  • each epitaxial semiconductor material portion formed in the drain contact via cavity 89D is herein referred to as a drain extension pillar structure 133D.
  • the source extension pillar structure(s) 133S and the drain extension pillar structure(s) 133D are collectively referred to as extension pillar structures (133S, 133D).
  • the growth of the semiconductor material occurs only from semiconductor surfaces during the selective epitaxy process. Thus, no semiconductor material is deposited in the gate contact via cavity /cavities 89G from the exposed gate cap dielectric 58.
  • the duration of the selective epitaxy process can be selected such that the height of the extension pillar structures (133S, 133D) is in a range from 50 nm to 1,000 nm, such as from 100 nm to
  • the top surfaces of the extension pillar structures (133S, 133D) may be formed above, at, or below the horizontal plane including the topmost surface of the gate stack structure (50, 52, 54, 58).
  • the top surfaces of the extension pillar structures (133S, 133D) may be located above, at, or below the horizontal plane including the top surface of the gate stack structure (50, 52, 54, 58).
  • the extension pillar structures (133S, 133D) may include dopants of the second conductivity type at an atomic concentration that may be the same as, or may be different from, the atomic concentration of dopants of the second conductivity type within the source extension region 32S and the drain extension region 32D.
  • the extension pillar structures may include dopants of the second conductivity type at an atomic concentration in a range from 1.0 x 1018/cm3 to 1.0 x 1020/cm3, such as from 5.0 x 1018/cm3 to 5.0 x 1019/cm3, although lesser and greater atomic concentrations can also be employed.
  • the semiconductor material of the extension pillar structures (133S, 133D) may be the same as, or may be different, from the semiconductor material of the source extension region 32S and the drain extension region 32D.
  • the extension pillar structures (133S, 133D) may include a respective single crystalline silicon portion having a doping of the second conductivity type or a respective single crystalline silicon-germanium portion having a doping of the second conductivity type.
  • the single crystalline semiconductor material of the extension pillar structures (133S, 133D) can be epitaxially aligned to the single crystalline semiconductor material of the source extension region 32S and the drain extension region 32D.
  • a sourceside cavity 87S is present over each source extension pillar structure 133S, and a drain-side cavity 87D is present over each drain extension pillar structure 133D.
  • dopants of the second conductivity type can be implanted into upper portions of the extension pillar structures (133S, 133D) or by epitaxially growing additional single crystal semiconductor material doped with a higher concentration of dopants of the second conductivity type on the upper portions of the extension pillar structures (133S, 133D).
  • an ion implantation process may be employed to introduce dopants of the second conductivity type into the upper portions of the extension pillar structures (133S, 133D).
  • masked ion implantation processes may be employed to implant dopants of different conductivity type into different field effect transistors.
  • each source region 34S and each drain region 34D can include dopants of a respective second conductivity type at an atomic concentration that is greater than the atomic concentration of dopants in the extension pillar structures (133S, 133D).
  • each source region 34S and each drain region 34D can include dopants of a respective second conductivity type at an atomic concentration in a range from 5.0 x 1018/cm3 to 2.0 x 1021/cm3, such as from 1.0 x 1020/cm3 to 1.0 x 1021/cm3, although lesser and greater atomic concentrations can also be employed.
  • the thickness of the source region 34S and the drain region 34D in each first device region 100 may be in a range from 50 nm to 300 nm, although lesser and greater thicknesses may also be employed.
  • extension pillar structures (133S, 133D)
  • Unimplanted portions of the extension pillar structures remain as the extension pillar structures (133S, 133D).
  • a pair of doped semiconductor material portions (such as the extension pillar structures (133S, 133D) as formed at the processing steps of FIG. 26) can be deposited on physically exposed surfaces of the source extension region 32S and the drain extension region 32D in a pair of via cavities that includes a source contact via cavity 87S and a drain contact via cavity 87D.
  • Dopants of the second conductivity type can be implanted into upper portions of the pair of doped semiconductor material portions.
  • Implanted regions of the pair of doped semiconductor material portions comprise a source region 34S and a drain region 34D.
  • Unimplanted regions of the pair of doped semiconductor material portions comprise a source extension pillar structure 133S and the drain extension pillar structure 133D.
  • multiple source contact via cavities 89S are formed on the source extension region 32S or multiple drain contact via cavities 89D are formed on the drain extension region 32D in the first device region 100
  • multiple pairs of a source extension pillar structure 133S and a source region 34S and/or multiple pairs of a drain extension pillar structure 133D and a drain region 34D can be formed in the first device region 100.
  • the source extension region 32S and the drain extension region 32D in the first device region 100 can be single crystalline, and doped semiconductor material portions (such as the extension pillar structures (133S, 133D) as formed at the processing steps of FIGS. 26A and 26B) can be formed by a selective epitaxy process that grows the doped semiconductor material portions as single crystalline semiconductor material portions in epitaxial alignment with the source extension region 32S and the drain extension region 32D.
  • doped semiconductor material portions such as the extension pillar structures (133S, 133D) as formed at the processing steps of FIGS. 26A and 26B
  • each source extension pillar structure 133S, each drain extension pillar structure 133D, each source region 34S, and each drain region 34D can be formed in at least one source contact via cavity 89S and at least one drain contact via cavity 89D by selective deposition and doping of a semiconductor material without deposition of any semiconductor material in the gate contact via cavity 89G.
  • the gate contact via cavity 89G extends only to the gate cap dielectric 58, then the gate contact via cavity 89G is further etched (e.g., by RIE) to extend the gate contact via cavity 89G through the gate cap dielectric 58 to the top surface of the gate electrode (52, 54).
  • At least one conductive material can be deposited in the unfilled volumes of the via cavities to form various metallic via structures (98S, 98D, 98G).
  • a metallic liner such as a conductive metal nitride liner including TiN, TaN, or WN
  • a metallic fill material such as W, Ti, Co, Cu, Ru, or Al
  • a planarization process such as chemical mechanical planarization or a recess etch.
  • Each contiguous set of remaining metallic material portions constitutes a metallic via structure (98S, 98D, or 98G).
  • the metallic via structures (98S, 98D, 98G) can include a source contact via structure 98S (i.e., source electrode) that includes a source metallic liner 91S and a source metallic fill material portion 93S, a drain contact via structure 98D (i.e., drain electrode) that includes a drain metallic liner 91D and a drain metallic fill material portion 93D, and a gate contact via structure 98G (i.e., gate contact) that includes a gate metallic liner 91G and a gate metallic fill material portion 93 G.
  • a source contact via structure 98S i.e., source electrode
  • drain contact via structure 98D i.e., drain electrode
  • a gate contact via structure 98G i.e., gate contact
  • the source contact via structure 98S contacts a top surface of a source region 34S
  • the drain contact via structure 98D contacts a top surface of a drain region 34D
  • a gate contact via structure 98G contacts a top surface of a gate electrode (52, 54).
  • a first conductive pillar structure 108S can be formed within each source contact via cavity 87S, and a second conductive pillar structure 108D can be formed within each drain contact via cavity 87D.
  • Each first conducive via structure 108S may include a vertical stack including, from bottom to top, a source extension pillar structure 133S, a source region 34S, and a source contact via structure 98S.
  • Each second conducive via structure 108D may include a vertical stack including, from bottom to top, a drain extension pillar structure 133D, a drain region 34D, and a drain contact via structure 98D.
  • a plurality of first conductive pillar structure 108S may be formed on a source extension region 32S, and a plurality of second conductive pillar structures 108D may be formed on a drain extension region 32D.
  • FIGS. 28A and 28B illustrate an embodiment in which a first device region 100 includes three first conductive pillar structure 108S and three second conductive pillar structures 108D.
  • a first device region 100 includes two elongated first conductive pillar structure 108S and two second conductive pillar structures 108D.
  • a vertical cross-sectional view along the hinged plane A - A’ can be the same as the view illustrated in FIG. 28A.
  • a first device region 100 includes one elongated first conductive pillar structure 108S and one second conductive pillar structures 108D.
  • FIGS. 31 A and 3 IB a respective vertical cross-sectional and partial see-through top-down view of a third alternative configuration of the fourth exemplary structure are illustrated.
  • the third alternative configuration differs from the second alternative configuration in that the elongated first and second conductive pillar structures (108S, 108D) have a length along the elongation direction that is greater than the length of the respective source and drain extension regions (32S, 32D) along the same direction.
  • the elongation direction may be perpendicular to the direction between the respective source and drain extension regions (32S, 32D).
  • the extension pillar structures may be perpendicular to the direction between the respective source and drain extension regions (32S, 32D).
  • 133S, 133D are epitaxially grown from the respective source and drain extension regions (32S, 32D) and extend laterally over the shallow trench isolation structures 20 in the elongation direction.
  • FIGS. 32A to 32G illustrate vertical cross-sectional views of the first device region 100 during steps of forming of a fourth alternative configuration of the fourth exemplary structure.
  • polycrystalline semiconductor material is deposited into the via cavities instead of epitaxially growing single crystalline semiconductor material in the via cavities.
  • FIG. 32 A shows the first device region 100 which can be derived from the structure shown in FIG. 25 A, except that the gate contact via cavity 89G is not formed in the structure of FIG. 32A.
  • a lightly doped poly crystalline semiconductor material layer 133 is deposited into the source and drain via cavities (89S, 89D) and over the planarization dielectric layer 70.
  • the lightly doped polycrystalline semiconductor layer 133 may comprise a lightly doped polysilicon layer of the second conductivity type.
  • the lightly doped polycrystalline semiconductor material layer 133 is etched back using selective etching to remove layer 133 from above the planarization dielectric layer 70 and to partially remove layer 133 from the source and drain via cavities (89S, 89D).
  • the remaining portions of layer 133 in the source and drain via cavities (89S, 89D) constitute the respective source and drain extension pillar structures (133S, 133D), respectively, similar to the structure shown in FIG. 26 and described above.
  • a heavily doped polycrystalline semiconductor material layer 34 is deposited into the remaining portions of the source and drain via cavities (89S, 89D) and over the planarization dielectric layer 70.
  • the heavily doped polycrystalline semiconductor layer 34 may comprise a heavily doped polysilicon layer of the second conductivity type having a higher doping concentration of the second conductivity type than layer 133.
  • the heavily doped polycrystalline semiconductor material layer 34 is etched back using selective etching to remove layer 34 from above the planarization dielectric layer 70 and to partially remove layer 34 from the source and drain via cavities (89S, 89D).
  • the remaining portions of layer 34 in the source and drain via cavities (89S, 89D) constitute the respective source and drain regions (34S, 34D), respectively, similar to the structure shown in FIG. 27A and described above.
  • the gate contact via cavity 89G is formed by photolithography and etching through the planarization dielectric layer 70 to expose the gate electrode (52, 54).
  • a semiconductor structure which comprises: a gate stack structure (50, 52, 54, 58) overlying a semiconductor material layer (e.g., a well in or an epitaxial layer in the semiconductor substrate 10) having a doping of a first conductivity type and comprising a gate dielectric 50 and a gate electrode (52, 54); a source extension region 32S and a drain extension region 32D embedded in an upper portion of the semiconductor material layer and located on opposite sides of the gate stack structure (50, 52, 54, 58); a planarization dielectric layer 70 overlying the gate stack structure (50, 52, 54, 58), the source extension region 32S, and the drain extension region 32D; a first conductive via structure 108S vertically extending through the planarization dielectric layer 70 in contact with the source extension region, having a narrower width than the source extension region, and comprising
  • the source extension pillar structure 133S contacts a surface of the source extension region 32S; and the drain extension pillar structure 133D contacts a surface of the drain extension region 32D.
  • the source extension region 32S and the drain extension region 32D are single crystalline and epitaxially aligned to the semiconductor material layer; the source extension pillar structure 133S comprises a first single crystalline semiconductor material portion that is epitaxially aligned to the source extension region 32S; and the drain extension pillar structure 133D comprises a second single crystalline semiconductor material portion that is epitaxially aligned to the drain extension region 32D.
  • the source region 34S is single crystalline and is epitaxially aligned to the source extension pillar structure 133S; and the drain region 34D is single crystalline and is epitaxially aligned to the drain extension pillar structure 133D.
  • the first conductive via structure 108S comprises a sourceside metallic via structure 98S contacting a top surface of the source region 34S and having a top surface within a horizontal plane including a top surface of the planarization dielectric layer 70; and the second conductive via structure 108D comprises a drain-side metallic via structure 98D contacting a top surface of the drain region 34D and having a top surface within the horizontal plane including the top surface of the planarization dielectric layer 70.
  • a top periphery of the source region 34S coincides with a bottom periphery of the source-side metallic via structure 98S; a top periphery of the drain region 34D coincides with a bottom periphery of the drain-side metallic via structure 98D; a bottom periphery of the source region 34S coincides with a top periphery of the source extension via structure 133S; and a bottom periphery of the drain region 34D coincides with a top periphery of the drain extension via structure 133D.
  • the semiconductor structure comprises a gate contact via structure 98G vertically extending through the planarization dielectric layer 70 from the gate electrode 52, 54) to a top surface of the planarization dielectric layer 70 and consisting of a same set of at least one metallic material as each of the source-side metallic via structure 98S contacting and the drain-side metallic via structure 98D.
  • a straight sidewall of the first conductive via structure 108S extends from a top surface of the planarization dielectric layer 70 to the source extension region 32S; and a straight sidewall of the second conductive via structure 108D extends from the top surface of the planarization dielectric layer 70 to the drain extension region 32D.
  • the semiconductor structure comprises a dielectric gate spacer 56 laterally surrounding the gate stack structure (50, 52, 54, 58), wherein the first contact via structure 108S and the second contact via structure 108D are laterally spaced from the dielectric gate spacer 56.
  • the semiconductor structure comprises a dielectric liner 62 continuously extending over, and contacting, a top surface of the source extension region 32S, a top surface of the drain extension region 32D, an outer sidewall of the dielectric gate spacer 56, and a top surface of the gate stack structure (50, 52, 54, 58), wherein each of the first conductive via structure 108S and the second conductive via structure 108D extends through a respective horizontal portion of the dielectric liner 62.
  • the source extension region 32S contacts a first peripheral portion of a bottom surface of the gate dielectric 50; and the drain extension region 32D contacts a second peripheral portion of the bottom surface of the gate dielectric 50.
  • the semiconductor structure comprises a dielectric gate spacer 56 contacting the source extension region 32S and the drain extension region 32D at horizontal interfaces located within a horizontal plane including a bottom surface of the gate dielectric 50.
  • the source extension pillar structure 133S and the drain extension pillar structure 133D include dopants of the second conductivity type at a higher atomic concentration than the source extension region 32S and the drain extension region 32D.
  • the field effect transistor of one or more embodiments of the present disclosure can be used in any semiconductor device.
  • the high voltage field effect transistor in the first device region 100 can be used as a peripheral (e.g., driver) high voltage transistor of a memory device.
  • the low voltage field effect transistor in the second device region 200 can be used as a peripheral (e.g., driver) low voltage transistor of the same memory device.
  • Memory devices include NAND and resistive RAM (ReRAM) memory devices.
  • the field effect transistor can be used as a word line select transistor for a three dimensional NAND device having vertically oriented channels (i.e., extending perpendicular to the top surface of the substrate) and charge storage regions located adjacent to the channels (e.g., vertical NAND).
  • Non-limiting examples of vertical NAND devices are described in U.S. published patent application numbers 2016/0351709 Al (published 12/1/16), and 2016/0365351 Al (published 12/15/16), and in U.S. patent numbers 9,449,987 Bl issued 9/20/16, and 9,305,934 Bl issued 4/5/16, each of which is incorporated herein by reference in its entirety.
  • a field effect transistor of the embodiments of the present disclosure can have a greater breakdown voltage due to the vertical current path along the inner sidewalls of the dielectric gate spacer 56 and/or along the outer sidewalls of the dielectric gate spacer 56 than a conventional field effect transistor having similar dimensions as the field effect transistor of the present disclosure but not including vertical current paths.
  • the high voltage field effect transistor of one or more of the embodiments of the present disclosure can be scaled down with a smaller width than prior art high voltage field effect transistors, while providing the same or higher breakdown voltage due to the presence of the vertical current paths.
  • a taller sidewall spacer than gate electrode eight creates space between the channel under the gate electrode and the source and drain contacts, which increases the channel length but does not waste the chip area.

Abstract

L'invention concerne un transistor à effet de champ pour une opération à haute tension qui peut comprendre des trajets de courant verticaux, qui peuvent comprendre des régions de surface verticale d'une partie semi-conductrice de socle qui fait saillie au-dessus d'une partie semi-conductrice de base. La partie semi-conductrice de socle peut être formée par gravure d'une couche de matériau semi-conducteur utilisant une structure de grille en tant que masque de gravure. Un espaceur de grille diélectrique peut être formé sur des parois latérales de la partie semi-conductrice de socle. Une région de source et une région de drain peuvent être formées sous des surfaces supérieures de la partie semi-conductrice de base. En variante, des parties de matériau semi-conducteur épitaxial peuvent croître sur les surfaces supérieures des parties semi-conductrices de base, et une région de source et une région de drain peuvent être formées à l'intérieur de celles-ci. En variante, une région de source et une région de drain peuvent être formées à l'intérieur de cavités de trou d'interconnexion dans une couche diélectrique de planarisation.
PCT/US2021/037267 2020-10-05 2021-06-14 Transistor à effet de champ à haute tension doté de trajets de courant verticaux et son procédé de fabrication WO2022076043A1 (fr)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US17/063,145 US11450768B2 (en) 2020-10-05 2020-10-05 High voltage field effect transistor with vertical current paths and method of making the same
US17/063,084 2020-10-05
US17/063,084 US11978774B2 (en) 2020-10-05 2020-10-05 High voltage field effect transistor with vertical current paths and method of making the same
US17/063,182 US20220109070A1 (en) 2020-10-05 2020-10-05 High voltage field effect transistor with vertical current paths and method of making the same
US17/063,145 2020-10-05
US17/063,182 2020-10-05

Publications (1)

Publication Number Publication Date
WO2022076043A1 true WO2022076043A1 (fr) 2022-04-14

Family

ID=81127060

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2021/037267 WO2022076043A1 (fr) 2020-10-05 2021-06-14 Transistor à effet de champ à haute tension doté de trajets de courant verticaux et son procédé de fabrication

Country Status (1)

Country Link
WO (1) WO2022076043A1 (fr)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110012208A1 (en) * 2002-10-07 2011-01-20 Infineon Technologies Ag Field-effect transistor with local source/drain insulation and associated method of production
US20140273380A1 (en) * 2013-03-13 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with Regrown Source/Drain and Methods for Forming the Same
US20170077096A1 (en) * 2014-12-29 2017-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET Contact Structure and Method for Forming the Same
US20180182861A1 (en) * 2016-12-27 2018-06-28 SK Hynix Inc. Semiconductor device and method for fabricating the same
US20180248013A1 (en) * 2017-02-28 2018-08-30 Sandisk Technologies Llc High voltage field effect transistor with laterally extended gate dielectric and method of making thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110012208A1 (en) * 2002-10-07 2011-01-20 Infineon Technologies Ag Field-effect transistor with local source/drain insulation and associated method of production
US20140273380A1 (en) * 2013-03-13 2014-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with Regrown Source/Drain and Methods for Forming the Same
US20170077096A1 (en) * 2014-12-29 2017-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET Contact Structure and Method for Forming the Same
US20180182861A1 (en) * 2016-12-27 2018-06-28 SK Hynix Inc. Semiconductor device and method for fabricating the same
US20180248013A1 (en) * 2017-02-28 2018-08-30 Sandisk Technologies Llc High voltage field effect transistor with laterally extended gate dielectric and method of making thereof

Similar Documents

Publication Publication Date Title
US10224407B2 (en) High voltage field effect transistor with laterally extended gate dielectric and method of making thereof
CN107665864B (zh) 具有气隙间隔件的finfet及其形成方法
US20220109070A1 (en) High voltage field effect transistor with vertical current paths and method of making the same
US9059270B2 (en) Replacement gate MOSFET with raised source and drain
US20160086957A1 (en) Semiconductor device with buried bit line and method for fabricating the same
US8835232B2 (en) Low external resistance ETSOI transistors
KR100289474B1 (ko) Dmos 트랜지스터를 제조하기 위한 방법
US20120319233A1 (en) Bipolar transistor with raised extrinsic self-aligned base using selective epitaxial growth for bicmos integration
US20190097044A1 (en) Semiconductor structure and associated fabricating method
TW201304068A (zh) 具有埋入式位元線之半導體裝置及其製造方法
KR20050011881A (ko) 수직 이중 채널을 갖는 soi 트랜지스터의 제조 방법 및그에 따른 구조
US11450768B2 (en) High voltage field effect transistor with vertical current paths and method of making the same
KR20130086778A (ko) 수직형 비휘발성 메모리 소자의 제조 방법
US11967626B2 (en) Field effect transistors with gate fins and method of making the same
US9029862B2 (en) Low resistance embedded strap for a trench capacitor
US7943474B2 (en) EDRAM including metal plates
US11978774B2 (en) High voltage field effect transistor with vertical current paths and method of making the same
US11575015B2 (en) High voltage field effect transistors with self-aligned silicide contacts and methods for making the same
US11626496B2 (en) High voltage field effect transistors with self-aligned silicide contacts and methods for making the same
US20230082824A1 (en) Field effect transistors with gate fins and method of making the same
WO2022076043A1 (fr) Transistor à effet de champ à haute tension doté de trajets de courant verticaux et son procédé de fabrication
TW202243113A (zh) 積體電路裝置
CN111916399A (zh) 一种半导体器件的制备方法以及半导体器件
US20230083560A1 (en) Field effect transistors with gate fins and method of making the same
US11888038B2 (en) Integrated circuit devices and methods of manufacturing the same

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21878158

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

32PN Ep: public notification in the ep bulletin as address of the adressee cannot be established

Free format text: NOTING OF LOSS OF RIGHTS PURSUANT TO RULE 112(1)EPC DATED 03.08.2023 (EPO FORM 1205A)

122 Ep: pct application non-entry in european phase

Ref document number: 21878158

Country of ref document: EP

Kind code of ref document: A1