WO2021248204A1 - Isotopic purification of silicon - Google Patents

Isotopic purification of silicon Download PDF

Info

Publication number
WO2021248204A1
WO2021248204A1 PCT/AU2021/050599 AU2021050599W WO2021248204A1 WO 2021248204 A1 WO2021248204 A1 WO 2021248204A1 AU 2021050599 W AU2021050599 W AU 2021050599W WO 2021248204 A1 WO2021248204 A1 WO 2021248204A1
Authority
WO
WIPO (PCT)
Prior art keywords
ion beam
silicon substrate
kev
enriched
ions
Prior art date
Application number
PCT/AU2021/050599
Other languages
French (fr)
Inventor
David Jamieson
Brett Johnson
Jeff MCCALLUM
Original Assignee
The University Of Melbourne
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from AU2020901925A external-priority patent/AU2020901925A0/en
Application filed by The University Of Melbourne filed Critical The University Of Melbourne
Publication of WO2021248204A1 publication Critical patent/WO2021248204A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/16Metallic material, boron or silicon on metallic substrates or on substrates of boron or silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/322Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to modify their internal properties, e.g. to produce internal imperfections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66977Quantum effect devices, e.g. using quantum reflection, diffraction or interference effects, i.e. Bragg- or Aharonov-Bohm effects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/7613Single electron transistors; Coulomb blockade devices

Definitions

  • This disclosure relates to manufacturing silicon on a silicon substrate that is enriched by isotopes.
  • Silicon is a base material for many applications, including electronic circuits and quantum computers. The performance of these applications often depends on the purity of the silicon. However, different isotopes of silicon exist naturally and some naturally occurring isotopes of silicon have a detrimental effect on the performance of silicon-based products. In particular, the most prevalent isotope 28 Si is generally regarded as most useful in quantum technologies. In contrast, the second naturally most abundant isotope, 29 Si, is considered an impurity, because of its non-zero nuclear spin, and attempts have been made for removing it.
  • a method for manufacturing enriched silicon on a silicon substrate comprises: generating an ion beam of charged 28 Si ions; sputtering a surface region of the silicon substrate using the ion beam, wherein the ion beam has a fluence value that results in an, enriched 28 Si layer in the surface region of the silicon substrate, to create an amorphous, enriched 28 Si layer in the surface region of the silicon substrate; annealing the silicon substrate with the amorphous, enriched 28 Si layer to integrate the 28 Si ions into the silicon substrate.
  • the ion beam may be an ion beam of negatively charged 28 Si ions.
  • the method may further comprise collimating the ion beam using a collimator comprising a silicon impact surface.
  • the method may further comprise focussing the ion beam onto the surface region.
  • the method may further comprise removing contaminant isobars from the ion beam.
  • the method may further comprise selecting the 28 Si ions from a precursor ion beam. Selecting the 28 Si ions may comprise applying a magnetic field to the precursor ion beam and select the 28 Si ions based on a deflection indicative of a mass of the 28 Si ions.
  • the ion beam may have an energy that results in a one-for-one sputtering.
  • the ion beam may have an energy of any one of:
  • the ion beam may have a fluence across the surface region of the silicon substrate of any one of:
  • the method may further comprise implanting one or more dopant atoms into the amorphous, enriched 28 Si layer before annealing the silicon substrate.
  • the one or more dopant atoms may be implanted to create one or more qubits in the surface region.
  • the silicon substrate may be crystalline, and sputtering the surface region may result in an amorphous, enriched 28 Si layer in the surface region.
  • the silicon substrate may be crystalline, and the method may comprise creating an amorphous layer in the surface region of the silicon substrate before sputtering the surface region.
  • the amorphous layer may be deeper than the enriched 28 Si layer.
  • a system for manufacturing enriched silicon on a silicon substrate comprises: an ion beam source to generate a beam of charged 28 Si ions; a beam former to direct the beam onto a surface region of the silicon substrate, wherein the ion beam has a fluence value that results in an, enriched 28 Si layer in the surface region of the silicon substrate, to create an amorphous, enriched 28 Si layer in the surface region of the silicon substrate; and a heater to anneal the silicon substrate with the amorphous, enriched 28 Si layer to integrate the 28 Si ions into the silicon substrate.
  • the ion beam source may be a focussed ion beam.
  • the ion beam source may be configured to generate a pure 28 Si ion beam, such as a negatively charged 28 Si ion beam free from same-mass isomers such as CO, N 2 , etc.
  • Fig. 1 illustrates a system for manufacturing enriched silicon on a crystalline silicon substrate.
  • Fig. 2 illustrates another system for manufacturing enriched silicon on a crystalline silicon substrate.
  • Fig. 3 illustrates a method for manufacturing enriched silicon on crystalline silicon substrate.
  • Fig. 4 illustrates a cross-section of the silicon substrate of Fig. 1 after exposure to the ion beam.
  • Figs. 5 illustrates TRIDYN simulations for the implantation of 28 Si ions into natural Si and shows the concentration of silicon isotopes as a function of depth after implantation of 42 keV 28 Si at a fluence of 5 ⁇ 10 18 cm -2 .
  • Fig. 6 illustrates an amorphous Si calibration sample and 4 ⁇ 10 18 enriched samples.
  • Time resolved reflectivity (TRR) curves show the oscillating reflectivity due to the interference of light from a laser reflected off the surface and the advancing crystal -amorphous front during annealing.
  • Fig. 7a illustrates a TEM image showing a cross-section of enriched 28 Si (30 keV, 1 ⁇ 10 18 cm -2 28 Si implanted high purity silicon substrate (UHPS)). An enriched surface layer of thickness : 140 nm can be seen above end of range defects.
  • Fig. 7b is a high-resolution TEM image showing a successful repair of the crystal lattice after annealing.
  • Fig. 8c shows the fast Fourier transform (FFT) of the enriched 28 Si region (top left dashed box in Fi. 7b).
  • Fig. 7d shows the FFT of the nat Si region (bottom right dashed box in Fig. 7b).
  • Fig. 8 illustrates depth profiles of enriched 28 Si (30 keV, 1 ⁇ 10 18 cm -2 28 Si implanted UHPS).
  • Fig. 9 illustrates a TRIDYN simulation of the implantation of 28 Si ions into nat Si showing the sputter yield as a function of implant energy at a fluence of 1 ⁇ 10 17 cm -2 . Schematics of the post-implantation surface are shown in the erosion, one-for-one replacement and accumulation regimes.
  • Fig 9 derived from “Isotopic enrichment of silicon by high fluence 28Si- ion implantation”, D. Holmes, B.C. Johnson, C. Chua, B. Voisin, S. Kocsis, S. Rubanov, S. G. Robson, J.C. McCallum, D.R. McCamey, S. Rogge and D.N. Jamieson,
  • Figs. 10a/b illustrate a TRIDYN simulation of the implantation of 45 keV 28 Si ions into nat Si.
  • the dashed lines indicate natural abundance.
  • Fig. 10a shows the concentration of silicon isotopes as a function of depth after an implantation fluence of 5 ⁇ 10 18 cm -2 .
  • Fig. 10b shows the concentration of 29 Si and 30 Si at a depth of 20 nm below the surface as a function of implanted fluence. Lines of best fit are displayed for both isotopes.
  • the circle symbols represent the isotope concentrations achieved and are extracted from Fig. 12.
  • Figs. 11 a/b illustrate experimental SIMS depth profiles for the 45 keV
  • Fig. 11a illustrates the concentration of the isotopes of nat Si as a function of depth below the surface. Natural abundance is indicated with dashed lines.
  • Fig. 11b illustrates the concentration of the impurities 12 C and 16 O as a function of depth, calibrated with typical maximum background impurity levels expected in UHPS. Dashed lines indicate the SIMS depth profiles for the non-implanted substrate.
  • Fig 11 derived from “Isotopic enrichment of silicon by high fluence 28Si- ion implantation”, D. Holmes, B.C. Johnson, C. Chua, B. Voisin, S. Kocsis, S. Rubanov, S. G. Robson, J.C. McCallum, D.R. McCamey, S. Rogge and D.N. Jamieson, Physical Review Materials 5, 014601 (2021), DOI: 10.1103/PhysRevMaterials.5.014601.
  • Fig. 12 illustrates TRR curves showing the oscillating reflectivity due to the interference of a laser reflected off the surface and the advancing amorphous/crystalline (ale) interface (as shown in the inset) during SPE.
  • the a-Si standard and the 30 keV, 4 ⁇ 10 18 cm -2 implanted sample were annealed on a hotplate at 609 ° C during the measurement.
  • Fig. 13a and 13b illustrate experimental data for a sample depleted to 3,000 ppm 29 Si.
  • Fig. 13a Left axis: Secondary Ion Mass Spectrometry (SIMS) depth profiles showing the isotope concentration as a function of depth below the surface, natural abundance is indicated with dashed lines.
  • Right axis SRIM simulation of the implanted P depth profile.
  • Fig 13 derived from “Isotopic enrichment of silicon by high fluence 28Si- ion implantation”, D. Holmes, B.C. Johnson, C. Chua, B. Voisin, S. Kocsis, S. Rubanov, S. G. Robson, J.C. McCallum, D.R. McCamey, S.
  • Fig. 14 illustrates a schematic (non-quantitative) overview of the enhanced enrichment protocol. Showing: gate oxides if present, implanted amorphous volumes, implanted amorphous enriched volumes, implanted donor atoms and end of range regrowth defects.
  • Fig. 15 illustrates an Electrically Detected Magnetic Resonance (EDMR) device geometry with enrichment location.
  • EDMR Electrically Detected Magnetic Resonance
  • Fig. 16 illustrates EDMR showing the control hardware used in this disclosure.
  • Fig. 17 illustrates spin optomechanics - an application of the disclosed method to locally enrich silicon-on-insulator for a micromechanical oscillator where strain-dependent donor quantum states can be coupled to mechanical modes.
  • This disclosure provides systems and methods for enrichment of silicon substrates with 28 Si isotopes. It is noted here that, throughout this disclosure, enrichment of 28 Si is synonymous with depletion of 29 Si and other isotopes.
  • Fig. 1 illustrates a system 100 for manufacturing enriched silicon on a crystalline silicon substrate 101 using a negative ion beam, such as from a commercially available ion implantation system.
  • System 100 comprises a chamber 102, filament (cathode) 103 and an elemental (Si) source 104, which creates a plasma 105.
  • An ion extraction/pre-acceleration component 106 forms a negatively charged Si ion beam 117.
  • Magnet coils 107 cause a deflection of the silicon ions 117 by generating a magnetic field that acts on the silicon ions. The degree of deflection depends on the mass of the silicon ions in the sense that heavier ions are deflected less while lighter ions are deflected more.
  • the heavier ions are the 29 Si ions (and heavier isotopes) and the lighter ions are the 28 Si ions.
  • the difference in deflection causes the beam to split into a 29 Si beam 108 and a 28 Si beam 109.
  • An aperture 110 is then arranged to pass the 28 Si beam 109 and block the 29 Si beam 108 and all other ions with a mass that is different (greater or less) to the 28 Si ions.
  • An ion acceleration column 111 accelerates the 28 Si beam and magnetic quadrupole lenses 112 create a desired beam shape.
  • electrode plates 113 direct the 28 Si beam onto substrate 101. Other sources of ion beams may equally be used.
  • the 28 Si ions are negatively charged, which has the advantage that impurity ions with (near) identical mass, such as CO and N 2 , that occur positively charged are less likely to contaminate the enrichment process described herein.
  • Fig. 2 illustrates another example system 200 using a focussed ion beam of positively charged ions, comprising an ion source 202 to generate a stream of positively charged 28 Si ions.
  • Ion source 202 may be a tungsten needle that is wetted at the tip by a drop of liquid silicon containing 28 Si and other isotopes, including 29 Si.
  • An electric field is applied to the drop 203 leading to the formation of a cusp shaped tip with an extremely small ( ⁇ 2nm) tip radius. This creates an extremely high electric field at this small tip (greater than 10 8 V), which causes ionisation and field emission of silicon ions 204.
  • This may also dissociate the impurity molecules with similar mass, such as CO and N 2 and hence remove them from the ion beam.
  • An aperture 205 forms an initial beam that is then focused by a focussing element 206 and further truncated by a further aperture 207.
  • Coils 208 cause a deflection of the silicon ions 204 by generating a magnetic field that acts on the silicon ions. Again, the degree of deflection depends on the mass of the silicon ions in the sense that heavier ions are deflected less while lighter ions are deflected more. This can be used to separate the heavy ions similar to the process of mass spectrometry.
  • the heavier ions are the 29 Si ions (and heavier isotopes) and the lighter ions are the 28 Si ions.
  • the difference in deflection causes the beam to split into a 29 Si beam 109 and a 28 Si beam 110.
  • An aperture 211 is then arranged to pass the 28 Si beam 210 and block the 29 Si beam 209 and all other ions with a mass that is different (greater or less) to the 28 Si ions.
  • the resulting beam of positively charged 28 Si ions can then by straightened by a further set of coils 212 and a focussing element 213 focusses the ion beam onto a surface region 214 of the crystalline silicon substrate 101.
  • the coils 212 and the focussing element 213 act as a beam former that directs the beam of negatively charged 28 Si ions 210 onto the surface region 214 of the crystalline silicon substrate 101.
  • the focussing element 213 is advantageous to ensure that all generated ions reach the region 214, it is similarly possible to use a collimator instead.
  • the collimator blocks ions that would otherwise hit the substrate 101 outside the region 114 that is to be sputtered.
  • the collimator comprises an impact surface made of silicon to avoid the creation of impurities that may impact on surface area 114.
  • the advantage of the positive ion beam is that the beam can be focussed onto a small surface area, which increases the flux on that area and therefore reduces the time required to achieve a sufficiently high fluence to achieve amorphisation and 28 Si enrichment.
  • the layer in the surface region 114 is not crystalline (but amorphous) after the step of ion beam exposure while the remaining part of the silicon substrate remains crystalline. This is meant by the phrase of resulting in an amorphous, enriched 28 Si layer in the surface region 114 of the crystalline silicon substrate 101.
  • This annealing step integrates the 28 Si ions and dopants in the surface region 114 into the crystalline silicon substrate 101 to again form a crystal structure through the homoepitaxy process so that the surface amorphous layer produced by the enrichment process undergoes epitaxial regrowth on the natural silicon crystal substrate.
  • the crystal structure in surface region 114 comprises a depleted amount of 29 Si and a enriched amount of 28 Si compared to the crystalline natural silicon substrate 101 outside the surface region 114 that has not been treated with the high fluence ion beam.
  • Annealing may occur between 950 C and 1050 C. Other values may equally be chosen.
  • heating may occur with a heater that may be a resistive heater to generate an electric current through substrate 101 to heat the substrate to the desired temperature where annealing occurs.
  • Annealing may be performed after further atoms are implanted into the surface region, such as P donor atoms.
  • System 100 may further comprise a translation mechanism (not shown) which enables translation (movement) of the crystalline silicon substrate 101 in x-direction 221 and y-direction 222 relative to the ion beam incident in the x-direction.
  • a translation mechanism (not shown) which enables translation (movement) of the crystalline silicon substrate 101 in x-direction 221 and y-direction 222 relative to the ion beam incident in the x-direction.
  • This means the ion beam 210 can be activated to expose surface area 114, deactivated, the substrate 101 can be moved and the ion beam 210 activated again to expose a different surface area.
  • This way, a number of surface areas can be exposed to create a layout of an electronic circuit. For example, surface areas can be exposed to the ion beam 210 to enrich these areas with 28 Si ions so to create a suitable environment for the implantation of qubits into the substrate 101.
  • Fluence is defined as the integral of the number of particles per surface area over a time period and is typically limited by the chosen ion source. Flux is the number of particles per surface area per second, so fluence is the integral of the flux.
  • An example fluence for implanting doping ions into silicon may be 1 ⁇ 10 9 cm -2 .
  • Higher fluence values can be achieved by increasing the exposure time to the ion beam.
  • the aim is to minimise the exposure time to maximise throughput through the manufacturing process.
  • the ion beam is operated at the maximum possible flux and the exposure time is set to the minimum value to achieve implantation of the desired number of atoms (e.g., Boron concentration for a desired conductance in a p-type doped Silicon), such as 1 ⁇ 10 9 cm -2 .
  • the fluence is chosen to be significantly higher than typical as at a higher fluence, the high fluence ion beam causes amorphisation and enrichment of the silicon. This results in an amorphous, enriched 28 Si layer in the surface region 114 of the crystalline silicon substrate 101.
  • An example fluence value where amorphisation occurs is a fluence of greater than 1 ⁇ 10 15 cm -2 .
  • a fluence value higher than this leads to a higher enrichment.
  • a fluence of 4 ⁇ 10 18 cm -2 can be used to achieve a high level enrichment, which means depletion of 29 Si and 30 Si isotopes.
  • Higher flux leads to faster enrichment of 28 Si ions and is therefore an advantage.
  • the focussing element 213 of focussed ion beam 200 has an advantage over a collimator because the focussing element increases the flux value for a smaller surface area 114 by focussing the ions onto that surface area 114. As a result, the time required to achieve a desired enrichment is reduced.
  • a further parameter that can be adjusted in the system 100 is the ion beam energy, which relates to a speed of the ions in ion beam 110.
  • the ion beam energy determines the interplay of the ions with the surface when the ions hit the surface.
  • an ion beam energy of 30 keV was selected to give a sputter yield close to 1. This results in replacement collisions maintaining the original thickness.
  • Other ion beam energy values, such as between 20 keV and 40 keV are possible.
  • Fig. 3 illustrates a method for manufacturing enriched silicon on crystalline silicon substrate 101.
  • the method comprises generating 301 an ion beam of charged 28 Si ions as described with reference to Figs. 1 (negatively charged) and 2 (positively charged).
  • the method further comprises sputtering 302 surface region 114 of the crystalline silicon substrate 101 using the ion beam 109/210.
  • the ion beam has a fluence value that results in an amorphous, enriched 28 Si layer in the surface region 114 of the crystalline silicon substrate 101.
  • Method 200 then comprises annealing 403 the crystalline silicon substrate 101 with the amorphous, enriched 28 Si layer to integrate the 28 Si ions into the crystalline silicon substrate 101.
  • Fig. 4 illustrates a cross-section of the silicon substrate 101 with surface region 114 as a result of method 300 in Fig. 3.
  • the shading represents the concentration of 29 Si isotopes.
  • the concentration of 29 Si isotopes is relatively high throughout the substrate except within surface region 114, where the 29 Si isotopes have been depleted by method 300, which also means the surface region 114 has been enriched with 28 Si isotopes.
  • Surface region 114 now provides a suitable area to implant qubits, such as a single phosphorous donor atom 401. It is noted that the transition between substrate 101 and region 114 is shown as a sharp line in Fig. 4. However, this may not be the case in a physical reality.
  • the concentration of 29 Si isotopes may gradually increase from a relatively low concentration of 29 Si isotopes at the surface 402 to a relatively high concentration of 29 Si isotopes deep within the substrate 101 indicated at 403.
  • the proposed method may result in a layer of enriched 29 Si silicon of a depth of 100 nm suitable for qubit implantation at about 20 nm beneath the surface 402.
  • the depth of the enriched layer is a function of fluence and therefore exposure time. This may be a non-linear function that may saturate at a limit of the range of the incident ions including straggling. So a higher fluence results in a deeper layer. For example, a 10 mm ⁇ 10 mm surface area is exposed for eight hours to a fluence of 4 ⁇ 10 18 cm -2 . With higher fluence implanters, this time will be reduced.
  • the thermal properties of enriched 28 Si may be beneficial over the thermal properties of natural silicon including heavier isotopes.
  • heat conduction from integrated circuits can be improved, leading to reduced cooling systems and/or higher clock frequencies.
  • ‘hot spots’ in the circuit layout can be identified and manufactured within a layer of enriched 28 Si silicon while the thermally less critical components are manufactured in natural silicon.
  • the implantation of Si into natural Si was simulated using TRIDYN software.
  • the sputter yield as a function of implantation energy is shown in Fig. 9.
  • An implantation energy of around 44 keV is shown to give a sputter yield of 1, which should neither erode or deposit a layer on the surface; desirable for post-fabrication of surface nanocircuitry.
  • the resultant concentration of Si as a function of depth below the surface of Si is shown for various implant fluences of 45 keV Si in Fig, 5b. This shows a fluence of 5 ⁇ 10 18 /cm 2 achieving a depletion of Si down from its natural value of ⁇ 5% to 300 ppm.
  • Time resolved reflectivity (TRR) curves during the annealing of a 1 ⁇ 10 18 cm -2 and 4 ⁇ 10 18 cm -2 control sample are shown in Fig. 6.
  • TRR Time resolved reflectivity
  • the number of oscillations are smaller and the time period is longer than the low fluence amorphised sample indicating the thinner surface amorphous layer and the possible presence of impurities and defects slowing the progression of the crystalline- amorphous boundary.
  • Amorphous layer depth and speed of crystal-amorphous boundary can be calculated.
  • Raman spectroscopy (not shown) also confirmed the crystalline nature of the annealed enriched sample.
  • Fig. 7a shows the enriched layer 801 and the undisturbed layer 802.
  • the grey line indicated at 803 is the interface between enriched and undisturbed layers .
  • Fig. 7b is a zoomed-in version of Fig. 7a of the interface.
  • First box 811 has FFT in Fig. 7c.
  • Second box 812 has FFT in Fig. 7d.
  • the bright dots in Figs. 7c and 7d show a regular pattern, which is caused by the regular crystal structure. Therefore, Figs. 7c and 7d show that the re-crystallised material is a near-perfect single crystal.
  • the impurity content of the resultant enriched 28 Si layer and the crystallization kinetics via solid phase epitaxy are discussed.
  • the quality of the single crystal 28 Si layer is measured with transmission electron microscopy. This method of isotopic enrichment of 28 Si shows promise for incorporating into the fabrication process flow of Si spin qubit devices.
  • a 150 keV ion implanter equipped with a SNICS II ion source and a 90 ° double focusing magnet, was used for all implants. Near the start of the implantation run, the 29 Si - and 30 Si - ion beams contain a significant fraction of 28 SiH - and 29 SiH - molecular ions, respectively. Therefore, the ion beam currents for 29 Si - and 30 Si - relative to the 28 Si - ion beam are initially higher than their natural abundance. 28 Si - implants were performed at room temperature and with a 7° tilt off the incident beam axis to suppress ion channeling. A Si aperture, prepared from a wafer of nat Si, was used to collimate the beam and prevent contamination from forward recoils of foreign atoms.
  • a vacuum of less than 1 x 10 Torr was maintained in the target chamber with a cryopump to reduce impurity incorporation from residual gas by ion bombardment.
  • a highly intrinsic (4 - 10 k W .cm) float-zone uniform high purity nat Si (UHPS) substrate was given a degreasing clean to remove surface hydrocarbons and a HF acid etch to remove the native SiO 2 , before being implanted with 45 keV 28 Si - ions to a fluence of 2.63 ⁇ 10 18 cm -2 .
  • a piranha (4: 1 98% H 2 SO 4 : 30% H 2 O 2 , 90 °C) and RCA-2 (5 : 1 : 1 H 2 O : 30% H 2 O 2 : 36% HC1, 70 °C) clean was then performed followed by rapid thermal anneals in an Ar atmosphere at 620 ° C for 10 min for SPE regrowth and 1000 ° C for 5 s, suitable for implanted donor activation.
  • the composition with depth of the 45 keV, 2.63 ⁇ 10 18 cm -2 implanted sample after annealing was obtained with SIMS (IONTOF GmbH, TOF.SIMS 5).
  • the Si isotopes were measured in positive polarity with a 1 keV O 2 beam used for sputtering and a 30 keV Bi + beam used for analysis.
  • C and O impurities were measured in negative polarity with a 1 keV Cs + beam used for sputtering and a 30 keV Bi + beam used for analysis.
  • TEM was used to determine the crystal quality of the enriched 28 Si layer in the 45 keV, 2.63 ⁇ 10 18 cm -2 implanted sample after annealing.
  • TEM lamella preparation Before TEM lamella preparation, the sample was coated with a thin carbon layer ( : 20 nm). To prepare the sample, a focused ion beam (FEI, Nova Nanolab 200) was used to grow a 300 nm thick layer of Pt via electron-beam assisted deposition to protect the sample from ion damage. This was followed by a further 2.5 m m Pt layer deposited via a 30 keV Ga ion beam. A lamella was then extracted and thinned to a thickness of : 100 nm, with a final polishing step performed with a 5 keV Ga ion beam. A TEM (FEI, Tecnai TF20) was used to take high-resolution cross-sectional images in which a 200 keV electron beam was transmitted down the [110] direction through the lamella to view the atomic arrangement.
  • FEI Tecnai TF20
  • the rate of recrystallisation was compared to an a -Si standard: n-type nat Si amorphised with a much lower fluence of 28 Si - ions with the following implantation scheme: (0.5 MeV, 3 ⁇ 10 15 cm -2 ), then (1 MeV, 1 ⁇ 10 15 cm -2 ) and finally (2 MeV, 1 ⁇ 10 15 cm -2 ), resulting in a 2.2 ⁇ m a-Si layer.
  • TRIDYN simulations were used to determine the sputter yield as a function of implantation energy for an implantation of 1 ⁇ 10 17 cm -2 28 Si ions at normal incidence, as shown in Fig. 9.
  • An energy of ⁇ 3 keV results in the deposition of 28 Si onto the Si surface. If the sputter yield is greater than 1, the surface layer may be eroded faster than it is isotopically enriched, resulting in a thin 28 Si surface layer with reduced enrichment.
  • 28 Si ions with energies > 45 keV are implanted deeper below the surface and sputtering is suppressed, resulting in accumulation. This is desirable for producing a thick layer of 28 Si with a high level of enrichment, however, the surface may not be planar.
  • a sputter yield of 1 is achieved at energies around 3 keV and 45 keV, both of which result in a planar surface; desirable for surface nanocircuitry fabrication.
  • 45 keV was selected in order to produce a 28 Si surface layer thicker than the qubit target depth of : 20 nm in the one-for-one replacement regime and to optimize the transmission of the ion beam through the implanter.
  • the sputter yield is independent of angle of incidence for angles below 10° for self-implanted Si and so the TRIDYN simulations performed here at normal incidence are applicable for our experimental implants performed with a 7° tilt.
  • Fig. 10a The depth profile of Si isotopes in nat Si after the simulated implantation of 45 keV 28 Si ions at a fluence of 5 ⁇ 10 18 cm -2 is shown in Fig. 10a. This shows that an isotopically enriched surface layer : 100 nm thick is created.
  • the resultant concentrations of 29 Si and 30 Si at a depth of 20 nm below the surface as a function of fluence of 45 keV 28 Si ions is shown in Fig. 10b. This shows the trend of an increased isotopic purity resulting from an increased implant fluence.
  • Fig. 11a shows the high fluence 28 Si implantation depleted 29 Si and 30 Si to concentrations of around 250 ppm and 160 ppm, respectively, in a surface layer of thickness : 100 nm.
  • the shape of the isotope concentration profiles agree well with the TRIDYN simulation shown in Fig. 10a. A higher level of enrichment was achieved experimentally than predicted by TRIDYN, as shown by the star symbols in Fig. 10b.
  • Fig. 4b shows the concentrations of 12 C and 16 O are increased above the background levels to around 1 ⁇ 10 17 cm -3 for C and 3 ⁇ 10 17 cm -3 for O by the process of high fluence implantation of 28 Si “ ions and subsequent annealing.
  • the concentrations of these impurities were calibrated by assuming that the background levels at a depth of : 300 nm, which match for the implanted and non-implanted regions, were 5 ⁇ 10 15 cm -3 ; the maximum expected background contamination for UHPS quoted by the supplier.
  • Fig. 1 lb shows preferential diffusion of C and O towards the surface, known to be a vacancy-rich region after ion implantation.
  • TRR curves collected during the annealing of the a -Si standard and the 30 keV, 4 ⁇ 10 18 cm -2 implanted sample are shown in Fig. 12.
  • the amplitude envelope of the reflectivity oscillations increases due to the laser absorption in the a-Si layer of diminishing thickness.
  • Each reflectivity oscillation corresponds to a distance of ⁇ /2n a that the ale interface has progressed.
  • the 30 keV, 4 ⁇ 10 18 cm -2 implanted sample displays fewer oscillations due to the thinner a -Si layer.
  • the SPE rate of the a -Si standard was calculated from the TRR curve to be 17.3 ⁇ /s, whereas that of the 30 keV, 4 ⁇ 10 18 cm -2 implanted sample was 8.9 ⁇ /s. This SPE rate is lower due to the increased level of impurities and open-volume defects introduced by the high fluence implantation which slows the progression of the ale interface.
  • dislocation loops are stable up to temperatures of 1100 °C, whereby they release self-interstitials into the surrounding substrate. This could cause undesired transient-enhanced diffusion of implanted phosphorus donor qubits in this enriched layer and so lower annealing temperatures, well suited for SPE, are preferred.
  • FFTs Fast Fourier transforms
  • Fig. 7b The diffraction pattern for the implanted region, shown in Fig. 7c, indicates good crystal quality and matches that of the non-implanted c-Si substrate beneath, shown in Fig. 6d. This shows the success of the recrystallisation during post-implantation annealing.
  • the contamination level introduced during the high fluence implantation is therefore low enough to avoid the formation of a polycrystalline 28 Si layer, which would contain undesirable charge traps and dangling bonds at grain boundaries. Therefore, we expect that the single crystal of isotopically enriched 28 Si will provide an ideal environment for implanted donor qubits, with high electrical activation and long coherence times.
  • the levels of contamination are low enough to allow for successful recrystallisation via SPE of this isotopically enriched a -Si layer, achieved by annealing at 620 ° C for 10 min, despite the reduced SPE rate.
  • the quality of the single crystal surface layer of isotopically enriched 28 Si was shown to be equivalent to the non-implanted region of the c -Si substrate using high-resolution cross-sectional TEM, in which the end of range defects were still visible after annealing.
  • This work shows the high fluence implantation of 45 keV 28 Si ions as an effective method for isotopic enrichment which could be incorporated in-situ into the fabrication of ion implanted donor spins in 28 Si for quantum devices with increased coherence times.
  • the signal amplitude as a function of time showed a single component exponential decay without the need for a third order term imposed by residual 29Si (Fig.13).
  • This part of the disclosure provides an enhanced enrichment protocol as illustrated in Fig. 14. Showing: gate oxides 1401 if present, implanted amorphous volumes 1402, implanted amorphous enriched volumes 1403, implanted donor atoms 1404 and end of range regrowth defects 1405 in a substrate 1406.
  • the enhanced protocol offers the following advantages: efficient localised enrichment which can be done within minutes or seconds of irradiation with a focused 28 Si ion beam; localisation of end-of-range growth defects 1405 deep below the enriched volumes; suppression of lateral homoepitaxial regrowth that could compete with longitudinal regrowth and hence create growth defects.
  • the enhanced enrichment protocol is proposed as follows.
  • Step 1 perform a deep 28 Si implant above the silicon amorphization threshold ⁇ 1 ⁇ 10 14 /cm2.
  • Step 2 Use a focused 28 Si ion beam to enrich localised volumes that ultimately will receive donor atoms.
  • Step 3 Implant localised donor atoms into the amorphous enriched volumes and perform a second 28 Si implant to amorphize the volume between the enriched volumes.
  • Step 4 Perform a thermal annealing step to allow homoepitaxial regrowth of the entire amorphous volume from the surrounding single crystal substrate and restore surface oxide (if required). It is an aim of the present proposal to refine this protocol with reference to the lifetime measurements of the implanted donor spins.
  • Step 2 may use a broad 28 Si beam to create a large area of enriched material for bulk EPR measurements to address optimisation of the annealing strategy and suppression of the near-surface end of range defects as a result of Step 1.
  • the use of bulk EPR measurements enables rapid feedback on the quality of our material before the process moves to Part 2.
  • EPR at low temperature is sensitive to unpaired electron spins and measures magnetic parameters such as the g-factor, hyperfine -coupling constants, A. or nuclear quadrupole moments, Qn, which are characteristic of the electron wavefunction and the local environment. EPR is also sensitive to the neighbouring electron spins if present.
  • the EPR spectra of samples implanted with 31 P will show two resonance signals, one for each nuclear-spin projection ⁇ 1/2, while those of samples implanted with 123 Sb or 209 Bi will display an eight- and ten-line pattern due to coupling of the electron to the nuclear spins.
  • Pulsed EPR may be used to measure the spin relaxation time T1 and the decoherence time T2, as well as to probe the controlled preparation of quantum states (via Rabi oscillations) and demonstrate nuclear spin manipulations using pulsed electron-nuclear double resonance (ENDOR).
  • Electron spin relaxation time ( T1) of spin impurities can be measured with an inversion recovery pulse sequence ( ⁇ - T - ⁇ /2 - 1 - ⁇ - t-echo, where T is varied), and monitored as a function of temperature to obtain information on spin relaxation mechanisms (i.e. Orbach, Raman).
  • T2 can be measured with a Hahn pulse sequence ( ⁇ /2 - t - ⁇ - t-echo, with varied t).
  • a Hahn pulse sequence ⁇ /2 - t - ⁇ - t-echo, with varied t.
  • sources of decoherence e.g. coupling to residual 29 Si present; instrumental imperfections in the spectrometer
  • Ramsey experiments it is possible to perform Ramsey experiments to extract T2* for the donor electron. Longer-range information (up to ⁇
  • PELDOR Pulsed Electron-Electron Double Resonance
  • the detection limit for these bulk measurements is ⁇ 2 ⁇ 10 12 spins/cm3 which is well matched to our experiments. It was found that the conventional bulk cavity EPR systems, such as the Bruker ELEXSYS E580 pulsed EPR system, requires ⁇ 1 ⁇ 10 17 spins to yield signals that allow the spin lifetimes to be assessed. We successfully measured the quantum state of 209 Bi donors in nat-Si using this system by slicing the sample up into thin lamellae to stack them into the sample capillary to maximise the number of spins.
  • Simple device architectures may probe the electron spin state of donors implanted into the enriched 28 Si that may be located under the channel of the device.
  • the advantage of the disclosed enrichment method is that integration with CMOS device technology is very simple and can be achieved in a University laboratory and uses only small volumes of enriched material readily made with the method disclosed herein. In highly enriched 28 Si, our experiments may benefit from reduced acquisition time because the donor signals will not suffer degradation from the background 29 Si spin bath and hence will have a much higher signal to noise ratio.
  • the Electrically Detected Magnetic Resonance (EDMR) method works as follows. Weak sample illumination promotes a population of electrons into the conduction band. The magnetic field positions of the resonances of the implanted donors are obtained from the change of the source-drain current versus the external magnetic field sweep. Recombination occurs via a spin-dependent transition via Pbo defects. As for EPR, 31 P donors produce two resonances due to nuclear hyperfine splitting of the donor electron level separated by 4.2 mT. Additional resonances may be seen corresponding to exchange -coupled pairs of 31 P donors and the Pbo defect at the 28 Si/SiO 2 interface.
  • EDMR provides a complementary measurement approach alongside EPR because it provides some details about the distribution of spins relative to the surface, as well as providing more sensitivity to some surface defects when compared with conventional EPR approaches. It also provides a mechanism for identifying spins which interact with conduction electrons and those which do not, by directly monitoring the impact of their spin on the conductivity. There is the additional degree of freedom to measure a depth series on the 10's to 100's of nm scale by varying the distance between the device electrodes. These are parameters of importance for future large-scale devices.
  • Fig. 15 The architecture for the EDMR devices is shown in Fig. 15. This has been tested on a device made on nat-Si substrates that contained background 31 P doping. The EDMR spin resonance signals were obtained with a highly satisfactory signal to background ratio. It is then possible to make a new device on the enriched material implanted with 31 P and replicate the measurements provided in Fig. 13. It is further possible to use dedicated low -noise electronics for use in a Janis fridge dedicated to EDMR measurements (Fig. 16).

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

This disclosure relates to a method for manufacturing enriched silicon on a silicon substrate. The method comprises generating an ion beam of charged 28Si ions and sputtering a surface region of the silicon substrate using the ion beam. The ion beam has a fluence value that results in an enriched 28Si layer in the surface region of the silicon substrate, to create an amorphous, enriched 28Si layer in the surface region of the silicon substrate. The silicon substrate with the amorphous, enriched 28Si layer is annealed to integrate the 28Si ions into the silicon substrate.

Description

"Isotopic purification of silicon"
Technical Field
[0001] This disclosure relates to manufacturing silicon on a silicon substrate that is enriched by isotopes.
Background
[0002] Silicon is a base material for many applications, including electronic circuits and quantum computers. The performance of these applications often depends on the purity of the silicon. However, different isotopes of silicon exist naturally and some naturally occurring isotopes of silicon have a detrimental effect on the performance of silicon-based products. In particular, the most prevalent isotope 28Si is generally regarded as most useful in quantum technologies. In contrast, the second naturally most abundant isotope, 29Si, is considered an impurity, because of its non-zero nuclear spin, and attempts have been made for removing it.
[0003] These attempts include growing a silicon substrate from gas after depleting 29Si from the gas. However, this approach requires specialised instruments that are available in only small numbers globally.
[0004] Therefore, there is a need for an improved process of manufacturing enriched silicon.
[0005] Any discussion of documents, acts, materials, devices, articles or the like which has been included in the present specification is not to be taken as an admission that any or all of these matters form part of the prior art base or were common general knowledge in the field relevant to the present disclosure as it existed before the priority date of each of the appended claims.
[0006] Throughout this specification the word "comprise", or variations such as "comprises" or "comprising", will be understood to imply the inclusion of a stated element, integer or step, or group of elements, integers or steps, but not the exclusion of any other element, integer or step, or group of elements, integers or steps.
Summary
[0007] A method for manufacturing enriched silicon on a silicon substrate comprises: generating an ion beam of charged 28Si ions; sputtering a surface region of the silicon substrate using the ion beam, wherein the ion beam has a fluence value that results in an, enriched 28Si layer in the surface region of the silicon substrate, to create an amorphous, enriched 28Si layer in the surface region of the silicon substrate; annealing the silicon substrate with the amorphous, enriched 28Si layer to integrate the 28Si ions into the silicon substrate.
[0008] The ion beam may be an ion beam of negatively charged 28Si ions. The method may further comprise collimating the ion beam using a collimator comprising a silicon impact surface. The method may further comprise focussing the ion beam onto the surface region.
[0009] The method may further comprise removing contaminant isobars from the ion beam. The method may further comprise selecting the 28Si ions from a precursor ion beam. Selecting the 28Si ions may comprise applying a magnetic field to the precursor ion beam and select the 28Si ions based on a deflection indicative of a mass of the 28Si ions.
[0010] The ion beam may have an energy that results in a one-for-one sputtering. The ion beam may have an energy of any one of:
45 keV; between 25 keV and 60 keV; between 40 keV and 50 keV; between 25 keV and 40 keV; between 25 keV and 35 keV; between 30 keV and 40 keV; and between 30 keV and 35 keV.
[0011] The ion beam may have a fluence across the surface region of the silicon substrate of any one of:
2.63 ×1018 cm; at least 1015 cm-2; at least 1018 cm-2; and at least 4 ×1018 cm-2
[0012] The method may further comprise implanting one or more dopant atoms into the amorphous, enriched 28Si layer before annealing the silicon substrate.
[0013] It is an advantage that implanting dopant atoms into amorphous silicon provides better activation of the dopants and suppression of channelling compared to implanting into crystalline silicon.
[0014] The one or more dopant atoms may be implanted to create one or more qubits in the surface region.
[0015] The silicon substrate may be crystalline, and sputtering the surface region may result in an amorphous, enriched 28Si layer in the surface region.
[0016] The silicon substrate may be crystalline, and the method may comprise creating an amorphous layer in the surface region of the silicon substrate before sputtering the surface region.
[0017] The amorphous layer may be deeper than the enriched 28Si layer.
[0018] Creating the amorphous layer may comprise using the ion beam with a first ion bean energy that is greater than a second ion beam energy for sputtering the surface region. [0019] A system for manufacturing enriched silicon on a silicon substrate comprises: an ion beam source to generate a beam of charged 28Si ions; a beam former to direct the beam onto a surface region of the silicon substrate, wherein the ion beam has a fluence value that results in an, enriched 28Si layer in the surface region of the silicon substrate, to create an amorphous, enriched 28Si layer in the surface region of the silicon substrate; and a heater to anneal the silicon substrate with the amorphous, enriched 28Si layer to integrate the 28Si ions into the silicon substrate.
[0020] The ion beam source may be a focussed ion beam. The ion beam source may be configured to generate a pure 28Si ion beam, such as a negatively charged 28Si ion beam free from same-mass isomers such as CO, N2, etc.
Brief Description of Drawings
[0021] A non-limiting example will now be described with reference to the following drawings:
Fig. 1 illustrates a system for manufacturing enriched silicon on a crystalline silicon substrate.
Fig. 2 illustrates another system for manufacturing enriched silicon on a crystalline silicon substrate.
Fig. 3 illustrates a method for manufacturing enriched silicon on crystalline silicon substrate.
Fig. 4 illustrates a cross-section of the silicon substrate of Fig. 1 after exposure to the ion beam. Figs. 5illustrates TRIDYN simulations for the implantation of 28 Si ions into natural Si and shows the concentration of silicon isotopes as a function of depth after implantation of 42 keV 28 Si at a fluence of 5 ×1018 cm-2 .
Fig. 6 illustrates an amorphous Si calibration sample and 4×1018 enriched samples. Time resolved reflectivity (TRR) curves show the oscillating reflectivity due to the interference of light from a laser reflected off the surface and the advancing crystal -amorphous front during annealing.
Fig. 7a illustrates a TEM image showing a cross-section of enriched 28 Si (30 keV, 1 ×1018 cm-2 28 Si implanted high purity silicon substrate (UHPS)). An enriched surface layer of thickness : 140 nm can be seen above end of range defects. Fig. 7b is a high-resolution TEM image showing a successful repair of the crystal lattice after annealing. Fig. 8c shows the fast Fourier transform (FFT) of the enriched 28 Si region (top left dashed box in Fi. 7b). Fig. 7d shows the FFT of the nat Si region (bottom right dashed box in Fig. 7b). Fig 7 derived from “Isotopic enrichment of silicon by high fluence 28Si- ion implantation”,
D. Holmes, B.C. Johnson, C. Chua, B. Voisin, S. Kocsis, S. Rubanov, S. G. Robson, J.C. McCallum, D.R. McCamey, S. Rogge and D.N. Jamieson, Physical Review Materials 5, 014601 (2021), DOI: 10.1103/PhysRevMaterials.5.014601.
Fig. 8 illustrates depth profiles of enriched 28 Si (30 keV, 1 ×1018 cm-2 28 Si implanted UHPS). a) Isotope fractions as a function of depth. Natural abundance levels for the three isotopes are shown with dashed lines, b) Concentration of impurities 16 O and 12 C estimated from typical background levels in the substrate.
Fig. 9 illustrates a TRIDYN simulation of the implantation of 28 Si ions into nat Si showing the sputter yield as a function of implant energy at a fluence of 1 ×1017 cm-2 . Schematics of the post-implantation surface are shown in the erosion, one-for-one replacement and accumulation regimes. Fig 9 derived from “Isotopic enrichment of silicon by high fluence 28Si- ion implantation”, D. Holmes, B.C. Johnson, C. Chua, B. Voisin, S. Kocsis, S. Rubanov, S. G. Robson, J.C. McCallum, D.R. McCamey, S. Rogge and D.N. Jamieson,
Physical Review Materials 5, 014601 (2021), DOI: 10.1103/PhysRevMaterials.5.014601.
Figs. 10a/b illustrate a TRIDYN simulation of the implantation of 45 keV 28 Si ions into nat Si. The dashed lines indicate natural abundance. Fig. 10a shows the concentration of silicon isotopes as a function of depth after an implantation fluence of 5 ×1018 cm-2 . Fig. 10b shows the concentration of 29 Si and 30 Si at a depth of 20 nm below the surface as a function of implanted fluence. Lines of best fit are displayed for both isotopes. The circle symbols represent the isotope concentrations achieved and are extracted from Fig. 12.
Figs. 11 a/b illustrate experimental SIMS depth profiles for the 45 keV,
2.63 ×1018 cm-2 implanted sample. Fig. 11a illustrates the concentration of the isotopes of nat Si as a function of depth below the surface. Natural abundance is indicated with dashed lines. Fig. 11b illustrates the concentration of the impurities 12 C and 16 O as a function of depth, calibrated with typical maximum background impurity levels expected in UHPS. Dashed lines indicate the SIMS depth profiles for the non-implanted substrate. Fig 11 derived from “Isotopic enrichment of silicon by high fluence 28Si- ion implantation”, D. Holmes, B.C. Johnson, C. Chua, B. Voisin, S. Kocsis, S. Rubanov, S. G. Robson, J.C. McCallum, D.R. McCamey, S. Rogge and D.N. Jamieson, Physical Review Materials 5, 014601 (2021), DOI: 10.1103/PhysRevMaterials.5.014601.
Fig. 12 illustrates TRR curves showing the oscillating reflectivity due to the interference of a laser reflected off the surface and the advancing amorphous/crystalline (ale) interface (as shown in the inset) during SPE. The a-Si standard and the 30 keV, 4 ×1018 cm-2 implanted sample were annealed on a hotplate at 609 ° C during the measurement.
Fig. 13a and 13b illustrate experimental data for a sample depleted to 3,000 ppm 29Si. Fig. 13a: Left axis: Secondary Ion Mass Spectrometry (SIMS) depth profiles showing the isotope concentration as a function of depth below the surface, natural abundance is indicated with dashed lines. Right axis: SRIM simulation of the implanted P depth profile. Fig. 13b: Pulsed EPR measurement of the implanted P donors. The Hahn echo is fitted with an exponential decay, indicative of a 28Si substrate. The pulse sequence is shown in the top right and the upper hyperfme-split P EPR peak, collected with τ = 5 μs, is shown in the bottom left. Fig 13 derived from “Isotopic enrichment of silicon by high fluence 28Si- ion implantation”, D. Holmes, B.C. Johnson, C. Chua, B. Voisin, S. Kocsis, S. Rubanov, S. G. Robson, J.C. McCallum, D.R. McCamey, S.
Rogge and D.N. Jamieson, Physical Review Materials 5, 014601 (2021), DOI: 10.1103/PhysRevMaterials.5.014601.
Fig. 14 illustrates a schematic (non-quantitative) overview of the enhanced enrichment protocol. Showing: gate oxides if present, implanted amorphous volumes, implanted amorphous enriched volumes, implanted donor atoms and end of range regrowth defects.
Fig. 15 illustrates an Electrically Detected Magnetic Resonance (EDMR) device geometry with enrichment location.
Fig. 16 illustrates EDMR showing the control hardware used in this disclosure.
Fig. 17 illustrates spin optomechanics - an application of the disclosed method to locally enrich silicon-on-insulator for a micromechanical oscillator where strain-dependent donor quantum states can be coupled to mechanical modes. Description of Embodiments
[0022] This disclosure provides systems and methods for enrichment of silicon substrates with 28Si isotopes. It is noted here that, throughout this disclosure, enrichment of 28Si is synonymous with depletion of 29Si and other isotopes.
System
[0023] Fig. 1 illustrates a system 100 for manufacturing enriched silicon on a crystalline silicon substrate 101 using a negative ion beam, such as from a commercially available ion implantation system. System 100 comprises a chamber 102, filament (cathode) 103 and an elemental (Si) source 104, which creates a plasma 105. An ion extraction/pre-acceleration component 106 forms a negatively charged Si ion beam 117. Magnet coils 107 cause a deflection of the silicon ions 117 by generating a magnetic field that acts on the silicon ions. The degree of deflection depends on the mass of the silicon ions in the sense that heavier ions are deflected less while lighter ions are deflected more. This can be used to ‘filter’ the heavy ions similar to the process of mass spectrometry. Here, the heavier ions are the 29Si ions (and heavier isotopes) and the lighter ions are the 28Si ions. The difference in deflection causes the beam to split into a 29Si beam 108 and a 28Si beam 109. An aperture 110 is then arranged to pass the 28Si beam 109 and block the 29Si beam 108 and all other ions with a mass that is different (greater or less) to the 28Si ions. An ion acceleration column 111 accelerates the 28Si beam and magnetic quadrupole lenses 112 create a desired beam shape. Finally, electrode plates 113 direct the 28Si beam onto substrate 101. Other sources of ion beams may equally be used.
[0024] As set out above, the 28Si ions are negatively charged, which has the advantage that impurity ions with (near) identical mass, such as CO and N2, that occur positively charged are less likely to contaminate the enrichment process described herein.
[0025] Fig. 2 illustrates another example system 200 using a focussed ion beam of positively charged ions, comprising an ion source 202 to generate a stream of positively charged 28Si ions. Ion source 202 may be a tungsten needle that is wetted at the tip by a drop of liquid silicon containing 28Si and other isotopes, including 29Si. An electric field is applied to the drop 203 leading to the formation of a cusp shaped tip with an extremely small (~2nm) tip radius. This creates an extremely high electric field at this small tip (greater than 108 V), which causes ionisation and field emission of silicon ions 204. This may also dissociate the impurity molecules with similar mass, such as CO and N2 and hence remove them from the ion beam.
[0026] An aperture 205 forms an initial beam that is then focused by a focussing element 206 and further truncated by a further aperture 207. Coils 208 cause a deflection of the silicon ions 204 by generating a magnetic field that acts on the silicon ions. Again, the degree of deflection depends on the mass of the silicon ions in the sense that heavier ions are deflected less while lighter ions are deflected more. This can be used to separate the heavy ions similar to the process of mass spectrometry. Here, the heavier ions are the 29Si ions (and heavier isotopes) and the lighter ions are the 28Si ions. The difference in deflection causes the beam to split into a 29Si beam 109 and a 28Si beam 110. An aperture 211 is then arranged to pass the 28Si beam 210 and block the 29Si beam 209 and all other ions with a mass that is different (greater or less) to the 28Si ions.
[0027] The resulting beam of positively charged 28Si ions can then by straightened by a further set of coils 212 and a focussing element 213 focusses the ion beam onto a surface region 214 of the crystalline silicon substrate 101. In otherwords, the coils 212 and the focussing element 213 act as a beam former that directs the beam of negatively charged 28Si ions 210 onto the surface region 214 of the crystalline silicon substrate 101. While the focussing element 213 is advantageous to ensure that all generated ions reach the region 214, it is similarly possible to use a collimator instead. The collimator blocks ions that would otherwise hit the substrate 101 outside the region 114 that is to be sputtered. Advantageously, the collimator comprises an impact surface made of silicon to avoid the creation of impurities that may impact on surface area 114. The advantage of the positive ion beam is that the beam can be focussed onto a small surface area, which increases the flux on that area and therefore reduces the time required to achieve a sufficiently high fluence to achieve amorphisation and 28Si enrichment.
[0028] It is further noted that the layer in the surface region 114 is not crystalline (but amorphous) after the step of ion beam exposure while the remaining part of the silicon substrate remains crystalline. This is meant by the phrase of resulting in an amorphous, enriched 28Si layer in the surface region 114 of the crystalline silicon substrate 101.
[0029] The exposure of surface region 114 to the ion beam 109/210 creates an amorphous layer in area 114. At this stage, it is possible to implant dopants into the area 114, such as phosphorous donor atoms to create a physical qubit system, or for other applications. The crystalline silicon substrate 101 is then heated such that annealing occurs of the crystalline silicon substrate with the amorphous, enriched 28Si layer and optionally with the implanted dopants. This annealing step integrates the 28Si ions and dopants in the surface region 114 into the crystalline silicon substrate 101 to again form a crystal structure through the homoepitaxy process so that the surface amorphous layer produced by the enrichment process undergoes epitaxial regrowth on the natural silicon crystal substrate.
[0030] After enrichment, the crystal structure in surface region 114 comprises a depleted amount of 29Si and a enriched amount of 28Si compared to the crystalline natural silicon substrate 101 outside the surface region 114 that has not been treated with the high fluence ion beam.
[0031] Annealing may occur between 950 C and 1050 C. Other values may equally be chosen. In one example, heating may occur with a heater that may be a resistive heater to generate an electric current through substrate 101 to heat the substrate to the desired temperature where annealing occurs. Annealing may be performed after further atoms are implanted into the surface region, such as P donor atoms.
[0032] System 100 may further comprise a translation mechanism (not shown) which enables translation (movement) of the crystalline silicon substrate 101 in x-direction 221 and y-direction 222 relative to the ion beam incident in the x-direction. This means the ion beam 210 can be activated to expose surface area 114, deactivated, the substrate 101 can be moved and the ion beam 210 activated again to expose a different surface area. This way, a number of surface areas can be exposed to create a layout of an electronic circuit. For example, surface areas can be exposed to the ion beam 210 to enrich these areas with 28Si ions so to create a suitable environment for the implantation of qubits into the substrate 101.
Fluence
[0033] One parameter that can be adjusted in the system 100 is the fluence of 28Si ions by changing the generation rate of ions, exposed surface area and exposure time. Fluence is defined as the integral of the number of particles per surface area over a time period and is typically limited by the chosen ion source. Flux is the number of particles per surface area per second, so fluence is the integral of the flux.
[0034] An example fluence for implanting doping ions into silicon may be 1×109cm-2. Higher fluence values can be achieved by increasing the exposure time to the ion beam. However, typically, the aim is to minimise the exposure time to maximise throughput through the manufacturing process. So, typically, the ion beam is operated at the maximum possible flux and the exposure time is set to the minimum value to achieve implantation of the desired number of atoms (e.g., Boron concentration for a desired conductance in a p-type doped Silicon), such as 1×109cm-2. Here, however, the fluence is chosen to be significantly higher than typical as at a higher fluence, the high fluence ion beam causes amorphisation and enrichment of the silicon. This results in an amorphous, enriched 28Si layer in the surface region 114 of the crystalline silicon substrate 101.
[0035] An example fluence value where amorphisation occurs is a fluence of greater than 1×1015 cm-2. A fluence value higher than this leads to a higher enrichment. For example, a fluence of 4×1018 cm-2 can be used to achieve a high level enrichment, which means depletion of 29Si and 30Si isotopes. Higher flux leads to faster enrichment of 28Si ions and is therefore an advantage. This also explains why the focussing element 213 of focussed ion beam 200 has an advantage over a collimator because the focussing element increases the flux value for a smaller surface area 114 by focussing the ions onto that surface area 114. As a result, the time required to achieve a desired enrichment is reduced.
Beam energy
[0036] A further parameter that can be adjusted in the system 100 is the ion beam energy, which relates to a speed of the ions in ion beam 110. The ion beam energy determines the interplay of the ions with the surface when the ions hit the surface. In one example, an ion beam energy of 30 keV was selected to give a sputter yield close to 1. This results in replacement collisions maintaining the original thickness. Other ion beam energy values, such as between 20 keV and 40 keV are possible.
Method
[0037] Fig. 3 illustrates a method for manufacturing enriched silicon on crystalline silicon substrate 101. The method comprises generating 301 an ion beam of charged 28Si ions as described with reference to Figs. 1 (negatively charged) and 2 (positively charged). The method further comprises sputtering 302 surface region 114 of the crystalline silicon substrate 101 using the ion beam 109/210. The ion beam has a fluence value that results in an amorphous, enriched 28Si layer in the surface region 114 of the crystalline silicon substrate 101. Method 200 then comprises annealing 403 the crystalline silicon substrate 101 with the amorphous, enriched 28Si layer to integrate the 28Si ions into the crystalline silicon substrate 101.
[0038] Fig. 4 illustrates a cross-section of the silicon substrate 101 with surface region 114 as a result of method 300 in Fig. 3. The shading represents the concentration of 29Si isotopes. As can be seen in Fig. 4, the concentration of 29Si isotopes is relatively high throughout the substrate except within surface region 114, where the 29Si isotopes have been depleted by method 300, which also means the surface region 114 has been enriched with 28Si isotopes. Surface region 114 now provides a suitable area to implant qubits, such as a single phosphorous donor atom 401. It is noted that the transition between substrate 101 and region 114 is shown as a sharp line in Fig. 4. However, this may not be the case in a physical reality. In particular, in a vertical direction (under the region 114) the concentration of 29Si isotopes may gradually increase from a relatively low concentration of 29Si isotopes at the surface 402 to a relatively high concentration of 29Si isotopes deep within the substrate 101 indicated at 403. The proposed method may result in a layer of enriched 29Si silicon of a depth of 100 nm suitable for qubit implantation at about 20 nm beneath the surface 402. The depth of the enriched layer is a function of fluence and therefore exposure time. This may be a non-linear function that may saturate at a limit of the range of the incident ions including straggling. So a higher fluence results in a deeper layer. For example, a 10 mm × 10 mm surface area is exposed for eight hours to a fluence of 4 ×1018 cm-2. With higher fluence implanters, this time will be reduced.
Applications
[0039] While the above description provides examples related to qubits in quantum computers, it is noted that the disclosed process is useful in other areas. For example, the thermal properties of enriched 28Si may be beneficial over the thermal properties of natural silicon including heavier isotopes. As a result, heat conduction from integrated circuits can be improved, leading to reduced cooling systems and/or higher clock frequencies. In this sense, ‘hot spots’ in the circuit layout can be identified and manufactured within a layer of enriched 28Si silicon while the thermally less critical components are manufactured in natural silicon.
Experiments - 1
[0040] The implantation of 28Si into natural Si was simulated using TRIDYN software. The sputter yield as a function of beam energy for an implantation of 1 × 1017 cm-2 28Si ions was plotted in order to select the ideal experimental beam energy for one- for-one replacement collisions (which lies between 30 and 50 keV). The concentration of the Si isotopes in natural Si after irradiation with 30 keV 28Si ions was measured in order to determine an optimal implant fluence. When values are provided herein, it is understood that keV values relate to ion beam energy and cm-2 relate to fluence values.
[0041] Highly intrinsic (4 - 10 kΩ .cm), float zone natural Si (UHPS) was given a HF acid etch to remove surface oxide before being implanted at room temperature with 28 Si ions (30 keV, 4×1018 cm-2) with atilt angle of 7° to suppress channelling. This enriched sample was then given a piranha (4: 1 98% H2 SO 4 : 30% H2 O2 , 90 °C)and RCA-2 (5: 1:1 H2 O : 30% H2 O 2 : 36% HC1, 70 °C) clean. P was then implanted (30 keV, 6.5×1011 cm-2 and 10 keV, 1.5×1011 cm-2 ) into both the enriched 28 Si and a pre- amorphised (30 keV 28 Si, 1×1015 cm-2 ) natural Si (UHPS) control sample and then given an anneal at 620 °C for 394 s.
[0042] The implantation of Si into natural Si was simulated using TRIDYN software. The sputter yield as a function of implantation energy is shown in Fig. 9. An implantation energy of around 44 keV is shown to give a sputter yield of 1, which should neither erode or deposit a layer on the surface; desirable for post-fabrication of surface nanocircuitry. The resultant concentration of Si as a function of depth below the surface of Si is shown for various implant fluences of 45 keV Si in Fig, 5b. This shows a fluence of 5×1018 /cm2 achieving a depletion of Si down from its natural value of ~5% to 300 ppm. A physical experiment was conducted with an implant fluence of 2.5×1018/cm2, the discrepancy with the Tridyn simulation was expected due to the limitations of the simulation and experimental errors in the measured fluence. However, the experiment showed that the proposed method works better than the TriDyne simulation. An implantation energy of 45 keV achieves an enriched surface layer of thickness ~100 nm. This should provide a high quality quantum environment for donor qubits.
[0043] Time resolved reflectivity (TRR) curves during the annealing of a 1×1018 cm -2 and 4×1018 cm-2 control sample are shown in Fig. 6. For the high fluence implanted sample, the number of oscillations are smaller and the time period is longer than the low fluence amorphised sample indicating the thinner surface amorphous layer and the possible presence of impurities and defects slowing the progression of the crystalline- amorphous boundary. Amorphous layer depth and speed of crystal-amorphous boundary can be calculated. Raman spectroscopy (not shown) also confirmed the crystalline nature of the annealed enriched sample.
[0044] The crystal quality of the high fluence implanted, enriched layer after annealing was checked using cross-sectional TEM, shown in Fig 7. The FFT of the enriched layer indicates good crystal quality. In particular, Fig. 7a shows the enriched layer 801 and the undisturbed layer 802. The grey line indicated at 803 is the interface between enriched and undisturbed layers .
[0045] Fig. 7b is a zoomed-in version of Fig. 7a of the interface. First box 811 has FFT in Fig. 7c. Second box 812 has FFT in Fig. 7d. The bright dots in Figs. 7c and 7d show a regular pattern, which is caused by the regular crystal structure. Therefore, Figs. 7c and 7d show that the re-crystallised material is a near-perfect single crystal.
[0046] The concentration of the isotopes of Si and impurities carbon, oxygen and nitrogen were measured with SIMS and are displayed as a function of depth in Fig. 8. This shows an implantation of 45 keV 4.5 ×1018 cm-2 28Si depleted 29Si and 30Si in the surface layer of thickness 100 nm.
[0047] In conclusion, an implantation of 2.6×1018 , 45 keV, 28Si ions results in a depletion of 29 Si by a factor of 156 (i.e. from 4.65% to 300 ppm) in a surface layer of thickness 120.nm. Successful re-crystallisation of this amorphized enriched layer was achieved by annealing for 10 mins at 600 deg C, as confirmed by TRR, Raman and TEM.
Experiments - 2 [0048] In some of the following experiments, 45 keV 28 Si is selected, giving a sputter yield of approximately 1, to limit surface erosion or deposition. This results in a near planar surface, suitable for device fabrication. A fluence of 2.63 ×1018 cm-2 28 Si - ions were implanted at this energy into nat Si (natural Silicon), resulting in an isotopically enriched surface layer : 100 nm thick; suitable for providing a sufficient volume of 28 Si for donor qubits implanted into the near-surface region. We observe a depletion of 29 Si to 250 ppm, down from the natural abundance level of 4.67%, as measured by secondary ion mass spectrometry. The impurity content of the resultant enriched 28 Si layer and the crystallization kinetics via solid phase epitaxy are discussed. The quality of the single crystal 28 Si layer is measured with transmission electron microscopy. This method of isotopic enrichment of 28 Si shows promise for incorporating into the fabrication process flow of Si spin qubit devices.
[0049] The use of a negative 28 Si ion beam ensures a negligible component of the isobars CO and N2 , since these negatively charged molecular ions are electronically unstable.
[0050] In experiments disclosed herein, 45 keV 28 Si - ions were implanted at a high fluence into nat Si. The extent of 29 Si depletion and impurity levels introduced were measured using secondary ion mass spectrometry (SIMS). The concentration of impurities was sufficiently low to allow for crystallisation to take place via SPE, resulting in a single crystal layer of 28 Si, as shown by transmission electron microscopy (TEM). The crystallisation kinetics was determined using time resolved reflectivity (TRR).
[0051] The implantation of 28 Si into nat Si at normal incidence was simulated using TRIDYN, a binary collision Monte Carlo simulation package. An initial interval spacing of 5 Å was chosen to be longer than the mean free path but small enough to avoid artifacts from a coarse grid. A high statistical quality was achieved using a precision of 0.02 to keep the maximum relative change of layer areal density per projectile to less then 0.2%.
[0052] A 150 keV ion implanter, equipped with a SNICS II ion source and a 90 ° double focusing magnet, was used for all implants. Near the start of the implantation run, the 29 Si - and 30 Si - ion beams contain a significant fraction of 28 SiH - and 29 SiH - molecular ions, respectively. Therefore, the ion beam currents for 29 Si - and 30 Si - relative to the 28 Si - ion beam are initially higher than their natural abundance. 28 Si - implants were performed at room temperature and with a 7° tilt off the incident beam axis to suppress ion channeling. A Si aperture, prepared from a wafer of nat Si, was used to collimate the beam and prevent contamination from forward recoils of foreign atoms.
[0053] A vacuum of less than 1 x 10 Torr was maintained in the target chamber with a cryopump to reduce impurity incorporation from residual gas by ion bombardment. A highly intrinsic (4 - 10 k W .cm) float-zone uniform high purity nat Si (UHPS) substrate was given a degreasing clean to remove surface hydrocarbons and a HF acid etch to remove the native SiO2 , before being implanted with 45 keV 28 Si - ions to a fluence of 2.63×1018 cm-2 . A piranha (4: 1 98% H 2 SO 4 : 30% H 2 O 2 , 90 °C) and RCA-2 (5 : 1 : 1 H 2 O : 30% H 2 O 2 : 36% HC1, 70 °C) clean was then performed followed by rapid thermal anneals in an Ar atmosphere at 620 ° C for 10 min for SPE regrowth and 1000 ° C for 5 s, suitable for implanted donor activation.
[0054] The composition with depth of the 45 keV, 2.63×1018 cm-2 implanted sample after annealing was obtained with SIMS (IONTOF GmbH, TOF.SIMS 5). The Si isotopes were measured in positive polarity with a 1 keV O 2 beam used for sputtering and a 30 keV Bi + beam used for analysis. C and O impurities were measured in negative polarity with a 1 keV Cs + beam used for sputtering and a 30 keV Bi + beam used for analysis. [0055] TEM was used to determine the crystal quality of the enriched 28 Si layer in the 45 keV, 2.63 ×1018 cm-2 implanted sample after annealing. Before TEM lamella preparation, the sample was coated with a thin carbon layer ( : 20 nm). To prepare the sample, a focused ion beam (FEI, Nova Nanolab 200) was used to grow a 300 nm thick layer of Pt via electron-beam assisted deposition to protect the sample from ion damage. This was followed by a further 2.5 m m Pt layer deposited via a 30 keV Ga ion beam. A lamella was then extracted and thinned to a thickness of : 100 nm, with a final polishing step performed with a 5 keV Ga ion beam. A TEM (FEI, Tecnai TF20) was used to take high-resolution cross-sectional images in which a 200 keV electron beam was transmitted down the [110] direction through the lamella to view the atomic arrangement.
[0056] The recrystallisation kinetics were investigated with an additional nat Si (UHPS) substrate implanted with 30 keV 28 Si - ions at a fluence of 4×1018 cm-2 . This was achieved with TRR with a laser wavelength of λ = 632.8 nm during an anneal in air at 609 °C. The rate of recrystallisation was compared to an a -Si standard: n-type nat Si amorphised with a much lower fluence of 28 Si - ions with the following implantation scheme: (0.5 MeV, 3 ×1015 cm-2), then (1 MeV, 1×1015 cm-2) and finally (2 MeV, 1×1015 cm -2 ), resulting in a 2.2 μ m a-Si layer. The SPE growth rate of this a -Si standard during the initial stages of the anneal was used to calibrate the temperature of the TRR hot plate. Refractive indices of nc = 4.086 for crystalline Si and na = 4.831 for amorphous Si were used for the SPE rate calculation.
[0057] TRIDYN simulations were used to determine the sputter yield as a function of implantation energy for an implantation of 1×1017 cm -2 28 Si ions at normal incidence, as shown in Fig. 9. An energy of < 3 keV results in the deposition of 28 Si onto the Si surface. If the sputter yield is greater than 1, the surface layer may be eroded faster than it is isotopically enriched, resulting in a thin 28 Si surface layer with reduced enrichment. 28 Si ions with energies > 45 keV are implanted deeper below the surface and sputtering is suppressed, resulting in accumulation. This is desirable for producing a thick layer of 28 Si with a high level of enrichment, however, the surface may not be planar. A sputter yield of 1 is achieved at energies around 3 keV and 45 keV, both of which result in a planar surface; desirable for surface nanocircuitry fabrication. 45 keV was selected in order to produce a 28 Si surface layer thicker than the qubit target depth of : 20 nm in the one-for-one replacement regime and to optimize the transmission of the ion beam through the implanter. The sputter yield is independent of angle of incidence for angles below 10° for self-implanted Si and so the TRIDYN simulations performed here at normal incidence are applicable for our experimental implants performed with a 7° tilt.
[0058] The depth profile of Si isotopes in nat Si after the simulated implantation of 45 keV 28 Si ions at a fluence of 5 ×1018 cm-2 is shown in Fig. 10a. This shows that an isotopically enriched surface layer : 100 nm thick is created. The resultant concentrations of 29 Si and 30 Si at a depth of 20 nm below the surface as a function of fluence of 45 keV 28 Si ions is shown in Fig. 10b. This shows the trend of an increased isotopic purity resulting from an increased implant fluence. The isotope concentrations at a depth of 20 nm realised in this work with an implantation of 28 Si - ions (45 keV, 2.63 ×1018 cm-2 ) as discussed below are indicated with star symbols in Fig. 10b.
[0059] The concentration of the Si isotopes and the impurities 12 C and 16 O in the 45 keV, 2.63 ×1018 cm-2 implanted sample after annealing were measured with SIMS and are displayed as a function of depth below the surface in Fig. 11. Fig. 11a shows the high fluence 28 Si implantation depleted 29 Si and 30 Si to concentrations of around 250 ppm and 160 ppm, respectively, in a surface layer of thickness : 100 nm. The shape of the isotope concentration profiles agree well with the TRIDYN simulation shown in Fig. 10a. A higher level of enrichment was achieved experimentally than predicted by TRIDYN, as shown by the star symbols in Fig. 10b. This could be due to the experimental sputter yield being slightly less than 1, leading to the accumulation of a thicker isotopically enriched layer, as evidenced by the depth where the isotope concentrations reach natural abundance: : 180 nm for the TRIDYN simulation (Fig. 10a) and : 220 nm for the experimental measurement (Fig. 11a). This accumulation was shown to result in lower 29 Si and 30 Si concentrations, demonstrated by TRIDYN simulations implanting > 45 keV Si (not shown). The discrepancy in sputter yield, sensitive to the target surface binding energy, could be due to the impurity content of the substrate . A smaller contribution could come from the uncertainty in the experimental implantation fluence. The residual 29 Si concentration achieved here is around 3 times lower than that found in a commercially-produced 28 Si wafer (Isonics) which, with 800 ppm 29 Si, resulting in increased coherence times of implanted donors.
[0060] Fig. 4b shows the concentrations of 12 C and 16 O are increased above the background levels to around 1×1017 cm-3 for C and 3 ×1017 cm-3 for O by the process of high fluence implantation of 28 Si ions and subsequent annealing. The concentrations of these impurities were calibrated by assuming that the background levels at a depth of : 300 nm, which match for the implanted and non-implanted regions, were 5 ×1015 cm-3 ; the maximum expected background contamination for UHPS quoted by the supplier. An increase in impurity levels, significantly above the background level in the surface : 30 nm for C and surface : 20 nm for O, is present in the non-implanted substrate. This accounts for some of the near-surface impurity content in the implanted region.
[0061] If native SiO 2 , typically : 2 nm thick, was present, the majority of this would be sputtered away during the high fluence implant, resulting in negligible O contamination from this source. The Si aperture reduced forward recoils of impurities, with no trace of heavy metals detected with high-resolution Rutherford backscattering spectrometry (not shown). The majority of the C and O contamination is proposed to be incorporated into the implanted layer from the imperfect vacuum, as seen before with high fluence implantation in a cryopumped target chamber. These levels of contamination are comparable to those present in Czochralski-grown Si (
4 ×1017 - 2 ×1018 cm-3 for O and 2 ×1016 - 4 ×1017 cm-3 for C) and indeed are shown to be low enough to allow for the successful recrystallisation of the enriched layer by SPE. A peak in the concentration of C and O impurities occurs at around 190 nm below the surface of Si. This depth is significantly shallower than the depth of the end of range defects ( : 290 nm) visible with TEM as a dark band 803 of dislocation loops, as shown in Fig. 7a. The peak in impurity concentration at an intermediate depth between the surface and the end of range could be explained by open volume defects, invisible to TEM, in this region of vacancy excess behaving as gettering centres during annealing. Additionally, Fig. 1 lb shows preferential diffusion of C and O towards the surface, known to be a vacancy-rich region after ion implantation.
[0062] TRR curves collected during the annealing of the a -Si standard and the 30 keV, 4 ×1018 cm-2 implanted sample are shown in Fig. 12. During annealing, the amplitude envelope of the reflectivity oscillations increases due to the laser absorption in the a-Si layer of diminishing thickness. Each reflectivity oscillation corresponds to a distance of λ/2na that the ale interface has progressed. The 30 keV, 4×1018 cm-2 implanted sample displays fewer oscillations due to the thinner a -Si layer. SPE is a thermally activated process and the intrinsic SPE rate is described by the Arrhenius relationship: vi = v0 exp(EA /kBT)(1) with EA = 2.70 eV and v0 = 4.64×1016 Å/s [?]. The SPE rate of the a -Si standard was calculated from the TRR curve to be 17.3 Å/s, whereas that of the 30 keV, 4 ×1018 cm -2 implanted sample was 8.9 Å/s. This SPE rate is lower due to the increased level of impurities and open-volume defects introduced by the high fluence implantation which slows the progression of the ale interface.
[0063] The crystal quality of the 45 keV, 2.63 ×1018 cm-2 implanted sample after annealing was determined using cross-sectional TEM, shown in Fig. 7. End of range defects, visible as a dark band : 290 nm below the surface in Fig. 7a, indicate the location of the ale interface before annealing. The a -Si layer is extended to greater depths during continued ion bombardment above the Si amorphisation threshold (typically around 1×1015 cm-2 for keV Si ions ). The excess of interstitials at the end of range produced during ion implantation can evolve into dislocation loops during SPE regrowth of the a-Si layer . These dislocation loops are stable up to temperatures of 1100 °C, whereby they release self-interstitials into the surrounding substrate. This could cause undesired transient-enhanced diffusion of implanted phosphorus donor qubits in this enriched layer and so lower annealing temperatures, well suited for SPE, are preferred.
[0064] Fast Fourier transforms (FFTs) of regions of the TEM image in Fig. 7b were taken to give diffraction patterns indicating the crystal structure of the lamella. The diffraction pattern for the implanted region, shown in Fig. 7c, indicates good crystal quality and matches that of the non-implanted c-Si substrate beneath, shown in Fig. 6d. This shows the success of the recrystallisation during post-implantation annealing. The contamination level introduced during the high fluence implantation is therefore low enough to avoid the formation of a polycrystalline 28 Si layer, which would contain undesirable charge traps and dangling bonds at grain boundaries. Therefore, we expect that the single crystal of isotopically enriched 28 Si will provide an ideal environment for implanted donor qubits, with high electrical activation and long coherence times.
[0065] In conclusion, a high fluence implantation of 28 Si - ions (45 keV, 2.63 ×1018 cm-2 ) into nat Si results in a depletion of 29 Si down to 250 ppm in a surface layer of thickness : 100 nm, as measured with SIMS. The drastically reduced concentration of 29 Si spin- 1/2 nuclei in this isotopically enriched layer should further extend the coherence time of implanted donors beyond that achieved with commercial Isonics epilayers. Care was taken to limit the level of contamination introduced during the high fluence implantation and concentrations were found to be below 1 ×1017 cm-3 for C and 3×1017 cm-3 for O, comparable to those in Czochralski-grown Si. The levels of contamination are low enough to allow for successful recrystallisation via SPE of this isotopically enriched a -Si layer, achieved by annealing at 620 ° C for 10 min, despite the reduced SPE rate. The quality of the single crystal surface layer of isotopically enriched 28 Si was shown to be equivalent to the non-implanted region of the c -Si substrate using high-resolution cross-sectional TEM, in which the end of range defects were still visible after annealing. This work shows the high fluence implantation of 45 keV 28 Si ions as an effective method for isotopic enrichment which could be incorporated in-situ into the fabrication of ion implanted donor spins in 28 Si for quantum devices with increased coherence times.
Additional example
[0066] An additional sample, with depletion down to 3,000 ppm (Fig. 13a), was implanted with 3 IP donors into the enriched layer, annealed to activate the donors, then measured with a flip-chip EPR system. Although this sample had a high density of 3 IP donors so that donor-donor interactions limited the donor electron spin lifetime, nevertheless we obtained an excellent T2-Hahn electron coherence time of 285 μs.
Also, the signal amplitude as a function of time showed a single component exponential decay without the need for a third order term imposed by residual 29Si (Fig.13).
Further experiments - Part 1
[0067] This part of the disclosure provides an enhanced enrichment protocol as illustrated in Fig. 14. Showing: gate oxides 1401 if present, implanted amorphous volumes 1402, implanted amorphous enriched volumes 1403, implanted donor atoms 1404 and end of range regrowth defects 1405 in a substrate 1406.
[0068] With this enhanced protocol the aim is to exploit the fact that enrichment may only be necessary in small volumes surrounding the donor qubits. An entire wafer of 28Si is not necessary. This is the “localised enrichment” concept which effectively depletes 29Si from the neighbourhood of the donor atoms. Owing to the short-range of the magnetic interaction, 29Si displacements of greater than ~20 nm from the donors are adequate. In summary, the enhanced protocol offers the following advantages: efficient localised enrichment which can be done within minutes or seconds of irradiation with a focused 28Si ion beam; localisation of end-of-range growth defects 1405 deep below the enriched volumes; suppression of lateral homoepitaxial regrowth that could compete with longitudinal regrowth and hence create growth defects. The enhanced enrichment protocol is proposed as follows.
[0069] Step 1 : perform a deep 28Si implant above the silicon amorphization threshold ~1×1014 /cm2.
[0070] Step 2: Use a focused 28Si ion beam to enrich localised volumes that ultimately will receive donor atoms.
[0071] Step 3: Implant localised donor atoms into the amorphous enriched volumes and perform a second 28Si implant to amorphize the volume between the enriched volumes.
[0072] Step 4: Perform a thermal annealing step to allow homoepitaxial regrowth of the entire amorphous volume from the surrounding single crystal substrate and restore surface oxide (if required). It is an aim of the present proposal to refine this protocol with reference to the lifetime measurements of the implanted donor spins.
[0073] Step 2 may use a broad 28Si beam to create a large area of enriched material for bulk EPR measurements to address optimisation of the annealing strategy and suppression of the near-surface end of range defects as a result of Step 1. The use of bulk EPR measurements enables rapid feedback on the quality of our material before the process moves to Part 2. The samples of 28Si will be implanted with Group-V spin donors (nuclear spin) 31P (/ = 1/2), 123Sb (/ = 7/2) or 209Bi (/ = 9/2) which consist of an electron spin, s = 1/2, coupled to the nuclear spin of the respective dopant by the hyperfme interactions. EPR, at low temperature is sensitive to unpaired electron spins and measures magnetic parameters such as the g-factor, hyperfine -coupling constants, A. or nuclear quadrupole moments, Qn, which are characteristic of the electron wavefunction and the local environment. EPR is also sensitive to the neighbouring electron spins if present. The EPR spectra of samples implanted with 31P will show two resonance signals, one for each nuclear-spin projection ±1/2, while those of samples implanted with 123Sb or 209Bi will display an eight- and ten-line pattern due to coupling of the electron to the nuclear spins.
[0074] Pulsed EPR may be used to measure the spin relaxation time T1 and the decoherence time T2, as well as to probe the controlled preparation of quantum states (via Rabi oscillations) and demonstrate nuclear spin manipulations using pulsed electron-nuclear double resonance (ENDOR). Electron spin relaxation time ( T1) of spin impurities can be measured with an inversion recovery pulse sequence (π - T - π/2 - 1 - π - t-echo, where T is varied), and monitored as a function of temperature to obtain information on spin relaxation mechanisms (i.e. Orbach, Raman).
[0075] T2 can be measured with a Hahn pulse sequence (π/2 - t - π - t-echo, with varied t). Using a Carr-Purcell-Meiboom-Gill (CPMG) sequence, which employ a large number of refocusing pulses, sources of decoherence (e.g. coupling to residual 29Si present; instrumental imperfections in the spectrometer) could be dynamically decoupled and thus longer coherence times could be measured and compared to assess the environment of the donor spins. In addition, it is possible to perform Ramsey experiments to extract T2* for the donor electron. Longer-range information (up to ~
15 nm) may be gained from Pulsed Electron-Electron Double Resonance (PELDOR) for measuring interactions between spin qubits, while smaller hyperfme interactions may be measured via HYSCORE (hyperfme sublevel correlation; a 2D technique).
[0076] The detection limit for these bulk measurements is ~2×1012 spins/cm3 which is well matched to our experiments. It was found that the conventional bulk cavity EPR systems, such as the Bruker ELEXSYS E580 pulsed EPR system, requires ~1×1017 spins to yield signals that allow the spin lifetimes to be assessed. We successfully measured the quantum state of 209Bi donors in nat-Si using this system by slicing the sample up into thin lamellae to stack them into the sample capillary to maximise the number of spins.
Further Experiments - Part 2 [0077] One aim is to employ the highly enriched material as a platform for quantum devices that exploit the properties of donor spins. Therefore, an important part of the disclosure is to introduce an efficient and effective method for probing the quantum state of donors incorporated into the enriched material in an actual device.
[0078] Simple device architectures may probe the electron spin state of donors implanted into the enriched 28Si that may be located under the channel of the device. The advantage of the disclosed enrichment method is that integration with CMOS device technology is very simple and can be achieved in a University laboratory and uses only small volumes of enriched material readily made with the method disclosed herein. In highly enriched 28Si, our experiments may benefit from reduced acquisition time because the donor signals will not suffer degradation from the background 29Si spin bath and hence will have a much higher signal to noise ratio.
[0079] Briefly, the Electrically Detected Magnetic Resonance (EDMR) method works as follows. Weak sample illumination promotes a population of electrons into the conduction band. The magnetic field positions of the resonances of the implanted donors are obtained from the change of the source-drain current versus the external magnetic field sweep. Recombination occurs via a spin-dependent transition via Pbo defects. As for EPR, 31P donors produce two resonances due to nuclear hyperfine splitting of the donor electron level separated by 4.2 mT. Additional resonances may be seen corresponding to exchange -coupled pairs of 31P donors and the Pbo defect at the 28Si/SiO2 interface. In some devices resonances readily identifiable as arising from nat- As dopants that diffused into the sensitive volume of the device from the electrical contacts may also occur. The position and linewidths of the 31P resonances provide a measure of the decoherence mechanisms and hence the enrichment. EDMR provides a complementary measurement approach alongside EPR because it provides some details about the distribution of spins relative to the surface, as well as providing more sensitivity to some surface defects when compared with conventional EPR approaches. It also provides a mechanism for identifying spins which interact with conduction electrons and those which do not, by directly monitoring the impact of their spin on the conductivity. There is the additional degree of freedom to measure a depth series on the 10's to 100's of nm scale by varying the distance between the device electrodes. These are parameters of importance for future large-scale devices.
[0080] The architecture for the EDMR devices is shown in Fig. 15. This has been tested on a device made on nat-Si substrates that contained background 31P doping. The EDMR spin resonance signals were obtained with a highly satisfactory signal to background ratio. It is then possible to make a new device on the enriched material implanted with 31P and replicate the measurements provided in Fig. 13. It is further possible to use dedicated low -noise electronics for use in a Janis fridge dedicated to EDMR measurements (Fig. 16).
Further experiments - Part 3:
[0081] For an enriched sample after Step 2 in Fig. 14 before any annealing step, deterministic doping is investigated. Charge diffusion away from implantation defects enhances signals induced by charge drift from internal and applied electric fields. This hypothesis may be tested by implanting donor ions into the amorphous enriched volumes and measuring the charge induced at the electrodes so it can signal the implant event. Charge diffusion out of the amorphous volume into the surrounding E-fields induced by the electrodes can induce signals used for deterministic doping allowing a specific number of donors to be counted into each site needed for a large-scale device.
[0082] The capability of the Manchester P-NAME implanter may be exploited to provide a separated isotope beam of nuclear spin-zero 70Ge. This produces a volume of enriched 70Ge in 28Si substrates that may be annealed to fabricate novel enriched spin- zero SiGe devices with promising applications.
[0083] Architectures for large-scale silicon quantum devices call for qubit interaction pathways on the chip for the exchange of electrons. The P-NAME implanter is equipped with an ion beam lithography system than can be used to direct-write pathways of 28Si into nat-Si substrates. The construction of 28Si pathways co-implanted with donors can be investigated by EPR and EDMR. [0084] Further, a silicon-on-insulator (Sol) substrate could also be enriched using the method disclosed herein for providing a near spin-free environment for the donors on inexpensive Sol substrates which we selectively enrich in the donor locations. Obtaining specially made 28Si Sol wafers would be prohibitively costly and require years of development.
Results
[0085] Below are provided further results from experiments using the Enhanced Enrichment Strategy.
Figure imgf000030_0001
[0086] In some examples, commercial implanters are used with current about 10,000 times larger ~20 mA (i.e. it takes 10,000 less time to do the enrichment implant)
[0087] It will be appreciated by persons skilled in the art that numerous variations and/or modifications may be made to the above-described embodiments, without departing from the broad general scope of the present disclosure. The present embodiments are, therefore, to be considered in all respects as illustrative and not restrictive.

Claims

CLAIMS:
1. A method for manufacturing enriched silicon on a silicon substrate, the method comprising: generating an ion beam of charged 28Si ions; sputtering a surface region of the silicon substrate using the ion beam, wherein the ion beam has a fluence value that results in an enriched 28Si layer in the surface region of the silicon substrate, to create an amorphous, enriched 28Si layer in the surface region of the silicon substrate; annealing the silicon substrate with the amorphous, enriched 28Si layer to integrate the 28Si ions into the silicon substrate.
2. The method of claim 1, wherein the ion beam is an ion beam of negatively charged 28 Si ions.
3. The method of claim 1 or 2, further comprising collimating the ion beam using a collimator comprising a silicon impact surface.
4. The method of any one of the preceding claims, further comprising focussing the ion beam onto the surface region.
5. The method of any one of the preceding claims, wherein the method further comprises removing contaminant isobars from the ion beam.
6. The method of any one of the preceding claims, further comprising selecting the 28Si ions from a precursor ion beam.
7. The method of claim 6, wherein selecting the 28Si ions comprises applying a magnetic field to the precursor ion beam and select the 28Si ions based on a deflection indicative of a mass of the 28Si ions.
8. The method of any one of the preceding claims, wherein the ion beam has an energy that results in a one-for-one sputtering.
9. The method of claim 8, wherein the ion beam has an energy of any one of:
45 keV; between 25 keV and 60 keV; between 40 keV and 50 keV; between 25 keV and 40 keV; between 25 keV and 35 keV; between 30 keV and 40 keV; and between 30 keV and 35 keV.
10. The method of any one of the preceding claims, wherein the ion beam has a fluence across the surface region of the silicon substrate of any one of:
2.63 ×1018 cm; at least 1015 cm-2; at least 1018 cm-2; and at least 4 ×10 18 cm-2
11. The method of any one of the preceding claims, wherein the method further comprises implanting one or more dopant atoms into the amorphous, enriched 28Si layer before annealing the silicon substrate.
12. The method of claim 11, wherein the one or more dopant atoms are implanted to create one or more qubits in the surface region.
13. The method of any one of the preceding claims, wherein the silicon substrate is crystalline, and sputtering the surface region results in an amorphous, enriched 28Si layer in the surface region.
13. The method of any one of the preceding claims, wherein the silicon substrate is crystalline, and the method comprises creating an amorphous layer in the surface region of the silicon substrate before sputtering the surface region.
14. The method of claim 13, wherein the amorphous layer is deeper than the enriched 28Si layer.
15. The method of claim 14, wherein creating the amorphous layer comprises using the ion beam with a first ion bean energy that is greater than a second ion beam energy for sputtering the surface region.
16. A system for manufacturing enriched silicon on a silicon substrate, the system comprising: an ion beam source to generate a beam of charged 28Si ions; a beam former to direct the beam onto a surface region of the silicon substrate, wherein the ion beam has a fluence value that results in an enriched 28Si layer in the surface region of the silicon substrate, to create an amorphous, enriched 28Si layer in the surface region of the silicon substrate; and a heater to anneal the silicon substrate with the amorphous, enriched 28Si layer to integrate the 28Si ions into the silicon substrate.
14. The system of claim 13, wherein the ion beam source is a focussed ion beam.
15. The system of claim 13, wherein the ion beam source is configured to generate a negatively charged 28Si ion beam.
PCT/AU2021/050599 2020-06-11 2021-06-11 Isotopic purification of silicon WO2021248204A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
AU2020901925A AU2020901925A0 (en) 2020-06-11 Isotopic purification of silicon
AU2020901925 2020-06-11
AU2020902771 2020-08-06
AU2020902771A AU2020902771A0 (en) 2020-08-06 Isotopic purification of silicon

Publications (1)

Publication Number Publication Date
WO2021248204A1 true WO2021248204A1 (en) 2021-12-16

Family

ID=78846866

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/AU2021/050599 WO2021248204A1 (en) 2020-06-11 2021-06-11 Isotopic purification of silicon

Country Status (1)

Country Link
WO (1) WO2021248204A1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992004731A1 (en) * 1990-09-05 1992-03-19 Yale University Isotopically enriched semiconductor devices
US20040171226A1 (en) * 2001-07-05 2004-09-02 Burden Stephen J. Isotopically pure silicon-on-insulator wafers and method of making same
US20070269966A1 (en) * 2006-05-16 2007-11-22 Cree, Inc. Methods and apparatus for fabricating semiconductor devices having reduced implant contamination and related devices
WO2014190087A1 (en) * 2013-05-21 2014-11-27 Advanced Technology Materials, Inc. Enriched silicon precursor compositions and apparatus and processes for utilizing same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992004731A1 (en) * 1990-09-05 1992-03-19 Yale University Isotopically enriched semiconductor devices
US20040171226A1 (en) * 2001-07-05 2004-09-02 Burden Stephen J. Isotopically pure silicon-on-insulator wafers and method of making same
US20070269966A1 (en) * 2006-05-16 2007-11-22 Cree, Inc. Methods and apparatus for fabricating semiconductor devices having reduced implant contamination and related devices
WO2014190087A1 (en) * 2013-05-21 2014-11-27 Advanced Technology Materials, Inc. Enriched silicon precursor compositions and apparatus and processes for utilizing same

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
DWYER, K. J. ET AL.: "Enriching 28Si beyond 99.9998% for semiconductor quantum computing", JOURNAL OF PHYSICS D: APPLIED PHYSICS, vol. 47, 5 August 2014 (2014-08-05), pages 345105, XP020268636 *
FIEDLER, HOLGER ET AL.: "28Si+ ion beams from Penning ion source based implanter systems for near-surface isotopic purification of silicon", REVIEW OF SCIENTIFIC INSTRUMENTS, vol. 89, 26 December 2018 (2018-12-26), pages 123305, XP012234295, DOI: 10.1063/1.5048949 *
HOLMES, D. ET AL.: "Isotopic enrichment of silicon by high fluence 28Si-ion implantation", PHYSICS REVIEW MATERIALS, vol. 5, 8 January 2021 (2021-01-08), XP055884966 *
LO, CHEUK CHI ET AL.: "Device fabrication and transport measurements of FinFETs built with 28Si SOI wafers toward donor qubits in silicon", SEMICONDUCTOR SCIENCE AND TECHNOLOGY, vol. 24, 22 September 2009 (2009-09-22), pages 105022, XP020164026 *
MUHONEN, JUHA T. ET AL.: "Storing quantum information for 30 seconds in a nanoelectronic device", NATURE NANOTECHNOLOGY, vol. 9, 12 October 2014 (2014-10-12), pages 986 - 991, XP055884963 *
TANG, K. ET AL.: "A compact, ultra-high vacuum ion source for isotopically enriching and depositing 28Si thin films", REVIEW OF SCIENTIFIC INSTRUMENTS, vol. 90, 22 August 2019 (2019-08-22), XP012240083, DOI: 10.1063/1.5097937 *

Similar Documents

Publication Publication Date Title
US7745803B2 (en) Ion doping apparatus, ion doping method, semiconductor device and method of fabricating semiconductor device
Hallén et al. Recent advances in the doping of 4H-SiC by channeled ion implantation
Holmes et al. Isotopic enrichment of silicon by high fluence 28 Si− ion implantation
US7332030B2 (en) Method of treating a part in order to alter at least one of the properties thereof
WO2021248204A1 (en) Isotopic purification of silicon
KR20200044930A (en) Method for manufacturing epitaxial silicon wafer, method for manufacturing epitaxial silicon wafer, and solid-state imaging device
Allen et al. Models of silicon growth and dopant incorporation
JP2001064094A (en) Production of semiconductor diamond
Amorim Lattice site location of electrical dopant impurities in group-III nitrides
Bennett Nitride semiconductors studied by atom probe tomography and correlative techniques
Kuri et al. Comparative study of MeV C+ and C 2+ ion implantation in GaAs (100): Surface roughness and evaluation of lattice strain
Demenev Evolution of Arsenic nanometric distributions in Silicon under advanced ion implantation and annealing processes
Enoksen Diffusjon av potensielle p-type dopantar i monokrystallinsk ZnO
Herbots et al. Semiconductor‐based heterostructure formation using low energy ion beams: Ion beam deposition (IBD) & combined ion and molecular beam deposition (CIMD)
Zehner Chapter Surface Studies of Pulsed Laser Irradiated Semiconductors
RU2331136C9 (en) METHOD FOR р-n JUNCTIONS FORMING IN SILICON
Mikšová et al. Study of thermal recrystallisation in Si implanted by 0.4‐MeV heavy ions
Silvestri Diffusion in silicon isotope heterostructures
Lugstein et al. Impact of fluence-rate related effects on the sputtering of silicon at elevated target temperatures
Douglas Atom probe tomography analysis of near surface, low concentration impurities in single crystal silicon
Kamil Ion beam synthesis of AlxGa [1-x] As
Arduca EX SITU DOPING OF SILICON NANOSTRUCTURES
JP2024094041A (en) Method for generating cluster ion beam and method for implanting cluster ions
Jones et al. Redistribution of Nickel Ions Embedded within Indium Phosphide Via Low Energy Dual Ion Implantations
Jo et al. Modification of silicon optical properties by 250 keV electron irradiation

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21820829

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 21820829

Country of ref document: EP

Kind code of ref document: A1