WO2021196758A1 - Semiconductor device and fabrication method therefor - Google Patents

Semiconductor device and fabrication method therefor Download PDF

Info

Publication number
WO2021196758A1
WO2021196758A1 PCT/CN2020/137865 CN2020137865W WO2021196758A1 WO 2021196758 A1 WO2021196758 A1 WO 2021196758A1 CN 2020137865 W CN2020137865 W CN 2020137865W WO 2021196758 A1 WO2021196758 A1 WO 2021196758A1
Authority
WO
WIPO (PCT)
Prior art keywords
groove
semiconductor substrate
conductive material
dielectric layer
manufacturing
Prior art date
Application number
PCT/CN2020/137865
Other languages
French (fr)
Chinese (zh)
Inventor
许超奇
陈淑娴
林峰
马春霞
Original Assignee
无锡华润上华科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 无锡华润上华科技有限公司 filed Critical 无锡华润上华科技有限公司
Publication of WO2021196758A1 publication Critical patent/WO2021196758A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors

Definitions

  • LDMOS Lateral Double Diffused MOSFET
  • a conductive material is filled in the groove to form an isolation structure composed of the dielectric layer and the conductive material in the semiconductor substrate.
  • the isolation structure includes a conductive material filling the groove and a dielectric layer between the conductive material and the sidewall of the groove.
  • the present application provides a manufacturing method of a semiconductor device. As shown in FIG. 1, the manufacturing method includes:
  • Step S101 Provide a semiconductor substrate, a well region is formed in the semiconductor substrate, and a mask layer is formed on the semiconductor substrate.
  • Step S104 forming an implantation area in the semiconductor substrate at the bottom of the groove. as well as
  • Step S105 Fill the groove with a conductive material to form an isolation structure composed of the dielectric layer and the conductive material in the semiconductor substrate.
  • a mask layer 202 is formed on the semiconductor substrate 200.
  • a heat treatment process is performed to form a dielectric layer film 204' on the mask layer 202 and the inner wall of the groove 203.
  • the cross-sectional view of the semiconductor device is shown in FIG. 2B.
  • the furnace tube grows a conductive material film 206', the conductive material film 206' covers the mask layer 202, and the conductive material film 206' is filled in the groove 203, the The upper surface of the conductive material film 206' is higher than the upper surface of the mask layer 202.
  • the cross-sectional view of the semiconductor device is shown in FIG. 2E.
  • the polysilicon in the groove 203 can also become a longitudinal field plate, so as to increase the average electric field in the longitudinal drift region and reduce the peak value of the electric field, thereby achieving the purpose of suppressing the hot carrier effect and increasing the breakdown voltage, and further improving the performance of the LDMOS. performance.
  • ordinary furnace tubes are used to form the dielectric layer 204 and the conductive material 206, and there are no voids in the dielectric layer 204 and the conductive material 206, that is, the preparation method of the present application is used to obtain a better deep groove only by using ordinary furnace tubes. Filling effect.
  • the mask layer 202 and a part of the dielectric layer 204 may be removed by at least one etching process of a dry etching process, a wet etching process, and a grinding process.
  • the dry etching process includes, but is not limited to: a reactive ion etching (RIE) process, an ion beam etching process, a plasma etching process, a laser ablation process, or any combination of these etching processes.
  • RIE reactive ion etching
  • a single etching process can be used to form the isolation structure composed of the dielectric layer 204 and the conductive material 206 in the semiconductor substrate 200, or more than one etching process can be used to form the isolation structure composed of the dielectric layer 204 and the conductive material in the semiconductor substrate 200.
  • the etching gas of the dry etching process may include HBr and/or CF4 gas.
  • the mask layer 202, part of the conductive material film 206' and part of the dielectric layer 204 on the semiconductor substrate 200 can be removed by chemical mechanical polishing (CMP) to expose all The semiconductor substrate 200 and the isolation structure.
  • CMP chemical mechanical polishing
  • An implantation area 205, the implantation area 205 is located in the semiconductor substrate 200 at the bottom of the groove;
  • the isolation structure includes a conductive material 206 filling the groove and a dielectric layer 204 between the conductive material 206 and the sidewall of the groove.
  • an isolation structure is formed in the groove.
  • the isolation structure includes a conductive material 206 filling the groove and a dielectric layer 204 between the conductive material 206 and the sidewall of the groove.

Abstract

A semiconductor device and a fabrication method therefor. The method comprises: providing a semiconductor substrate (200), a well region (201) being formed in the semiconductor substrate (200), and a mask layer (202) being formed on the semiconductor substrate (200); etching the mask layer (202) and the semiconductor substrate (200), so as to form a groove (203) that surrounds the well region (201); forming a dielectric layer (204) on a sidewall of the groove (203); forming an injection region (205) in the semiconductor substrate (200) at the bottom portion of the groove (203); and filling a conductive material (206) within the groove (203), so as to form in the semiconductor substrate (200) an isolation structure composed of the dielectric layer (204) and the conductive material (206).

Description

半导体器件及其制作方法Semiconductor device and manufacturing method thereof
相关申请的交叉引用Cross-references to related applications
本申请要求于2020年04月03日提交中国专利局、申请号为2020102606026、发明名称为“一种半导体器件及其制作方法”的中国专利申请的优先权,其全部内容通过引用结合在本申请中。This application claims the priority of a Chinese patent application filed with the Chinese Patent Office on April 3, 2020, the application number is 2020102606026, and the invention title is "a semiconductor device and its manufacturing method", the entire content of which is incorporated into this application by reference middle.
技术领域Technical field
本申请涉及半导体技术领域,具体而言涉及一种半导体器件及其制作方法。This application relates to the field of semiconductor technology, in particular to a semiconductor device and a manufacturing method thereof.
背景技术Background technique
这里的陈述仅提供与本申请有关的背景信息,而不必然地构成现有技术。The statements here only provide background information related to this application, and do not necessarily constitute prior art.
随着半导体技术的不断发展,横向双扩散金属氧化物半导体场效应晶体管(Lateral Double Diffused MOSFET,LDMOS)器件由于其具有良好的短沟道特性而被广泛的应用。LDMOS作为一种功率开关器件,具有工作电压相对较高、工艺简易,易于同低压CMOS电路在工艺上兼容等特点。With the continuous development of semiconductor technology, Lateral Double Diffused MOSFET (LDMOS) devices are widely used due to their good short channel characteristics. As a power switch device, LDMOS has the characteristics of relatively high working voltage, simple process, and easy process compatibility with low-voltage CMOS circuits.
在传统的LDMOS器件中,隔离环通过PN结将LDMOS与衬底隔开。此种方式受注入条件和隔离端(ISO)的尺寸影响,横向或纵向击穿电压(BV)和穿通(Punch)受限,使得隔离环成为LDMOS击穿电压受限的主要原因之一。同时,若PN结隔离的方式要求较大的耐压,往往要将隔离端和衬底端(p-sub)的尺寸放大,例如一般传统30V的PN结隔离环就需要6um宽度的隔离环,使得整个LDMOS的面积很大。In traditional LDMOS devices, the isolation ring separates the LDMOS from the substrate through a PN junction. This method is affected by the injection conditions and the size of the isolation terminal (ISO), and the lateral or vertical breakdown voltage (BV) and punch-through (Punch) are limited, making the isolation ring one of the main reasons for the limited breakdown voltage of LDMOS. At the same time, if the PN junction isolation method requires a larger withstand voltage, the size of the isolation end and the substrate end (p-sub) is often enlarged. For example, a traditional 30V PN junction isolation ring requires an isolation ring with a width of 6um. Make the area of the whole LDMOS very large.
因此,有必要提出一种新的半导体器件及其制作方法,以解决上述问题。Therefore, it is necessary to propose a new semiconductor device and a manufacturing method thereof to solve the above-mentioned problems.
发明内容Summary of the invention
根据本申请的各种实施例,提供一种半导体器件及其制作方法。According to various embodiments of the present application, a semiconductor device and a manufacturing method thereof are provided.
一种半导体器件的制作方法,包括:A method for manufacturing a semiconductor device includes:
提供半导体衬底,所述半导体衬底中形成有阱区,所述半导体衬底上形成有掩膜层;Providing a semiconductor substrate, a well region is formed in the semiconductor substrate, and a mask layer is formed on the semiconductor substrate;
蚀刻所述掩膜层和所述半导体衬底,以形成环绕所述阱区的凹槽;Etching the mask layer and the semiconductor substrate to form a groove surrounding the well region;
在所述凹槽的侧壁形成介质层;Forming a dielectric layer on the sidewall of the groove;
在所述凹槽底部的半导体衬底中形成注入区;以及Forming an injection region in the semiconductor substrate at the bottom of the groove; and
在所述凹槽内填充导电材料,以在所述半导体衬底中形成由所述介质层和所述导电材料组成的隔离结构。A conductive material is filled in the groove to form an isolation structure composed of the dielectric layer and the conductive material in the semiconductor substrate.
一种半导体器件,包括:A semiconductor device including:
半导体衬底,所述半导体衬底中形成有阱区以及环绕所述阱区设置的凹槽,所述凹槽的侧壁为垂直侧壁;A semiconductor substrate, in which a well region and a groove arranged around the well region are formed, and the sidewalls of the groove are vertical sidewalls;
注入区,所述注入区位于所述凹槽底部的半导体衬底中;以及An injection region, the injection region is located in the semiconductor substrate at the bottom of the groove; and
隔离结构,包括填充所述凹槽的导电材料以及位于所述导电材料与所述凹槽的侧壁间的介质层。The isolation structure includes a conductive material filling the groove and a dielectric layer between the conductive material and the sidewall of the groove.
本申请的一个或多个实施例的细节在下面的附图和描述中提出。本申请的其他特征、目的和优点将从说明书、附图以及权利要求书变得明显。The details of one or more embodiments of the present application are set forth in the following drawings and description. Other features, purposes and advantages of this application will become apparent from the description, drawings and claims.
附图说明Description of the drawings
为了更清楚地说明本申请实施例或示例性技术中的技术方案,下面将对实施例或示例性技术描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本申请的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他实施例的附图。In order to more clearly describe the technical solutions in the embodiments or exemplary technologies of the present application, the following will briefly introduce the accompanying drawings that need to be used in the description of the embodiments or exemplary technologies. Obviously, the accompanying drawings in the following description are merely These are some embodiments of the present application. For those of ordinary skill in the art, without creative work, the drawings of other embodiments can also be obtained based on these drawings.
图1为一实施例中半导体器件的制作方法的示意性流程图。FIG. 1 is a schematic flowchart of a manufacturing method of a semiconductor device in an embodiment.
图2A-2F是根据图1所示的制作方法依次实施的步骤分别获得的半导体器件的示意性剖面图。2A-2F are schematic cross-sectional views of semiconductor devices respectively obtained according to the steps sequentially implemented in the manufacturing method shown in FIG. 1.
具体实施方式Detailed ways
参照图1和图2A-2F,其中图1示出了本申请一实施例中半导体器件的制作方法的流程图,图2A-2F示出了根据图1所示的制作方法依次实施的步骤所分别获得的半导体器件的示意性剖面图。1 and 2A-2F, wherein FIG. 1 shows a flow chart of a method for manufacturing a semiconductor device in an embodiment of the present application, and FIGS. 2A-2F show the steps performed in sequence according to the manufacturing method shown in FIG. 1 Schematic cross-sectional views of the semiconductor devices respectively obtained.
本申请提供一种半导体器件的制备方法,如图1所示,该制备方法包括:The present application provides a manufacturing method of a semiconductor device. As shown in FIG. 1, the manufacturing method includes:
步骤S101:提供半导体衬底,所述半导体衬底中形成有阱区,所述半导体衬底上形成有掩膜层。Step S101: Provide a semiconductor substrate, a well region is formed in the semiconductor substrate, and a mask layer is formed on the semiconductor substrate.
步骤S102:蚀刻所述掩膜层和所述半导体衬底,以形成环绕所述阱区的凹槽。Step S102: etching the mask layer and the semiconductor substrate to form a groove surrounding the well region.
步骤S103:在所述凹槽的侧壁形成介质层。Step S103: forming a dielectric layer on the sidewall of the groove.
步骤S104:在所述凹槽底部的半导体衬底中形成注入区。以及Step S104: forming an implantation area in the semiconductor substrate at the bottom of the groove. as well as
步骤S105:在所述凹槽内填充导电材料,以在所述半导体衬底中形成由所述介质层和所述导电材料组成的隔离结构。Step S105: Fill the groove with a conductive material to form an isolation structure composed of the dielectric layer and the conductive material in the semiconductor substrate.
根据本申请实施例,本申请的半导体器件的制作方法具体包括以下步骤:According to the embodiment of the present application, the manufacturing method of the semiconductor device of the present application specifically includes the following steps:
如图2A,首先,执行步骤S101,提供半导体衬底200,所述半导体衬底200中形成有阱区201,所述半导体衬底200上形成有掩膜层202。As shown in FIG. 2A, first, step S101 is performed to provide a semiconductor substrate 200 in which a well region 201 is formed, and a mask layer 202 is formed on the semiconductor substrate 200.
在其中一个实施例中,所述半导体器件包括LDMOS器件。In one of the embodiments, the semiconductor device includes an LDMOS device.
在其中一个实施例中,半导体衬底200可以是以下所提到的材料中的至少一种:单晶硅、绝缘体上硅(SOI)、绝缘体上层叠硅(SSOI)、绝缘体上层叠锗化硅(S-SiGeOI)、绝缘体上锗化硅(SiGeOI)以及绝缘体上锗(GeOI)等。在本实施例中,半导体衬底200为P型硅衬底(P-sub),其具体的掺杂浓度不受本申请限制,半导体衬底200可以通过外延生长形成,也可以为晶圆衬底。In one of the embodiments, the semiconductor substrate 200 may be at least one of the following materials: single crystal silicon, silicon-on-insulator (SOI), silicon-on-insulator (SSOI), silicon-germanium-on-insulator (S-SiGeOI), silicon germanium on insulator (SiGeOI) and germanium on insulator (GeOI), etc. In this embodiment, the semiconductor substrate 200 is a P-type silicon substrate (P-sub), and its specific doping concentration is not limited by this application. The semiconductor substrate 200 can be formed by epitaxial growth or a wafer substrate. end.
在其中一个实施例中,所述半导体衬底200中形成有阱区201。In one of the embodiments, a well region 201 is formed in the semiconductor substrate 200.
在其中一个实施例中,采用阱注入工艺在半导体衬底200中形成阱区 201,所述阱区201具有与半导体衬底200不同的掺杂类型。In one of the embodiments, a well implantation process is used to form a well region 201 in the semiconductor substrate 200, and the well region 201 has a different doping type from the semiconductor substrate 200.
在其中一个实施例中,半导体衬底200可以选用N型衬底。例如,本领域技术人员选用本领域常用的N型衬底作为半导体衬底200。接着在所述N型衬底中形成P型阱区,具体地,首先,在所述N型衬底上形成P阱窗口,并在所述P阱窗口中进行P型杂质离子注入;然后,执行退火步骤推进P型杂质离子以形成P型阱区。In one of the embodiments, the semiconductor substrate 200 can be an N-type substrate. For example, a person skilled in the art selects an N-type substrate commonly used in the art as the semiconductor substrate 200. Next, a P-type well region is formed in the N-type substrate. Specifically, first, a P-well window is formed on the N-type substrate, and P-type impurity ion implantation is performed in the P-well window; then, The annealing step is performed to advance P-type impurity ions to form a P-type well region.
在其中一个实施例中,半导体衬底200也可以选用P型衬底,例如,本领域技术人员选用本领域常用的P型衬底作为半导体衬底200,接着在所述P型衬底中形成N型阱区,具体地,首先,在所述P型衬底上形成N阱窗口,并在所述N阱窗口中进行N型杂质离子注入;然后,执行退火步骤推进N型杂质离子以形成N型阱区。In one of the embodiments, the semiconductor substrate 200 can also be a P-type substrate. For example, a person skilled in the art selects a P-type substrate commonly used in the art as the semiconductor substrate 200, and then forms the semiconductor substrate 200 in the P-type substrate. The N-type well region, specifically, first, an N-well window is formed on the P-type substrate, and N-type impurity ions are implanted in the N-well window; then, an annealing step is performed to advance the N-type impurity ions to form N-type well region.
在其中一个实施例中,半导体衬底200为P型硅衬底(P-sub),阱区201为N型阱区。In one of the embodiments, the semiconductor substrate 200 is a P-type silicon substrate (P-sub), and the well region 201 is an N-type well region.
在其中一个实施例中,所述半导体衬底200上形成有掩膜层202。In one of the embodiments, a mask layer 202 is formed on the semiconductor substrate 200.
在其中一个实施例中,所述掩膜层202包括硬掩膜层,所述硬掩膜层包括氧化物层、氮化物层或二者的叠层结构中的一种,以在半导体器件(例如LDMOS器件)制作过程中保护所述半导体衬底200的上表面。In one of the embodiments, the mask layer 202 includes a hard mask layer, and the hard mask layer includes one of an oxide layer, a nitride layer, or a stacked structure of the two, so as to be used in a semiconductor device ( For example, LDMOS devices), the upper surface of the semiconductor substrate 200 is protected during the manufacturing process.
继续参考图2A,执行步骤S102,获得剖视图如图2A所示的半导体器件。蚀刻所述掩膜层202和所述半导体衬底200,以形成环绕所述阱区201的凹槽203。Continuing to refer to FIG. 2A, step S102 is performed to obtain a cross-sectional view of the semiconductor device as shown in FIG. 2A. The mask layer 202 and the semiconductor substrate 200 are etched to form a groove 203 surrounding the well region 201.
在其中一个实施例中,所述凹槽203的深度大于所述阱区201的深度。In one of the embodiments, the depth of the groove 203 is greater than the depth of the well region 201.
在其中一个实施例中,所述凹槽203为具有高深宽比的凹槽,所述凹槽203的深度和宽度的比值大于5:1,具体地,所述凹槽203的宽度范围是0.8μm-3μm,所述凹槽203的深度范围是8μm-15μm,包括端部数值。In one of the embodiments, the groove 203 is a groove with a high aspect ratio, and the ratio of the depth to the width of the groove 203 is greater than 5:1. Specifically, the width of the groove 203 is 0.8 μm-3μm, the depth of the groove 203 ranges from 8μm-15μm, including the end value.
在其中一个实施例中,通过深反应离子刻蚀(Deep Reactive Ion Etching,DRIE)工艺形成所述凹槽203。具体地,深反应离子刻蚀工艺选用 六氟化硅(SF6/C4F8)气体作为刻蚀工艺的工艺气体,然后施加射频电源,使得六氟化硅反应形成高电离,在刻蚀步骤中:压力控制在15mT~45mT,源功率(Source Power)控制在400W~600W,偏置功率(BIAS Power)控制在-180V~-240V,刻蚀气体SF6的流量控制在50标准毫升/分钟~70标准毫升/分钟(sccm),O2的流量控制在60标准毫升/分钟~85标准毫升/分钟(sccm),HE的流量控制在100标准毫升/分钟~400标准毫升/分钟(sccm),以保证各向异性蚀刻的需要。所述深反应离子刻蚀法工艺使用的深反应离子刻蚀系统可以选择本领常用的设备,并不局限于某一型号。In one of the embodiments, the groove 203 is formed by a deep reactive ion etching (Deep Reactive Ion Etching, DRIE) process. Specifically, the deep reactive ion etching process selects silicon hexafluoride (SF6/C4F8) gas as the process gas of the etching process, and then applies radio frequency power to make the silicon hexafluoride react to form high ionization. In the etching step: pressure Control at 15mT~45mT, source power at 400W~600W, bias power (BIAS) at -180V~-240V, flow rate of etching gas SF6 at 50 standard ml/min~70 standard ml Per minute (sccm), the flow rate of O2 is controlled between 60 standard milliliters/minute and 85 standard milliliters/minute (sccm), and the flow rate of HE is controlled between 100 standard milliliters/minute and 400 standard milliliters/minute (sccm) to ensure all directions The need for heterosexual etching. The deep reactive ion etching system used in the deep reactive ion etching process can select equipment commonly used in the art, and is not limited to a certain model.
在其中一个实施例中,所述凹槽203的侧壁需确保为垂直侧壁,即所述凹槽203的侧壁与所述半导体衬底200所在平面垂直。垂直侧壁的形貌便于后续形成位于所述凹槽203底部的注入区时的自对准注入。In one of the embodiments, the sidewalls of the groove 203 need to be ensured to be vertical sidewalls, that is, the sidewalls of the groove 203 are perpendicular to the plane where the semiconductor substrate 200 is located. The topography of the vertical sidewall facilitates self-aligned injection when the injection region at the bottom of the groove 203 is subsequently formed.
在其中一个实施例中,在步骤S102与步骤S103之间还包括:在所述凹槽203内形成牺牲层的步骤,以及通过湿法刻蚀工艺去除所述牺牲层的步骤。In one of the embodiments, between step S102 and step S103, it further includes: a step of forming a sacrificial layer in the groove 203, and a step of removing the sacrificial layer through a wet etching process.
在其中一个实施例中,通过执行热处理工艺,以在所述凹槽203内形成牺牲层。具体地,利用炉管工艺在凹槽203内生长一层氧化硅作为牺牲层,所述牺牲层的厚度范围是20埃-110埃。In one of the embodiments, a heat treatment process is performed to form a sacrificial layer in the groove 203. Specifically, a furnace tube process is used to grow a layer of silicon oxide as a sacrificial layer in the groove 203, and the thickness of the sacrificial layer ranges from 20 angstroms to 110 angstroms.
在其中一个实施例中,湿法刻蚀工艺的刻蚀溶剂包括氢氟酸溶液,例如湿法刻蚀工艺的刻蚀溶剂为缓冲氧化物蚀刻剂(buffer oxide etchant(BOE))或氢氟酸缓冲溶液(buffer solution of hydrofluoric acid(BHF))。In one of the embodiments, the etching solvent of the wet etching process includes a hydrofluoric acid solution. For example, the etching solvent of the wet etching process is a buffer oxide etchant (BOE) or hydrofluoric acid. Buffer solution (buffer solution of hydrofluoric acid (BHF)).
通过在凹槽203内生长一层氧化硅作为牺牲层,再用湿法刻蚀工艺漂去该牺牲层,可以减弱深槽蚀刻造成的表面损伤,提升侧壁的栅氧化物完整性(Gate Oxide Integrity,GOI)能力。By growing a layer of silicon oxide as a sacrificial layer in the groove 203, and then using a wet etching process to remove the sacrificial layer, the surface damage caused by deep trench etching can be reduced, and the gate oxide integrity of the sidewall can be improved. Integrity, GOI) capabilities.
参考图2B和图2C,执行步骤S103,在所述凹槽203的侧壁形成介质层204,获得剖视图如图2C所示的半导体器件。Referring to FIGS. 2B and 2C, step S103 is performed to form a dielectric layer 204 on the sidewall of the groove 203 to obtain a cross-sectional view of the semiconductor device as shown in FIG. 2C.
步骤S103具体包括:Step S103 specifically includes:
第一步,执行热处理工艺,以在所述掩膜层202上和所述凹槽203内壁上形成介质层薄膜204’,半导体器件的剖视图如图2B所示。In the first step, a heat treatment process is performed to form a dielectric layer film 204' on the mask layer 202 and the inner wall of the groove 203. The cross-sectional view of the semiconductor device is shown in FIG. 2B.
在其中一个实施例中,所述介质层薄膜204’为栅氧化层薄膜。利用炉管工艺在所述掩膜层202上和所述凹槽203的内壁上生长一层氧化硅层作为介质层薄膜204’,所述介质层薄膜204’的厚度范围是200埃-1000埃。具体地,通过向炉管中通入水汽进行湿氧化工艺,与不向炉管中通入水汽进行干氧化工艺相比,湿氧化工艺的氧化速度更快,更利于形成较厚的介质层薄膜。In one of the embodiments, the dielectric layer film 204' is a gate oxide film. A furnace tube process is used to grow a silicon oxide layer on the mask layer 202 and the inner wall of the groove 203 as the dielectric layer film 204'. The thickness of the dielectric layer film 204' ranges from 200 angstroms to 1000 angstroms. . Specifically, the wet oxidation process is performed by passing water vapor into the furnace tube. Compared with the dry oxidation process without passing water vapor into the furnace tube, the wet oxidation process has a faster oxidation speed and is more conducive to the formation of a thicker dielectric layer film. .
第二步,执行自对准刻蚀,通过各向异性蚀刻工艺去除所述掩膜层202上和所述凹槽203底部的介质层薄膜204’,得到由凹槽203侧壁上的介质层薄膜204’构成的介质层204,半导体器件的剖视图如图2C所示。In the second step, self-aligned etching is performed, and the dielectric layer film 204' on the mask layer 202 and the bottom of the groove 203 is removed by an anisotropic etching process to obtain a dielectric layer on the sidewall of the groove 203 The cross-sectional view of the dielectric layer 204 formed by the thin film 204' and the semiconductor device is shown in FIG. 2C.
在其中一个实施例中,可选用干法刻蚀工艺去除所述掩膜层202上和所述凹槽203底部的介质层薄膜204’。示例性地,干法刻蚀工艺包括但不限于:反应离子刻蚀(RIE)工艺、离子束刻蚀工艺、等离子体刻蚀工艺、激光烧蚀工艺或者这些工艺的任意组合。也可以使用单一的刻蚀工艺去除所述掩膜层202上和所述凹槽203底部的介质层薄膜204’,或者也可以使用多于一个的刻蚀工艺去除所述掩膜层202上和所述凹槽203底部的介质层薄膜204’。干法刻蚀工艺的刻蚀气体至少包括含氯化学气体(如HCL)、含溴化学气体(如HBr)、氟基气体(例如CF4)中的一种,其中,含氯化学气体、含溴化学气体在产生各向异性刻蚀的同时对氧化硅有好的选择比。In one of the embodiments, a dry etching process may be used to remove the dielectric layer film 204' on the mask layer 202 and the bottom of the groove 203. Exemplarily, the dry etching process includes but is not limited to: a reactive ion etching (RIE) process, an ion beam etching process, a plasma etching process, a laser ablation process, or any combination of these processes. A single etching process may also be used to remove the dielectric layer film 204' on the mask layer 202 and the bottom of the groove 203, or more than one etching process may be used to remove the mask layer 202 and The dielectric layer film 204' at the bottom of the groove 203. The etching gas of the dry etching process includes at least one of a chlorine-containing chemical gas (such as HCL), a bromine-containing chemical gas (such as HBr), and a fluorine-based gas (such as CF4). Among them, the chlorine-containing chemical gas and the bromine-containing gas The chemical gas has a good selection ratio to silicon oxide while producing anisotropic etching.
接下来,执行步骤S104,在所述凹槽203底部的半导体衬底中形成注入区205,获得剖视图如图2D所示的半导体器件。Next, step S104 is performed to form an implanted region 205 in the semiconductor substrate at the bottom of the groove 203, and a cross-sectional view of the semiconductor device as shown in FIG. 2D is obtained.
以掩膜层202为掩膜向所述凹槽203底部的半导体衬底200中执行自对准离子注入,以在半导体衬底200中形成自对准的注入区205。Using the mask layer 202 as a mask, a self-aligned ion implantation is performed into the semiconductor substrate 200 at the bottom of the groove 203 to form a self-aligned implantation region 205 in the semiconductor substrate 200.
在其中一个实施例中,所述半导体衬底200为P型衬底,所述注入区205为P型离子注入区,即,所述注入区205的导电类型和所述半导体衬底200 的导电类型相同。In one of the embodiments, the semiconductor substrate 200 is a P-type substrate, and the implantation region 205 is a P-type ion implantation region, that is, the conductivity type of the implantation region 205 and the conductivity of the semiconductor substrate 200 Same type.
通过自对准离子注入仅在凹槽203底部的半导体衬底200中形成P型离子注入区,而半导体衬底200的其他位置由于掩膜层202以及介质层204的阻挡无法进行离子注入,因此无需增加新的光刻版来定义离子注入区域,减少了工艺步骤并且节约了成本。By self-aligned ion implantation, only a P-type ion implantation area is formed in the semiconductor substrate 200 at the bottom of the groove 203, and other locations of the semiconductor substrate 200 cannot be implanted due to the barrier of the mask layer 202 and the dielectric layer 204, so There is no need to add a new photolithography plate to define the ion implantation area, which reduces the process steps and saves costs.
接下来,执行步骤S105,在所述凹槽203内填充导电材料206,以在所述半导体衬底200中形成由所述介质层204和所述导电材料206组成的隔离结构,获得剖视图如图2F所示的半导体器件。Next, step S105 is performed to fill the conductive material 206 in the groove 203 to form an isolation structure composed of the dielectric layer 204 and the conductive material 206 in the semiconductor substrate 200, and a cross-sectional view is obtained as shown in FIG. The semiconductor device shown in 2F.
在其中一个实施例中,步骤S105包括:In one of the embodiments, step S105 includes:
第一步,炉管生长导电材料薄膜206’,所述导电材料薄膜206’覆盖在在所述掩膜层202上,且所述导电材料薄膜206’填充在所述凹槽203内,所述导电材料薄膜206’的上表面高于所述掩膜层202的上表面,此时半导体器件的剖面图如图2E所示。In the first step, the furnace tube grows a conductive material film 206', the conductive material film 206' covers the mask layer 202, and the conductive material film 206' is filled in the groove 203, the The upper surface of the conductive material film 206' is higher than the upper surface of the mask layer 202. At this time, the cross-sectional view of the semiconductor device is shown in FIG. 2E.
在其中一个实施例中,所述导电材料薄膜206’包括多晶硅薄膜。可选用低压化学气相淀积(LPCVD)工艺形成多晶硅薄膜。In one of the embodiments, the conductive material film 206' includes a polysilicon film. A low-pressure chemical vapor deposition (LPCVD) process can be used to form a polysilicon film.
形成所述多晶硅薄膜的工艺条件包括:反应气体为硅烷(SiH4),所述硅烷的流量范围可为350标准毫升/分钟~450标准毫升/分钟(sccm),如400sccm;反应腔内温度范围可为摄氏度500~600摄氏度;反应腔内压力可为300毫托~400毫托(mTorr),如350mTorr;所述反应气体中还可包括缓冲气体,所述缓冲气体可为氦气或氮气,所述氦气和氮气的流量范围可为5标准升/分钟~20标准升/分钟(slm),如8slm、10slm、15slm。The process conditions for forming the polysilicon thin film include: the reaction gas is silane (SiH4), and the flow rate of the silane can range from 350 standard milliliters per minute to 450 standard milliliters per minute (sccm), such as 400 sccm; the temperature range in the reaction chamber can be The temperature is 500 to 600 degrees Celsius; the pressure in the reaction chamber can be 300 millitorr to 400 millitorr (mTorr), such as 350 mTorr; the reaction gas can also include a buffer gas, and the buffer gas can be helium or nitrogen. The flow range of the helium and nitrogen can be 5 standard liters per minute to 20 standard liters per minute (slm), such as 8 slm, 10 slm, and 15 slm.
与直接通过化学气相沉积(CVD)填充凹槽203相比,首先在凹槽203的侧壁形成介质层,然后利用低压化学气相淀积(LPCVD)生长多晶硅能够得到较好的深槽填充效果。同时,通过在凹槽203内填充多晶硅,实现多晶硅的底部与凹槽203底部的硅衬底相连,以多晶硅作为导体将半导体衬底的注入区205引出,在半导体衬底的表面形成衬底(sub)电极,无需新增面积作为sub 电极引出区,减小了半导体衬底的面积。进一步,凹槽203内的多晶硅也可以成为纵向场板,以使纵向漂移区的平均电场增加,减小电场峰值,从而达到抑制热载流子效应,提高击穿电压等目的,进一步提升LDMOS的性能。具体地,使用普通炉管形成介质层204和导电材料206,且介质层204和导电材料206中无空洞,也即采用本申请的制备方法,仅利用普通炉管就能得到较好的深槽填充效果。Compared with directly filling the groove 203 by chemical vapor deposition (CVD), first forming a dielectric layer on the sidewall of the groove 203 and then using low pressure chemical vapor deposition (LPCVD) to grow polysilicon can obtain a better deep groove filling effect. At the same time, by filling the groove 203 with polysilicon, the bottom of the polysilicon is connected to the silicon substrate at the bottom of the groove 203, and the implanted area 205 of the semiconductor substrate is led out by using polysilicon as a conductor to form a substrate on the surface of the semiconductor substrate ( The sub) electrode does not need to add a new area as the lead-out area of the sub electrode, which reduces the area of the semiconductor substrate. Furthermore, the polysilicon in the groove 203 can also become a longitudinal field plate, so as to increase the average electric field in the longitudinal drift region and reduce the peak value of the electric field, thereby achieving the purpose of suppressing the hot carrier effect and increasing the breakdown voltage, and further improving the performance of the LDMOS. performance. Specifically, ordinary furnace tubes are used to form the dielectric layer 204 and the conductive material 206, and there are no voids in the dielectric layer 204 and the conductive material 206, that is, the preparation method of the present application is used to obtain a better deep groove only by using ordinary furnace tubes. Filling effect.
第二步,去除掩膜层上的导电材料薄膜206’和凹槽203内的部分导电材料薄膜206’,得到由凹槽203内剩余的导电材料薄膜206’构成的导电材料206,导电材料206的上表面与半导体衬底200的上表面齐平。The second step is to remove the conductive material film 206' on the mask layer and part of the conductive material film 206' in the groove 203 to obtain the conductive material 206 composed of the remaining conductive material film 206' in the groove 203. The conductive material 206 The upper surface of the semiconductor substrate 200 is flush with the upper surface of the semiconductor substrate 200.
在其中一个实施例中,首先,以所述掩膜层202为阻挡层,蚀刻去除所述掩膜层202上的所述导电材料薄膜206’和所述凹槽203内的部分所述导电材料薄膜206’,以使所述凹槽203内剩余的所述导电材料薄膜206’的上表面与所述半导体衬底200的上表面齐平。In one of the embodiments, first, using the mask layer 202 as a barrier layer, the conductive material film 206' on the mask layer 202 and part of the conductive material in the groove 203 are etched away The thin film 206 ′, so that the upper surface of the conductive material thin film 206 ′ remaining in the groove 203 is flush with the upper surface of the semiconductor substrate 200.
在其中一个实施例中,采用干法刻蚀工艺去除掩膜层202上的多晶硅薄膜和所述凹槽203内的部分多晶硅薄膜。干法刻蚀工艺包括但不限于:反应离子蚀刻(RIE)工艺、离子束蚀刻工艺、等离子体蚀刻工艺或者激光切割工艺。In one of the embodiments, a dry etching process is used to remove the polysilicon film on the mask layer 202 and part of the polysilicon film in the groove 203. The dry etching process includes but is not limited to: a reactive ion etching (RIE) process, an ion beam etching process, a plasma etching process, or a laser cutting process.
在其中一个实施例中,通过一个RIE步骤或者多个RIE步骤进行干法蚀刻。In one of the embodiments, dry etching is performed through one RIE step or multiple RIE steps.
在其中一个实施例中,所述干法刻蚀工艺为等离子体刻蚀工艺,刻蚀气体可以采用基于氮气的刻蚀气体。In one of the embodiments, the dry etching process is a plasma etching process, and the etching gas may be an etching gas based on nitrogen.
具体地,采用较低的射频能量产生低压和高密度的等离子体气体来实现多晶硅的干法刻蚀工艺。所述干法刻蚀工艺的刻蚀气体为基于氮气的工艺气体,刻蚀气体的流量为:100标准升/分钟~200标准升/分钟(sccm);反应室内压力可为30mTorr~50mTorr,刻蚀的时间为10秒~15秒,刻蚀功率为30W~80W,偏置功率为0W。Specifically, low radio frequency energy is used to generate low-pressure and high-density plasma gas to realize the dry etching process of polysilicon. The etching gas of the dry etching process is a nitrogen-based process gas, and the flow rate of the etching gas is: 100 standard liters/minute to 200 standard liters/minute (sccm); the pressure in the reaction chamber can be 30mTorr-50mTorr, The etching time is 10 seconds to 15 seconds, the etching power is 30W to 80W, and the bias power is 0W.
第三步,去除所述半导体衬底200上的所述掩膜层202和所述凹槽203 内的部分所述介质层204,所述凹槽203内的剩余的介质层204的上表面和所述凹槽203内的导电材料206的上表面齐平,所述凹槽203内的所述介质层204和所述导电材料206组成所述隔离结构,此时半导体器件的剖面图如图2F所示。也即,去除所述半导体衬底200上的所述掩膜层202和所述凹槽203内的部分所述介质层204后,所述半导体衬底200的上表面、所述凹槽203内的所述介质层204的上表面和所述凹槽203内的所述导电材料206的上表面处于同一平面。The third step is to remove the mask layer 202 on the semiconductor substrate 200 and part of the dielectric layer 204 in the groove 203, and the upper surface of the remaining dielectric layer 204 in the groove 203 and The upper surface of the conductive material 206 in the groove 203 is flush, and the dielectric layer 204 and the conductive material 206 in the groove 203 constitute the isolation structure. At this time, a cross-sectional view of the semiconductor device is shown in FIG. 2F Shown. That is, after removing the mask layer 202 on the semiconductor substrate 200 and part of the dielectric layer 204 in the groove 203, the upper surface of the semiconductor substrate 200 and the inside of the groove 203 The upper surface of the dielectric layer 204 and the upper surface of the conductive material 206 in the groove 203 are on the same plane.
在其中一个实施例中,可通过干法刻蚀工艺、湿法刻蚀工艺、研磨工艺中的至少一种刻蚀工艺去除所述掩膜层202和部分所述介质层204。干法刻蚀工艺包括但不限于:反应离子刻蚀(RIE)工艺、离子束刻蚀工艺、等离子体刻蚀工艺、激光烧蚀工艺或者这些刻蚀工艺的任意组合。可以使用单一的刻蚀工艺在半导体衬底200中形成由介质层204和导电材料206组成的隔离结构,也可以使用多于一个的刻蚀工艺在半导体衬底200中形成由介质层204和导电材料206组成的隔离结构。干法刻蚀工艺的刻蚀气体可以包括HBr和/或CF4气体。In one of the embodiments, the mask layer 202 and a part of the dielectric layer 204 may be removed by at least one etching process of a dry etching process, a wet etching process, and a grinding process. The dry etching process includes, but is not limited to: a reactive ion etching (RIE) process, an ion beam etching process, a plasma etching process, a laser ablation process, or any combination of these etching processes. A single etching process can be used to form the isolation structure composed of the dielectric layer 204 and the conductive material 206 in the semiconductor substrate 200, or more than one etching process can be used to form the isolation structure composed of the dielectric layer 204 and the conductive material in the semiconductor substrate 200. Isolation structure composed of material 206. The etching gas of the dry etching process may include HBr and/or CF4 gas.
在其中一个实施例中,可以通过化学机械研磨(CMP)去除所述半导体衬底200上的所述掩膜层202、部分所述导电材料薄膜206’和部分所述介质层204,以露出所述半导体衬底200和所述隔离结构。In one of the embodiments, the mask layer 202, part of the conductive material film 206' and part of the dielectric layer 204 on the semiconductor substrate 200 can be removed by chemical mechanical polishing (CMP) to expose all The semiconductor substrate 200 and the isolation structure.
在其中一个实施例中,半导体器件的制备方法还包括在阱区201中制备半导体器件的其他结构的步骤。In one of the embodiments, the method for manufacturing the semiconductor device further includes the step of preparing other structures of the semiconductor device in the well region 201.
下面结合附图2F,对本申请实施例中提供的半导体器件的结构进行描述。该半导体器件包括:The structure of the semiconductor device provided in the embodiment of the present application will be described below with reference to FIG. 2F. The semiconductor device includes:
半导体衬底200,所述半导体衬底200中形成有阱区201以及环绕所述阱区201设置的凹槽;A semiconductor substrate 200 in which a well region 201 and a groove arranged around the well region 201 are formed;
注入区205,所述注入区205位于所述凹槽底部的半导体衬底200中;以及An implantation area 205, the implantation area 205 is located in the semiconductor substrate 200 at the bottom of the groove; and
隔离结构,包括填充所述凹槽的导电材料206以及位于所述导电材料206与所述凹槽的侧壁间的介质层204。The isolation structure includes a conductive material 206 filling the groove and a dielectric layer 204 between the conductive material 206 and the sidewall of the groove.
在其中一个实施例中,所述半导体器件包括LDMOS器件。In one of the embodiments, the semiconductor device includes an LDMOS device.
在其中一个实施例中,半导体衬底200可以是以下所提到的材料中的至少一种:单晶硅、绝缘体上硅(SOI)、绝缘体上层叠硅(SSOI)、绝缘体上层叠锗化硅(S-SiGeOI)、绝缘体上锗化硅(SiGeOI)以及绝缘体上锗(GeOI)等。在本实施例中,所述半导体衬底200为P型硅衬底(P-sub),其具体的掺杂浓度不受本申请限制,半导体衬底200可以通过外延生长形成,也可以为晶圆衬底。In one of the embodiments, the semiconductor substrate 200 may be at least one of the following materials: single crystal silicon, silicon-on-insulator (SOI), silicon-on-insulator (SSOI), silicon-germanium-on-insulator (S-SiGeOI), silicon germanium on insulator (SiGeOI) and germanium on insulator (GeOI), etc. In this embodiment, the semiconductor substrate 200 is a P-type silicon substrate (P-sub), and its specific doping concentration is not limited by this application. The semiconductor substrate 200 can be formed by epitaxial growth or a crystal. Round substrate.
在其中一个实施例中,所述半导体衬底200中形成有阱区201,所述阱区201具有与半导体衬底200不同的掺杂类型。在本实施例中,半导体衬底200为P型硅衬底(P-sub),阱区201为N型阱区。In one of the embodiments, a well region 201 is formed in the semiconductor substrate 200, and the well region 201 has a different doping type from the semiconductor substrate 200. In this embodiment, the semiconductor substrate 200 is a P-type silicon substrate (P-sub), and the well region 201 is an N-type well region.
在其中一个实施例中,所述半导体衬底200上形成有掩膜层。所述掩膜层包括氧化物层、氮化物层或二者的叠层结构。In one of the embodiments, a mask layer is formed on the semiconductor substrate 200. The mask layer includes an oxide layer, a nitride layer, or a stacked structure of both.
在其中一个实施例中,所述凹槽的深度大于所述阱区201的深度。In one of the embodiments, the depth of the groove is greater than the depth of the well region 201.
在其中一个实施例中,所述凹槽为具有高深宽比的凹槽,所述凹槽的深度和宽度的比值大于5:1,具体地,所述凹槽的宽度范围是0.8μm-3μm,所述凹槽的深度范围是8μm-15μm,包括端部数值。In one of the embodiments, the groove is a groove with a high aspect ratio, and the ratio of the depth to the width of the groove is greater than 5:1. Specifically, the width of the groove ranges from 0.8 μm to 3 μm. , The depth of the groove is in the range of 8 μm-15 μm, including the end value.
在其中一个实施例中,所述凹槽的侧壁为垂直侧壁,即所述凹槽的侧壁与所述半导体衬底200所在平面垂直。垂直侧壁的形貌便于后续实施所述凹槽的底部的注入区的自对准注入。In one of the embodiments, the sidewalls of the groove are vertical sidewalls, that is, the sidewalls of the groove are perpendicular to the plane where the semiconductor substrate 200 is located. The topography of the vertical sidewall facilitates subsequent implementation of self-aligned implantation of the implantation area at the bottom of the groove.
在其中一个实施例中,所述凹槽底部的半导体衬底200形成有注入区205,所述注入区205为自对准注入区,所述注入区205为P型离子注入区,即,所述注入区205的导电类型和所述半导体衬底200的导电类型相同。In one of the embodiments, the semiconductor substrate 200 at the bottom of the groove is formed with an implanted region 205, the implanted region 205 is a self-aligned implanted region, and the implanted region 205 is a P-type ion implanted region, that is, The conductivity type of the implanted region 205 is the same as the conductivity type of the semiconductor substrate 200.
在其中一个实施例中,所述凹槽中形成有隔离结构。所述隔离结构包括 填充所述凹槽的导电材料206以及位于所述导电材料206与所述凹槽的侧壁间的介质层204。In one of the embodiments, an isolation structure is formed in the groove. The isolation structure includes a conductive material 206 filling the groove and a dielectric layer 204 between the conductive material 206 and the sidewall of the groove.
在其中一个实施例中,所述介质层204包括氧化硅层,所述介质层204的厚度范围是200埃-1000埃。In one of the embodiments, the dielectric layer 204 includes a silicon oxide layer, and the thickness of the dielectric layer 204 ranges from 200 angstroms to 1000 angstroms.
在其中一个实施例中,所述导电材料包括多晶硅,所述导电材料与所述半导体衬底表面的衬底电极电连接。In one of the embodiments, the conductive material includes polysilicon, and the conductive material is electrically connected to the substrate electrode on the surface of the semiconductor substrate.
通过在凹槽内填充多晶硅,实现多晶硅的底部与凹槽底部的硅衬底相连,以多晶硅作为导体将半导体衬底的注入区205引出,在半导体衬底的表面形成sub电极,无需新增面积作为sub电极引出区,减小了半导体衬底的面积。进一步,凹槽内的多晶硅也可以成为纵向场板,以使纵向漂移区的平均电场增加,减小电场峰值,从而达到抑制热载流子效应,提高击穿电压等目的,进一步提升LDMOS的性能。By filling the groove with polysilicon, the bottom of the polysilicon is connected to the silicon substrate at the bottom of the groove, and the injection area 205 of the semiconductor substrate is led out by using polysilicon as a conductor, and a sub electrode is formed on the surface of the semiconductor substrate without additional area As the sub-electrode lead-out area, the area of the semiconductor substrate is reduced. Furthermore, the polysilicon in the groove can also become a vertical field plate to increase the average electric field in the vertical drift zone and reduce the peak value of the electric field, so as to achieve the purpose of suppressing the hot carrier effect, increasing the breakdown voltage, and further improving the performance of LDMOS. .
本申请已经通过上述实施例进行了说明,但应当理解的是,上述实施例只是用于举例和说明的目的,而非意在将本申请限制于所描述的实施例范围内。此外本领域技术人员可以理解的是,本申请并不局限于上述实施例,根据本申请的教导还可以做出更多种的变型和修改,这些变型和修改均落在本申请所要求保护的范围以内。本申请的保护范围由附属的权利要求书及其等效范围所界定。This application has been described by the above-mentioned embodiments, but it should be understood that the above-mentioned embodiments are only for the purpose of example and description, and are not intended to limit the present application to the scope of the described embodiments. In addition, those skilled in the art can understand that this application is not limited to the above-mentioned embodiments, and more variations and modifications can be made according to the teachings of this application, and these variations and modifications fall within the scope of what is claimed in this application. Within the range. The protection scope of this application is defined by the appended claims and their equivalent scope.

Claims (15)

  1. 一种半导体器件的制作方法,包括:A method for manufacturing a semiconductor device includes:
    提供半导体衬底,所述半导体衬底中形成有阱区,所述半导体衬底上形成有掩膜层;Providing a semiconductor substrate, a well region is formed in the semiconductor substrate, and a mask layer is formed on the semiconductor substrate;
    蚀刻所述掩膜层和所述半导体衬底,以形成环绕所述阱区的凹槽;Etching the mask layer and the semiconductor substrate to form a groove surrounding the well region;
    在所述凹槽的侧壁形成介质层;Forming a dielectric layer on the sidewall of the groove;
    在所述凹槽底部的半导体衬底中形成注入区;以及Forming an injection region in the semiconductor substrate at the bottom of the groove; and
    在所述凹槽内填充导电材料,以在所述半导体衬底中形成由所述介质层和所述导电材料组成的隔离结构。A conductive material is filled in the groove to form an isolation structure composed of the dielectric layer and the conductive material in the semiconductor substrate.
  2. 如权利要求1所述的制作方法,其中所述蚀刻所述掩膜层和所述半导体衬底,以形成环绕所述阱区的凹槽之后,在所述凹槽的侧壁形成介质层之前,还包括:The manufacturing method according to claim 1, wherein after said etching said mask layer and said semiconductor substrate to form a groove surrounding said well region, before forming a dielectric layer on the sidewall of said groove ,Also includes:
    执行热处理工艺,以在所述凹槽内形成牺牲层;以及Performing a heat treatment process to form a sacrificial layer in the groove; and
    通过湿法刻蚀工艺去除所述牺牲层。The sacrificial layer is removed by a wet etching process.
  3. 如权利要求1所述的制作方法,其中所述在所述凹槽的侧壁形成所述介质层的步骤还包括:The manufacturing method according to claim 1, wherein the step of forming the dielectric layer on the sidewall of the groove further comprises:
    执行热处理工艺,以在所述掩膜层上和所述凹槽内壁上形成介质层薄膜;以及Performing a heat treatment process to form a dielectric layer film on the mask layer and the inner wall of the groove; and
    执行自对准刻蚀,通过各向异性蚀刻工艺去除所述掩膜层上和所述凹槽底部的介质层薄膜,得到由所述凹槽侧壁的介质层薄膜构成的介质层,所述介质层薄膜为栅氧化层薄膜。Perform self-aligned etching, and remove the dielectric layer film on the mask layer and the bottom of the groove through an anisotropic etching process to obtain a dielectric layer composed of the dielectric layer film on the sidewall of the groove. The dielectric layer film is a gate oxide film.
  4. 如权利要求1所述的制作方法,其中所述在所述凹槽内填充导电材料,以在所述半导体衬底中形成由所述介质层和所述导电材料组成的隔离结构的步骤包括:The manufacturing method of claim 1, wherein the step of filling a conductive material in the groove to form an isolation structure composed of the dielectric layer and the conductive material in the semiconductor substrate comprises:
    炉管生长导电材料薄膜,所述导电材料薄膜覆盖在在所述掩膜层上,且所述导电材料薄膜填充在所述凹槽内,所述导电材料薄膜的上表面高于所述掩膜层的上表面;The furnace tube grows a conductive material film, the conductive material film is covered on the mask layer, and the conductive material film is filled in the groove, and the upper surface of the conductive material film is higher than the mask The upper surface of the layer;
    去除所述掩膜层上的所述导电材料薄膜和所述凹槽内的部分所述导电材料薄膜,得到所述凹槽内剩余的所述导电材料薄膜构成的导电材料,所述导电材料的上表面与所述半导体衬底的上表面齐平;以及The conductive material film on the mask layer and a part of the conductive material film in the groove are removed to obtain the conductive material composed of the conductive material film remaining in the groove. The upper surface is flush with the upper surface of the semiconductor substrate; and
    去除所述半导体衬底上的所述掩膜层和所述凹槽内的部分所述介质层,所述凹槽内的剩余的介质层的上表面和所述导电材料的上表面齐平,所述凹槽内的所述介质层和所述导电材料组成所述隔离结构。Removing the mask layer on the semiconductor substrate and part of the dielectric layer in the groove, and the upper surface of the remaining dielectric layer in the groove is flush with the upper surface of the conductive material, The dielectric layer and the conductive material in the groove constitute the isolation structure.
  5. 如权利要求1所述的制作方法,其中所述凹槽的深度大于所述阱区的深度。The manufacturing method of claim 1, wherein the depth of the groove is greater than the depth of the well region.
  6. 如权利要求1所述的制作方法,其中所述凹槽的深度和所述凹槽的宽度的比值大于5:1。The manufacturing method of claim 1, wherein the ratio of the depth of the groove to the width of the groove is greater than 5:1.
  7. 如权利要求1所述的制作方法,其中所述介质层的厚度范围是200埃-1000埃。8. The manufacturing method of claim 1, wherein the thickness of the dielectric layer ranges from 200 angstroms to 1000 angstroms.
  8. 如权利要求1所述的制作方法,其中执行深反应离子刻蚀工艺形成所述凹槽,所述凹槽的侧壁为垂直侧壁。8. The manufacturing method of claim 1, wherein a deep reactive ion etching process is performed to form the groove, and the sidewalls of the groove are vertical sidewalls.
  9. 如权利要求1所述的制作方法,其中执行自对准离子注入形成所述注入区,所述注入区为自对准注入区。8. The manufacturing method of claim 1, wherein self-aligned ion implantation is performed to form the implanted region, and the implanted region is a self-aligned implanted region.
  10. 一种半导体器件,包括:A semiconductor device including:
    半导体衬底,所述半导体衬底中形成有阱区以及环绕所述阱区设置的凹槽,所述凹槽的侧壁为垂直侧壁;A semiconductor substrate, in which a well region and a groove arranged around the well region are formed, and the sidewalls of the groove are vertical sidewalls;
    注入区,所述注入区位于所述凹槽底部的半导体衬底中;以及An injection region, the injection region is located in the semiconductor substrate at the bottom of the groove; and
    隔离结构,包括填充所述凹槽的导电材料以及位于所述导电材料与所述凹槽的侧壁间的介质层。The isolation structure includes a conductive material filling the groove and a dielectric layer between the conductive material and the sidewall of the groove.
  11. 如权利要求10所述的半导体器件,其中所述凹槽的深度大于所述阱区的深度。The semiconductor device according to claim 10, wherein the depth of the groove is greater than the depth of the well region.
  12. 如权利要求10所述的半导体器件,其中所述凹槽的深度和所述凹槽的宽度的比值大于5:1。The semiconductor device according to claim 10, wherein the ratio of the depth of the groove to the width of the groove is greater than 5:1.
  13. 如权利要求10所述的半导体器件,其中所述介质层的厚度范围是 200埃-1000埃。The semiconductor device according to claim 10, wherein the thickness of the dielectric layer is in the range of 200 angstroms to 1000 angstroms.
  14. 如权利要求10所述的半导体器件,其中所述凹槽的侧壁为垂直侧壁,所述注入区为自对准注入区。11. The semiconductor device of claim 10, wherein the sidewalls of the groove are vertical sidewalls, and the implantation region is a self-aligned implantation region.
  15. 如权利要求10所述的半导体器件,其中所述导电材料与所述半导体衬底表面的衬底电极电连接。The semiconductor device according to claim 10, wherein the conductive material is electrically connected to the substrate electrode on the surface of the semiconductor substrate.
PCT/CN2020/137865 2020-04-03 2020-12-21 Semiconductor device and fabrication method therefor WO2021196758A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN202010260602.6 2020-04-03
CN202010260602.6A CN113496939A (en) 2020-04-03 2020-04-03 Semiconductor device and manufacturing method thereof

Publications (1)

Publication Number Publication Date
WO2021196758A1 true WO2021196758A1 (en) 2021-10-07

Family

ID=77930274

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2020/137865 WO2021196758A1 (en) 2020-04-03 2020-12-21 Semiconductor device and fabrication method therefor

Country Status (2)

Country Link
CN (1) CN113496939A (en)
WO (1) WO2021196758A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114649361A (en) * 2022-03-22 2022-06-21 上海华力微电子有限公司 Method for manufacturing image sensor

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103681315A (en) * 2012-09-18 2014-03-26 中芯国际集成电路制造(上海)有限公司 Method for forming buried layer
CN104078462A (en) * 2013-03-29 2014-10-01 美格纳半导体有限公司 Semiconductor device and manufacturing method thereof
CN109216256A (en) * 2017-07-03 2019-01-15 无锡华润上华科技有限公司 Groove isolation construction and its manufacturing method
CN208637424U (en) * 2017-08-04 2019-03-22 半导体元件工业有限责任公司 Isolation structure for the semiconductor devices with automatic biasing buried layer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103681315A (en) * 2012-09-18 2014-03-26 中芯国际集成电路制造(上海)有限公司 Method for forming buried layer
CN104078462A (en) * 2013-03-29 2014-10-01 美格纳半导体有限公司 Semiconductor device and manufacturing method thereof
CN109216256A (en) * 2017-07-03 2019-01-15 无锡华润上华科技有限公司 Groove isolation construction and its manufacturing method
CN208637424U (en) * 2017-08-04 2019-03-22 半导体元件工业有限责任公司 Isolation structure for the semiconductor devices with automatic biasing buried layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114649361A (en) * 2022-03-22 2022-06-21 上海华力微电子有限公司 Method for manufacturing image sensor
CN114649361B (en) * 2022-03-22 2024-03-29 上海华力微电子有限公司 Method for manufacturing image sensor

Also Published As

Publication number Publication date
CN113496939A (en) 2021-10-12

Similar Documents

Publication Publication Date Title
US6991991B2 (en) Method for preventing to form a spacer undercut in SEG pre-clean process
JP5128059B2 (en) SEMICONDUCTOR DEVICE HAVING JUNCTION AREA EXTENDED BY SEG FILM AND METHOD FOR MANUFACTURING SAME
KR100869359B1 (en) Method for fabricating recess gate in semiconductor device
EP0098374A2 (en) Isolated dielectric structure for integrated circuits and method for fabricating such structure
TWI239102B (en) Apparatus and method for multiple-gate semiconductor device with angled sidewalls
KR100385859B1 (en) Trench gate MOSFET fabricated by using hydrogen annealing and self-align technique
KR102136178B1 (en) Epitaxial source and drain structures for high voltage devices
TWI761800B (en) Trench type MOSFET device manufacturing method
US8624302B2 (en) Structure and method for post oxidation silicon trench bottom shaping
US20020090787A1 (en) Self-aligned elevated transistor
CN103839820A (en) Method for manufacturing semiconductor device
WO2021196758A1 (en) Semiconductor device and fabrication method therefor
TWI768678B (en) Semiconductor structure and method forming the same
US9461131B1 (en) High quality deep trench oxide
JP2001332615A (en) Manufacturing method for semiconductor element
JP2003068751A (en) Semiconductor device and manufacturing method thereof
TW202133271A (en) Semiconductor device
KR100718248B1 (en) Method of forming a recess structure, a recessed channel type transistor having the recess structure, and method of manufacturing the recessed channel type transistor
TWI241660B (en) Method of forming polysilicon gate structures with specific edge profiles for optimization of LDD offset spacing
CN103151264B (en) A kind of manufacture method of semiconductor devices
TW202113965A (en) Semiconductor device and method for manufacturing the same
WO2021228269A1 (en) Method for preparing embedded word line structure
CN210040207U (en) Structure of middle-high voltage trench-forming power metal oxide semiconductor field effect transistor
CN111755334B (en) Semiconductor structure and forming method thereof
CN109216174B (en) Split gate structure of semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20928354

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20928354

Country of ref document: EP

Kind code of ref document: A1