WO2021196012A1 - Chip package and preparation method therefor - Google Patents

Chip package and preparation method therefor Download PDF

Info

Publication number
WO2021196012A1
WO2021196012A1 PCT/CN2020/082565 CN2020082565W WO2021196012A1 WO 2021196012 A1 WO2021196012 A1 WO 2021196012A1 CN 2020082565 W CN2020082565 W CN 2020082565W WO 2021196012 A1 WO2021196012 A1 WO 2021196012A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
chip
pad
preparing
conductive
Prior art date
Application number
PCT/CN2020/082565
Other languages
French (fr)
Chinese (zh)
Inventor
陆斌
沈健
Original Assignee
深圳市汇顶科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 深圳市汇顶科技股份有限公司 filed Critical 深圳市汇顶科技股份有限公司
Priority to PCT/CN2020/082565 priority Critical patent/WO2021196012A1/en
Priority to CN202080001578.2A priority patent/CN113811991A/en
Publication of WO2021196012A1 publication Critical patent/WO2021196012A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00

Definitions

  • the embodiments of the present application relate to the field of chips, and more specifically, to a chip package and a manufacturing method thereof.
  • the processors of mobile phones and computers need to be equipped with a large number of decoupling capacitors, which are used to filter noise in the circuit, thereby improving the integrity of power and signals.
  • Decoupling capacitors usually use low-equivalent series inductance (ESL) Multiplayer Ceramic Chip Capacitors (MLCC) and are placed close to the processor to reduce parasitic inductance in the entire circuit.
  • ESL series inductance
  • MLCC Multiplayer Ceramic Chip Capacitors
  • ESL Equivalent series inductance
  • reverse geometry MLCC or multi-terminal MLCC.
  • the parasitic inductance contributed by the low ESL model MLCC is small.
  • the parasitic inductance caused by the wires in the circuit is proportional to the length of the wire used to connect the decoupling capacitor to the processor (that is, the longer the wire, the greater the parasitic inductance).
  • the decoupling capacitor is usually directly mounted on the side of the packaged chip where the pad is provided to reduce the parasitic inductance caused by the wire.
  • the processor 100 includes a chip 110 and a decoupling capacitor 160, wherein the decoupling capacitor 160 is directly mounted on the back of the package structure 120 of the packaged chip 110, and the back is One side of the chip 110 where the pads are provided, that is, a part of the pads 140 of the wiring layer 130 is used for connecting the decoupling capacitor 160, and the other part of the pads 140 is used for setting the solder balls 150.
  • the vertical distance between the decoupling capacitor 160 and the chip 110 is about 60-200 microns, and the resulting parasitic inductance is about tens to hundreds of picofarads (pF).
  • a metal-insulator-metal (MIM) capacitor may be directly fabricated near the pad 140 of the chip 110. That is, the decoupling capacitor is used as a part of the package of the chip 110.
  • the capacitance of the MIM capacitor is usually on the order of pF, which is much smaller than the actual demand of the processor for the capacitance of the decoupling capacitor.
  • the yield rate of the MIM capacitor will affect the yield rate of the processor, thereby increasing the manufacturing cost of the processor or functional chip.
  • the present application provides a chip package and a preparation method thereof, which can ensure the yield of the chip on the basis of reducing parasitic capacitance.
  • a chip package including:
  • a chip, the upper surface of the chip is provided with at least one first pad and at least one second pad;
  • a device layer the device layer includes a first insulating layer, at least one conductive pillar and an integrated passive device IPD, the first insulating layer is disposed above the chip, and the at least one conductive pillar penetrates the first insulating layer The layer is disposed above the at least one first pad, and the IPD penetrates the first insulating layer and is disposed above the at least one second pad;
  • a wiring layer, the wiring layer is arranged above the device layer;
  • At least one third pad the at least one third pad is disposed above the wiring layer, and the at least one conductive pillar is connected to the at least one third pad through the wiring layer.
  • the vertical distance between the IPD and the chip can be reduced, thereby reducing the parasitic inductance of the processor.
  • the IPD with good performance and the chip with good performance can be compared.
  • Direct packaging to form the chip package can prevent the yield of the IPD and the chip from affecting the yield of the chip package. Accordingly, the manufacturing cost of the chip package is ensured .
  • the IPD and the chip are packaged to prepare at least one third pad of the chip package, which avoids
  • the IPD occupies the space of the pads of the packaged chip, that is, because the IPD does not need to occupy an additional position, the chip package body that can meet the high input/output (Input/Output, I/O) requirements is relatively large, In order to set the defect of insufficient pad space.
  • I/O input/output
  • the chip package further includes:
  • At least one of the adhesion layer, the barrier layer and the seed layer, and at least one of the adhesion layer, the barrier layer and the seed layer is disposed between the at least one first pad and the at least one conductive pillar.
  • the seed layer in at least one of the adhesion layer, the barrier layer and the seed layer can be used to grow the at least one conductive pillar.
  • the adhesion layer, the barrier layer and the seed layer can increase the stability between the at least one first pad and the at least one conductive pillar, and accordingly, ensure the performance of the chip package .
  • At least one conductive bump is provided on a side of the IPD close to the chip, and the at least one conductive bump is respectively connected to the at least one second pad.
  • Connecting the at least one conductive bump of the IPD directly to the at least one second pad of the chip is equivalent to directly connecting the IPD and the chip face-to-face, which can reduce as much as possible.
  • the vertical distance between the IPD and the chip is small, and accordingly, the parasitic inductance of the chip package can be reduced as much as possible.
  • a non-conductive material is provided at the connection between the at least one conductive bump and the at least one second pad.
  • connection between the at least one conductive bump and the at least one second pad can be sealed by the non-conductive material, and accordingly, the performance of the chip package can be ensured.
  • the chip package further includes:
  • the first adhesive layer is arranged under the chip.
  • the chip package further includes:
  • the molding compound is arranged under the wiring layer and located in the surrounding area of the chip, and the molding compound is used to mold the chip, the device layer and the first adhesive layer.
  • the chip includes an interconnection layer and a substrate, and the at least one first pad and the at least one second pad are embedded in the interconnection layer.
  • Configuring the at least one first pad and the at least one second pad to be embedded in the interconnection layer can shorten the vertical distance between the chip and the IPD as much as possible. Accordingly, The integrated inductance of the chip package can be reduced as much as possible.
  • the plane where the upper surface of the at least one first pad is located, the plane where the upper surface of the at least one second pad is located, and the plane where the upper surface of the interconnection layer is located are the same. flat.
  • the chip package further includes at least one metal ball respectively disposed on the at least one third pad.
  • the wiring layer includes a second insulating layer and metal wiring arranged in the second insulating layer, and the at least one conductive pillar is respectively connected to the at least one first insulating layer through the metal wiring.
  • the wiring layer includes a second insulating layer and metal wiring arranged in the second insulating layer, and the at least one conductive pillar is respectively connected to the at least one first insulating layer through the metal wiring.
  • a method for preparing a chip package including:
  • a device layer is prepared above the chip.
  • the upper surface of the chip is provided with at least one first pad and at least one second pad.
  • the device layer includes a first insulating layer, at least one conductive pillar and an integrated passive device IPD
  • the first insulating layer is arranged above the chip, the at least one conductive pillar penetrates the first insulating layer and is arranged above the at least one first pad, and the IPD penetrates the first
  • the insulating layer is arranged above the at least one second pad;
  • At least one third pad is prepared on the wiring layer, and the at least one conductive pillar is connected to the at least one third pad through the wiring layer.
  • the preparing a device layer above the chip includes:
  • a first insulating layer is prepared above the chip, so that the first insulating layer covers the at least one conductive layer and the IPD.
  • the preparing a dry film layer above the chip includes:
  • the dry film layer is prepared above at least one of the adhesion layer, the barrier layer, and the seed layer, so that the at least one conductive pillar is grown on at least one of the adhesion layer, the barrier layer, and the seed layer ;
  • the removing the dry film layer includes:
  • the preparing a device layer above the chip includes:
  • the at least one conductive pillar is prepared in the at least one groove, so that the first insulating layer covers the at least one conductive layer and the IPD.
  • the preparing the at least one conductive pillar in the at least one groove includes:
  • the conductive layer is grown on at least one of the adhesion layer, the barrier layer, and the seed layer to form the at least one conductive pillar.
  • the preparing at least one of an adhesion layer, a barrier layer, and a seed layer on the inner wall of each groove in the at least one groove includes:
  • the growing the conductive layer on at least one of the adhesion layer, the barrier layer and the seed layer to form the at least one conductive pillar includes:
  • the conductive layer is thinned to expose the at least one conductive pillar.
  • At least one conductive bump is provided on a side of the IPD close to the chip, and the at least one conductive bump is respectively connected to the at least one second pad.
  • a non-conductive material is provided at the connection between the at least one conductive bump and the at least one second pad.
  • the preparing a device layer above the chip includes:
  • the chip to be cut is cut to form at least one chip, and each chip of the at least one chip is a chip integrated with the IPD.
  • the method further includes:
  • the substrate of the first wafer is thinned.
  • the preparing a wiring layer above the device layer includes:
  • the wiring layer is prepared.
  • the preparing at least one third pad on the wiring layer includes:
  • the chip includes an interconnection layer and a substrate, and the at least one first pad and the at least one second pad are embedded in the interconnection layer.
  • the plane where the upper surface of the at least one first pad is located, the plane where the upper surface of the at least one second pad is located, and the plane where the upper surface of the interconnection layer is located are the same. flat.
  • the method further includes:
  • At least one metal ball is prepared above the at least one third pad.
  • the wiring layer includes a second insulating layer and metal wiring arranged in the second insulating layer, and the at least one conductive pillar is respectively connected to the at least one first insulating layer through the metal wiring.
  • the wiring layer includes a second insulating layer and metal wiring arranged in the second insulating layer, and the at least one conductive pillar is respectively connected to the at least one first insulating layer through the metal wiring.
  • a chip package including:
  • Fig. 1 is a schematic structural diagram of a processor in the prior art.
  • Fig. 2 is a schematic structural diagram of a chip package according to an embodiment of the present application.
  • FIG. 3 is a schematic flowchart of a method for preparing the chip package shown in FIG. 2 according to an embodiment of the present application.
  • 4 to 14 are schematic diagrams of structures formed in various stages of preparing the chip package shown in FIG. 2 according to an embodiment of the present application.
  • 15 to 21 are schematic diagrams of structures formed in various stages of preparing the deformed structure of the chip package shown in FIG. 2 according to an embodiment of the present application.
  • the chip package may be any structure formed after chip packaging, especially a chip containing active devices.
  • the chip package may be a processor or a processor chip of an electronic device.
  • the chip package can be applied to various electronic devices. For example, portable or mobile computing devices such as smartphones, notebook computers, tablet computers, and gaming devices, as well as other electronic devices such as electronic databases, automobiles, and bank automated teller machines (ATM).
  • portable or mobile computing devices such as smartphones, notebook computers, tablet computers, and gaming devices, as well as other electronic devices such as electronic databases, automobiles, and bank automated teller machines (ATM).
  • ATM bank automated teller machines
  • Fig. 2 is a schematic structural diagram of a chip package according to an embodiment of the present application.
  • the chip package 200 may include a chip 210, a device layer 220, a wiring layer 230 and at least one third pad 240.
  • the upper surface of the chip 210 is provided with at least one first pad 213 and at least one second pad 214.
  • the device layer 220 may include a first insulating layer 223, at least one conductive pillar 221 and an integrated passive device IPD 222, the first insulating layer 223 is disposed above the chip 210, and the at least one conductive pillar 221 penetrates The first insulating layer 223 is disposed above the at least one first pad 213, and the IPD 222 penetrates the first insulating layer 223 and is disposed above the at least one second pad 214.
  • the wiring layer 230 is disposed above the device layer 220.
  • the at least one third pad 240 is disposed above the wiring layer 230, and the at least one conductive pillar 221 is connected to the at least one third pad 240 through the wiring layer 230.
  • the chip 210 may be an unpackaged chip.
  • the chip 210 may be a wafer or a die.
  • the chip 210 may be an unpackaged chip body with specific functions.
  • the IPD 222 includes but is not limited to at least one of the following: capacitors, resistors, inductors, transformers, antennas, transducers, piezoelectric devices, crystal oscillators, and memristors.
  • the IPD may be a single device or a combined device formed by multiple devices.
  • the IPD may be a passive device.
  • the IPD can also be called a passive device or a passive component.
  • the capacitor may be any capacitor that can be independently prepared.
  • the capacitor may be a low equivalent series inductance (ESL) type of multilayer ceramic chip capacitors (Multiplayer Ceramic Chip Capacitors, MLCC).
  • ESL equivalent series inductance
  • MLCC Multiplayer Ceramic Chip Capacitors
  • reverse geometry MLCC multi-terminal MLCC.
  • UBM Under Bump Metalization
  • the separately manufactured integrated passive device (IPD) 222 is directly soldered to the chip 210 and then packaged, and the wiring layer 230 and the at least one are formed after the IPD and the chip 210 are packaged.
  • the third pad 240 can reduce the IPD 222 and the chip 210 compared with the solution shown in FIG. 1 (that is, the decoupling capacitor 160 is mounted on the back of the package structure 120 of the individually packaged chip 110). The vertical distance between the two, thereby reducing the parasitic inductance of the processor.
  • the IPD 222 with good performance and performance can be compared.
  • a good chip 210 is directly packaged to form the chip package 200, which can prevent the yield rate of the IPD 222 and the yield rate of the chip 210 from affecting the yield rate of the chip package 200. Accordingly, it is ensured The manufacturing cost of the chip package 200.
  • the chip package 200 is prepared after the IPD 222 and the chip 210 are packaged.
  • the at least one third pad 240 of the IPD 222 prevents the IPD 222 from occupying the space of the pad of the packaged chip 210. That is, because the IPD 222 does not need to occupy an additional position, it can solve the problem of high input/output (Input/Output).
  • the chip package 200 required by the I/O has a larger package size in order to set up the defect of insufficient pad space.
  • the chip package 200 further includes:
  • At least one layer 250 of the adhesion layer, the barrier layer, and the seed layer 250 is provided on the at least one first pad 213 and the at least one conductive pillar 221 between.
  • At least one layer 250 of the adhesion layer, barrier layer and seed layer may include a layer of titanium (Ti) and a layer of copper (Cu), wherein the layer of Ti serves as the adhesion layer and the barrier layer,
  • the layer of copper (Cu) as a seed layer can be used to grow the at least one conductive pillar 221.
  • the seed layer in at least one layer 250 of the adhesion layer, the barrier layer and the seed layer can be used to grow the at least one conductive pillar 221.
  • the adhesion layer, the barrier layer And the adhesion layer and/or adhesion layer in at least one layer 250 in the seed layer can increase the stability between the at least one first pad 213 and the at least one conductive pillar 221, and accordingly, ensure the The performance of the chip package 200.
  • At least one conductive bump 2221 is provided on a side of the IPD 222 close to the chip 210, and the at least one conductive bump 2221 is respectively connected to the At least one second pad 214.
  • a non-conductive material 2223 is provided at the connection between the at least one conductive bump 2221 and the at least one second pad 214.
  • the non-conductive material 2223 can seal the connection between the at least one conductive bump 2221 and the at least one second pad 214, and accordingly, the performance of the chip package 200 can be ensured.
  • the at least one conductive bump 2221 and the at least one second pad 214 are connected by a conductive material 2222.
  • a conductive material 2222 may be prepared on the surface of the at least one conductive bump 2221, and then the conductive material 2222 is soldered to the at least one second pad 214.
  • the conductive material 2222 may be used to prevent corrosion of the at least one conductive bump 2221, so as to ensure the performance stability of the IPD 222.
  • the main material of the at least one second pad 214 is aluminum (Al), and a layer of nickel-gold (Ni-Au) material may also be formed on the at least one second pad 214.
  • each of the at least one conductive bump 2221 may be a copper (Cu) bump
  • the conductive material 2222 may be tin (Sn)
  • the non-conductive material may be a non-conductive film (non-conductive film, NCF) or non-conductive paste (NCP).
  • the chip package 200 further includes:
  • the first adhesive layer 260 is disposed under the chip 210.
  • the first adhesive layer 260 may be any adhesive layer used for dicing wafers in the chip preparation process.
  • the first adhesive layer 260 may be a die attach film. , DAF).
  • the chip package 200 further includes:
  • the molding compound 270 is arranged around the chip 210 for molding the chip 210, the device layer 220 and the first adhesive layer 260, and the molding compound 270 is located on the wiring layer Below 230, that is, the molding compound 270 does not plastic-encapsulate the wiring layer 230.
  • the material of the molding compound 270 may be an organic polymer.
  • the material of the molding compound 270 may be an organic polymer.
  • epoxy resin polyimide (PI), benzocyclobutene (BCB), polyparaphenylene benzodioxazole (PBO), and Parylene.
  • the molding compound 270 may also include a filler of inorganic material.
  • the filler includes but is not limited to silica pellets, and the filler is used to adjust and match the thermal expansion coefficient.
  • the chip 210 includes an interconnection layer 212 and a substrate 211, and the at least one first pad 213 and the at least one second pad 214 are embedded in Inside the interconnection layer 212.
  • the substrate 211 may be silicon or other semiconductor materials.
  • the interconnection layer 212 may be a back-end-of-line (BEOL) layer fabricated in a fab, or may include a rewiring layer (RDL) layer fabricated directly on the BEOL layer.
  • the interconnection layer 212 includes the at least one first pad 213 and the at least one second pad 214.
  • the interconnection layer may include a semiconductor circuit.
  • the semiconductor circuit includes, but is not limited to, a capacitor and/or a Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET).
  • MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor
  • the substrate 211 and the interconnection layer are used to form a chip main body, that is, a chip capable of realizing specific functions.
  • the substrate 211 may be a processor body without a wiring layer.
  • the chip main body can also be understood as an unpackaged chip.
  • the main material of the at least one first pad 213 and the at least one second pad 214 includes but is not limited to at least one of aluminum (Al) and copper (Cu).
  • the additive material of the at least one first pad 213 and the at least one second pad 214 includes but is not limited to one or more of the following materials: silicon (Si), titanium (Ti), tantalum (Ta) , Titanium nitride (TiN), tantalum nitride (TaN), nickel (Ni), zinc (Zn), tungsten (W), tin (Sn), silver (Ag), palladium (Pd) and gold (Au).
  • the at least one first pad 213 and the at least one second pad 214 are configured to be embedded in the interconnection layer 212, which can shorten the vertical distance between the chip 210 and the IPD 222 as much as possible. The distance, correspondingly, can reduce the integrated inductance of the chip package 200 as much as possible.
  • the plane on which the upper surface of the at least one first pad 213 is located, the plane on which the upper surface of the at least one second pad 214 is located, and the interconnection The plane on which the upper surface of the layer 212 is located is the same plane.
  • the chip package 200 further includes at least one metal ball 280 respectively disposed on the at least one third pad 240.
  • the at least one metal ball 280 is used to electrically connect to and communicate with other devices or components in the electronic device in which the chip package 200 is installed.
  • the at least one metal ball 280 can be used as a connection pad with the memory, camera, antenna and other devices of the electronic device.
  • the at least one metal ball 280 may be at least one solder ball.
  • the wiring layer 230 includes a second insulating layer 231 and a metal wiring 232 disposed in the second insulating layer 231, and the at least one conductive pillar 221 passes The metal wiring 232 is connected to the at least one third pad 240 respectively.
  • the metal wiring 232 may include at least one layer of wiring.
  • FIG. 2 is only an example of the embodiment of the present application, and should not be construed as a limitation to the embodiment of the present application.
  • the chip package may include multiple IPDs.
  • the chip package may further include multiple chips.
  • Various combinations of components and simple deformed structures involved in the embodiments of the present application all fall within the protection scope of the present application.
  • FIG. 3 is a schematic flowchart of a method for preparing the chip package 200 shown in FIG. 2 according to an embodiment of the present application.
  • 4 to 14 are schematic diagrams of structures formed in various stages of preparing the chip package 200 shown in FIG. 2 according to an embodiment of the present application.
  • FIGS. 15 to 21 are schematic diagrams of another structure formed in various stages of preparing the chip package 200 shown in FIG. 2 according to an embodiment of the present application.
  • the method for preparing the chip package of the present application will be described below in conjunction with FIG. 3 to FIG. 21. In order to avoid repetition, the relevant descriptions involved in the product embodiment part will not be repeated in the method embodiment part.
  • the method 300 for preparing a chip package includes:
  • a device layer is prepared above the chip.
  • the upper surface of the chip is provided with at least one first pad and at least one second pad.
  • the device layer includes a first insulating layer, at least one conductive pillar and an integrated passive In the device IPD, the first insulating layer is arranged above the chip, the at least one conductive pillar penetrates the first insulating layer and is arranged above the at least one first pad, and the IPD penetrates the The first insulating layer is disposed above the at least one second pad.
  • the wiring layer includes a second insulating layer and metal wiring arranged in the second insulating layer, and the at least one conductive pillar is respectively connected to the at least one third pad through the metal wiring.
  • the IPD is integrated on the chip, and then the chip integrated with the IPD is packaged, thereby not only reducing the parasitic inductance between the chip and the IPD, but also avoiding
  • the yield of the IPD and the yield of the chip affect the yield of the chip package, and accordingly, the yield of the chip package can be improved and the manufacturing cost can be reduced.
  • the IPD may be a device with good performance that has been prepared before the chip package is prepared, such as a silicon capacitor bare chip.
  • at least one conductive bump is provided on a side of the IPD close to the chip, and the at least one conductive bump is respectively connected to the at least one second pad.
  • the surface of the at least one conductive bump is provided with a non-conductive material, so that when the at least one conductive bump is soldered to the at least one second pad, the non-conductive material can be used to plastically seal the at least one conductive bump.
  • a junction between a conductive bump and the at least one second pad is provided.
  • the chip may be a chip prepared in advance.
  • the chip includes an interconnection layer and a substrate, and the at least one first pad and the at least one second pad are embedded in the interconnection layer.
  • the plane on which the upper surface of the at least one first pad is located, the plane on which the upper surface of the at least one second pad is located, and the upper surface of the interconnection layer The plane is the same plane, so that the device layer is prepared above the chip.
  • the S310 may include:
  • a dry film layer is prepared above the chip; at least one groove penetrating the dry film layer is formed above the at least one first pad; the at least one conductive pillar is prepared in the at least one groove Removing the dry film layer; disposing the IPD above the at least one second pad; preparing a first insulating layer above the chip, so that the first insulating layer covers the at least one conductive Layer and the IPD.
  • the dry layer may be prepared on the at least one of the adhesion layer, the barrier layer, and the seed layer.
  • the S310 may include:
  • the IPD is prepared above the at least one second pad; the first insulating layer is prepared above the chip; and the first insulating layer is formed above the at least one first pad. At least one groove; the at least one conductive pillar is prepared in the at least one groove, so that the first insulating layer covers the at least one conductive layer and the IPD.
  • At least one of an adhesion layer, a barrier layer, and a seed layer may be prepared on the inner wall of each groove in the at least one groove.
  • At least one of an adhesion layer, a barrier layer and a seed layer may be prepared above the at least one first pad and on the inner wall of each groove in the at least one groove;
  • the conductive layer is grown on at least one of the adhesion layer, the barrier layer and the seed layer; finally, the conductive layer is thinned to expose the at least one conductive pillar.
  • the method 300 may further include:
  • At least one metal ball is prepared above the at least one third pad.
  • a single chip package can be prepared, or the chip package can be prepared in batches.
  • the preparation of chip packages in batches can improve production efficiency and reduce manufacturing cost.
  • the above-mentioned preparation method can also be integrated into the method of preparing chip packages in batches.
  • the S310 may include:
  • a device layer is prepared above the first wafer; a first adhesive layer is prepared below the first wafer to form a chip to be cut; the chip to be cut is cut to form at least one chip, the at least one Each chip in the chip is a chip integrated with the IPD.
  • the substrate of the first wafer may be thinned.
  • the S320 may include:
  • Sticking the at least one chip to the second adhesive layer of the carrier forming a molding compound on the carrier, and the molding compound is used to mold the chip, the device layer, and the first adhesive layer;
  • the plastic molding compound is thinned to expose the at least one conductive pillar; the wiring layer is prepared on the molding compound and the chip.
  • the S330 may include:
  • Preparing the at least one third pad on the wiring layer removing the second adhesive layer and the carrier sheet to form a package to be cut; cutting the package to be cut to form at least one ⁇ chip package.
  • the IPD with a smaller size can be directly soldered to the specific pad of the first wafer with a larger size (that is, the at least A second pad); and on the remaining pads (that is, the at least one first pad), a copper pillar of a certain height is made; then, a first adhesive is prepared on the substrate of the first wafer Layer to form the chip to be cut; finally, the back side (ie, the substrate) of the chip to be cut is thinned, and die saw is used to form at least one chip.
  • a wiring layer and solder balls are first fabricated on the surface of the reconstructed wafer, wherein the metal traces in the wiring layer are electrically connected to the copper pillars; then, the reconstructed wafer is removed from the carrier And dicing to obtain at least one chip package.
  • step 1
  • the first wafer 400 is selected.
  • the first wafer 400 may include a plurality of chips 210, and each chip 210 of the plurality of chips 210 includes a substrate 211 and an interconnection layer 212, and the interconnection layer 212 is provided with at least one first solder.
  • a deposition process is used to deposit at least one layer 250 of an adhesion layer, a barrier layer, and a seed layer on the surface of the first wafer 400 (for example, at least one layer 250 of the adhesion layer, a barrier layer, and a seed layer). It may include a layer of Ti and a layer of Cu); then, a dry film layer 411 is covered on the surface of the first wafer 400; The at least one groove 412 of the dry film layer 411 further forms the structure 410 shown in FIG. 5.
  • the deposition process includes but is not limited to:
  • PVD Physical Vapor Deposition
  • CVD Chemical Vapor Deposition
  • thermal oxidation plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), etc.
  • atomic layer deposition ALD
  • electroplating spin coating or spraying.
  • At least one conductive pillar 221 (for example, a copper pillar) is grown in the at least one groove 412 by an electroplating process to form the structure 420 shown in FIG. 6.
  • the dry film layer 411 is first removed, and then at least one layer 250 of the excess adhesion layer, barrier layer and seed layer is removed by a wet etching process to form the structure 430 shown in FIG. 7.
  • the oxide and contamination on the surface of the at least one second pad 214 are removed.
  • the main material of the at least one second pad 214 is aluminum (Al)
  • an electroless plating process may be used to deposit nickel and gold on the at least one second pad 214.
  • (Ni-Au) material the fabricated IPD 222 with at least one conductive bump 2221 (for example, copper (Cu) bump) and coated with a non-conductive material 2223, is welded in the form of an inverted pile
  • the at least one second pad 214 is formed to form the structure 440 shown in FIG. 8.
  • a conductive material 2222 may be further provided on the at least one conductive bump 2221.
  • the conductive material 2222 may be tin.
  • the non-conductive material may be a non-conductive film (NCF) or a non-conductive paste (NCP).
  • a first insulating layer 223 is provided on the upper surface of the first wafer 400 to form the structure 450 shown in FIG. 9. Wherein, the first insulating layer 223 may be used to cover and fix the at least one conductive pillar 221 and the IPD 222.
  • the substrate 211 of the first wafer 400 is thinned to an appropriate thickness, and the thinned surface is polished; then, a first adhesive layer 260 (such as DAF) is provided as an adhesive layer on the polished surface of the substrate 211; , Dicing according to the dicing lane to obtain at least one chip 210; finally, the at least one chip 210 is pasted on the second adhesive layer 452 of the carrier 451 at a certain interval to form the structure 460 shown in FIG. 10.
  • the second adhesive layer 452 may be used as a temporary adhesive layer, and the second adhesive layer 452 may be a light-to-heat-conversion (LTHC) layer produced by 3M Company.
  • the carrier 451 includes but is not limited to glass, silicon wafer, and metal plate. It should be noted that the second adhesive layer 452 can be any type of adhesive layer that can be selectively removed or made to lose its viscosity later.
  • the material of the molding compound 270 includes but not limited to epoxy molding compound (EMC).
  • the front surface of the molding compound 270 is ground flat, and the at least one conductive pillar 221 is exposed to form the structure 480 shown in FIG. 12.
  • the wiring layer 230 of one or more metal traces, at least one third pad 240 and at least one metal ball 280 are fabricated on the ground surface to form the structure 490 shown in FIG. 13.
  • the at least one third pad 240 may be referred to as at least one Under Bump Metalization (UBM), and the at least one metal ball may also be referred to as at least one solder ball.
  • UBM Under Bump Metalization
  • the carrier sheet 451 and the second adhesive layer 452 are removed to form the structure 4100 shown in FIG. 14, and the mechanism shown in FIG. 14 is diced along the dicing path to obtain at least one chip package including an IPD and a chip.
  • FIG. 4 to FIG. 14 are only examples of the present application, and should not be construed as limiting the present application.
  • at least one conductive pillar 221 may be prepared first and then the IPD 222 may be integrated into the chip 210, or the IPD 222 may be integrated into the chip 210 first, and then the at least one conductive pillar may be prepared.
  • One conductive pillar 221, the implementation manner of first integrating the IPD 222 and then preparing the at least one conductive pillar 221 will be described below with reference to FIGS. 15 to 21.
  • a first insulating layer 223 is formed above the circle 400 to form the structure 510 shown in FIG.
  • a conductive layer 531 is prepared on the at least one first pad 213 and the first insulating layer 223 to form the structure 530 shown in FIG. 18;
  • a first adhesive layer 260 is formed under the first wafer 400 to form a chip to be diced. After the chip to be diced is cut into at least one chip, the second adhesive layer is attached to the carrier 451 at a certain interval.
  • the structure 540 shown in FIG. 19 is formed; then the conductive layer 531 is flattened to expose the at least one conductive pillar 221, thereby forming the structure 550 shown in FIG. 20; then, on the device layer A wiring layer 230, at least one third pad 240, and one less metal ball 280 are prepared above 220 to form the structure 560 shown in FIG. 21.
  • the application also provides a chip package prepared according to the method 300.
  • the functional units in the various embodiments of the present application may be integrated into one processing unit, or each unit may exist alone physically, or two or more units may be integrated into one unit.
  • the function is implemented in the form of a software functional unit and sold or used as an independent product, it can be stored in a computer readable storage medium.
  • the technical solution of the present application essentially or the part that contributes to the existing technology or the part of the technical solution can be embodied in the form of a software product, and the computer software product is stored in a storage medium, including Several instructions are used to make a computer device (which may be a personal computer, a server, or a network device, etc.) execute all or part of the steps of the methods described in the various embodiments of the present application.
  • the aforementioned storage media include: U disk, mobile hard disk, read-only memory (ROM, Read-Only Memory), random access memory (RAM, Random Access Memory), magnetic disks or optical disks and other media that can store program codes. .

Abstract

Provided in the present application are a chip package and a preparation method therefor. The chip package comprises: a chip, the upper surface of the chip being provided with at least one first pad and at least one second pad; a device layer, which comprises a first insulating layer, at least one conductive column, and an integrated passive device (IPD), the first insulating layer being provided above the chip, the at least one conductive column penetrating the first insulating layer and being provided above the at least one first pad, and the IPD penetrating the first insulating layer and being provided above the at least one second pad; a wiring layer, which is provided above the device layer; and at least one third pad, which is provided above the wiring layer, the at least one conductive column being connected to the at least one third pad by means of the wiring layer. The described chip package can ensure the yield of chips on the basis of reducing parasitic capacitance.

Description

芯片封装体及其制备方法Chip packaging body and preparation method thereof 技术领域Technical field
本申请实施例涉及芯片领域,并且更具体地,涉及芯片封装体及其制备方法。The embodiments of the present application relate to the field of chips, and more specifically, to a chip package and a manufacturing method thereof.
背景技术Background technique
手机和电脑的处理器需要配置大量的去耦电容(decoupling capacitor),所述去耦电容用于滤除电路中的噪声,进而提高电源和信号的完整性。The processors of mobile phones and computers need to be equipped with a large number of decoupling capacitors, which are used to filter noise in the circuit, thereby improving the integrity of power and signals.
去耦电容通常使用低等效串联电感(ESL)型号的积层陶瓷晶片电容(Multiplayer Ceramic Chip Capacitors,MLCC)且摆放在靠近处理器的位置,以减少整个电路中的寄生电感。例如,反向几何(reverse geometry)MLCC或多端(multi-terminal)MLCC。Decoupling capacitors usually use low-equivalent series inductance (ESL) Multiplayer Ceramic Chip Capacitors (MLCC) and are placed close to the processor to reduce parasitic inductance in the entire circuit. For example, reverse geometry MLCC or multi-terminal MLCC.
一方面,低ESL型号MLCC贡献的寄生电感小。On the one hand, the parasitic inductance contributed by the low ESL model MLCC is small.
另一方面,电路中导线引起的寄生电感,跟用于连接去耦电容和处理器的导线长度成正比(即导线越长寄生电感越大)。On the other hand, the parasitic inductance caused by the wires in the circuit is proportional to the length of the wire used to connect the decoupling capacitor to the processor (that is, the longer the wire, the greater the parasitic inductance).
针对现有的高性能处理器,通常将去耦电容直接贴装在已封装芯片的设置有焊盘的一侧,以降低导线导致的寄生电感。例如,如图1所示,所述处理器100包括芯片110和去耦电容160,其中,所述去耦电容160直接贴装在已封装的芯片110的封装结构120的背部,所述背部为所述芯片110的设置有焊盘的一侧,即布线层130的一部分焊盘140用于连接去耦电容160,另一部分焊盘140用于设置锡球150。但是,即使采用最先进封装技术,所述去耦电容160与芯片110之间的垂直距离约60-200微米,由此导致的寄生电感约几十至上百皮法(pF)。For existing high-performance processors, the decoupling capacitor is usually directly mounted on the side of the packaged chip where the pad is provided to reduce the parasitic inductance caused by the wire. For example, as shown in FIG. 1, the processor 100 includes a chip 110 and a decoupling capacitor 160, wherein the decoupling capacitor 160 is directly mounted on the back of the package structure 120 of the packaged chip 110, and the back is One side of the chip 110 where the pads are provided, that is, a part of the pads 140 of the wiring layer 130 is used for connecting the decoupling capacitor 160, and the other part of the pads 140 is used for setting the solder balls 150. However, even with the most advanced packaging technology, the vertical distance between the decoupling capacitor 160 and the chip 110 is about 60-200 microns, and the resulting parasitic inductance is about tens to hundreds of picofarads (pF).
为了进一步减小去耦电容和芯片之间的垂直距离,进而进一步减小处理器的寄生电感。可以在封装所述芯片110的过程中,直接在芯片110的焊盘140附近制作金属-绝缘体-金属(MIM)电容器。即将所述去耦电容作为芯片110的封装的一部分。然而,MIM电容的容值通常在pF数量级,远小于处理器对去耦电容容值的实际需求。此外,MIM电容器的良率会影响处理器的良率,进而增加了处理器或功能芯片的制造成本。In order to further reduce the vertical distance between the decoupling capacitor and the chip, and further reduce the parasitic inductance of the processor. In the process of packaging the chip 110, a metal-insulator-metal (MIM) capacitor may be directly fabricated near the pad 140 of the chip 110. That is, the decoupling capacitor is used as a part of the package of the chip 110. However, the capacitance of the MIM capacitor is usually on the order of pF, which is much smaller than the actual demand of the processor for the capacitance of the decoupling capacitor. In addition, the yield rate of the MIM capacitor will affect the yield rate of the processor, thereby increasing the manufacturing cost of the processor or functional chip.
因此,本领域急需一种能够兼具低寄生电感和低制造成本的处理器或功 能芯片。Therefore, there is an urgent need in the art for a processor or functional chip that can have both low parasitic inductance and low manufacturing cost.
发明内容Summary of the invention
本申请提供了一种芯片封装体及其制备方法,能够在减小寄生电容的基础上保证芯片的良率。The present application provides a chip package and a preparation method thereof, which can ensure the yield of the chip on the basis of reducing parasitic capacitance.
第一方面,提供了一种芯片封装体,包括:In the first aspect, a chip package is provided, including:
芯片,所述芯片的上表面设置有至少一个第一焊盘和至少一个第二焊盘;A chip, the upper surface of the chip is provided with at least one first pad and at least one second pad;
器件层,所述器件层包括第一绝缘层、至少一个导电柱和集成无源器件IPD,所述第一绝缘层设置在所述芯片的上方,所述至少一个导电柱贯通所述第一绝缘层并设置在所述至少一个第一焊盘的上方,所述IPD贯通所述第一绝缘层并设置在所述至少一个第二焊盘的上方;A device layer, the device layer includes a first insulating layer, at least one conductive pillar and an integrated passive device IPD, the first insulating layer is disposed above the chip, and the at least one conductive pillar penetrates the first insulating layer The layer is disposed above the at least one first pad, and the IPD penetrates the first insulating layer and is disposed above the at least one second pad;
布线层,所述布线层设置在所述器件层的上方;A wiring layer, the wiring layer is arranged above the device layer;
至少一个第三焊盘,所述至少一个第三焊盘设置在所述布线层的上方,所述至少一个导电柱通过所述布线层连接至所述至少一个第三焊盘。At least one third pad, the at least one third pad is disposed above the wiring layer, and the at least one conductive pillar is connected to the at least one third pad through the wiring layer.
将单独制作的集成无源器件(integrated passive device,IPD)直接焊接在芯片后再进行封装,并在封装所述IPD和所述芯片之后设置所述布线层和所述至少一个第三焊盘,与将所述IPD设置在已单独封装的芯片的封装结构的背面的方案相比,能够减小所述IPD和所述芯片之间的垂直距离,进而减小处理器的寄生电感。此外,与在制备所述芯片的过程中制备所述IPD的方案(例如,在制备所述芯片的布线层的过程中制备所述IPD)相比,可以将性能良好的IPD和性能良好的芯片直接进行封装,以形成所述芯片封装体,能够避免所述IPD的良率和所述芯片的良率影响所述芯片封装体的良率,相应的,保证了所述芯片封装体的制造成本。Directly soldering a separately manufactured integrated passive device (IPD) to the chip before packaging, and after packaging the IPD and the chip, the wiring layer and the at least one third pad are arranged, Compared with the solution in which the IPD is arranged on the back surface of the package structure of the individually packaged chip, the vertical distance between the IPD and the chip can be reduced, thereby reducing the parasitic inductance of the processor. In addition, compared with the solution of preparing the IPD in the process of preparing the chip (for example, preparing the IPD in the process of preparing the wiring layer of the chip), the IPD with good performance and the chip with good performance can be compared. Direct packaging to form the chip package can prevent the yield of the IPD and the chip from affecting the yield of the chip package. Accordingly, the manufacturing cost of the chip package is ensured .
此外,与将所述IPD设置在已封装芯片的布线层的焊盘上的方案相比,将所述IPD和所述芯片封装后制备所述芯片封装体的至少一个第三焊盘,避免了所述IPD挤占已封装芯片的焊盘的空间,即由于所述IPD不需要占据额外的位置,能够解决具有高输入/输出(Input/Output,I/O)需求的芯片封装体尺寸较大,为了设置焊盘空间不足的缺陷。In addition, compared with the solution in which the IPD is arranged on the pad of the wiring layer of the packaged chip, the IPD and the chip are packaged to prepare at least one third pad of the chip package, which avoids The IPD occupies the space of the pads of the packaged chip, that is, because the IPD does not need to occupy an additional position, the chip package body that can meet the high input/output (Input/Output, I/O) requirements is relatively large, In order to set the defect of insufficient pad space.
在一些可能的实现方式中,所述芯片封装体还包括:In some possible implementation manners, the chip package further includes:
黏附层、阻挡层和种子层中的至少一层,所述黏附层、阻挡层和种子层 中的至少一层设置在所述至少一个第一焊盘和所述至少一个导电柱之间。At least one of the adhesion layer, the barrier layer and the seed layer, and at least one of the adhesion layer, the barrier layer and the seed layer is disposed between the at least one first pad and the at least one conductive pillar.
一方面,在制备过程中,所述黏附层、阻挡层和种子层中的至少一层中的种子层可用于生长所述至少一个导电柱,另一方面,所述黏附层、阻挡层和种子层中的至少一层中的黏附层和/或阻挡层能够增加所述至少一个第一焊盘和所述至少一个导电柱之间的稳固性,相应的,保证了所述芯片封装体的性能。On the one hand, during the preparation process, the seed layer in at least one of the adhesion layer, the barrier layer and the seed layer can be used to grow the at least one conductive pillar. On the other hand, the adhesion layer, the barrier layer and the seed layer The adhesion layer and/or the barrier layer in at least one of the layers can increase the stability between the at least one first pad and the at least one conductive pillar, and accordingly, ensure the performance of the chip package .
在一些可能的实现方式中,所述IPD的靠近所述芯片的一侧设置有至少一个导电凸点,所述至少一个导电凸点分别连接至所述至少一个第二焊盘。In some possible implementation manners, at least one conductive bump is provided on a side of the IPD close to the chip, and the at least one conductive bump is respectively connected to the at least one second pad.
直接将所述IPD的所述至少一个导电凸点分别连接至所述芯片的所述至少一个第二焊盘,相当于,将所述IPD和所述芯片直接面对面进行连接,能够尽可能的减小所述IPD和所述芯片之间的垂直距离,相应的,能够尽可能的减小所述芯片封装体的寄生电感。Connecting the at least one conductive bump of the IPD directly to the at least one second pad of the chip is equivalent to directly connecting the IPD and the chip face-to-face, which can reduce as much as possible. The vertical distance between the IPD and the chip is small, and accordingly, the parasitic inductance of the chip package can be reduced as much as possible.
在一些可能的实现方式中,所述至少一个导电凸点和所述至少一个第二焊盘的连接处设置有非导电材料。In some possible implementations, a non-conductive material is provided at the connection between the at least one conductive bump and the at least one second pad.
通过所述非导电材料可以密封所述至少一个导电凸点和所述至少一个第二焊盘的连接处,相应的,能够保证所述芯片封装体的性能。The connection between the at least one conductive bump and the at least one second pad can be sealed by the non-conductive material, and accordingly, the performance of the chip package can be ensured.
在一些可能的实现方式中,所述芯片封装体还包括:In some possible implementation manners, the chip package further includes:
第一胶黏层,所述第一胶黏层设置在所述芯片的下方。The first adhesive layer is arranged under the chip.
在一些可能的实现方式中,所述芯片封装体还包括:In some possible implementation manners, the chip package further includes:
塑封料,所述塑封料设置在所述布线层的下方并位于所述芯片的周围区域,所述塑封料用于塑封所述芯片、所述器件层以及所述第一胶黏层。The molding compound is arranged under the wiring layer and located in the surrounding area of the chip, and the molding compound is used to mold the chip, the device layer and the first adhesive layer.
在一些可能的实现方式中,所述芯片包括互联层和衬底,所述至少一个第一焊盘和所述至少一个第二焊盘嵌入设置在所述互联层内。In some possible implementation manners, the chip includes an interconnection layer and a substrate, and the at least one first pad and the at least one second pad are embedded in the interconnection layer.
将所述至少一个第一焊盘和所述至少一个第二焊盘构造为嵌入设置在所述互联层内,可以尽可能的缩短所述芯片和所述IPD之间的垂直距离,相应的,可以尽可能的降低所述芯片封装体的集成电感。Configuring the at least one first pad and the at least one second pad to be embedded in the interconnection layer can shorten the vertical distance between the chip and the IPD as much as possible. Accordingly, The integrated inductance of the chip package can be reduced as much as possible.
在一些可能的实现方式中,所述至少一个第一焊盘的上表面所在的平面、所述至少一个第二焊盘的上表面所在的平面以及所述互联层的上表面所在的平面为同一平面。In some possible implementations, the plane where the upper surface of the at least one first pad is located, the plane where the upper surface of the at least one second pad is located, and the plane where the upper surface of the interconnection layer is located are the same. flat.
在一些可能的实现方式中,所述芯片封装体还包括分别设置在所述至少一个第三焊盘上的至少一个金属球。In some possible implementation manners, the chip package further includes at least one metal ball respectively disposed on the at least one third pad.
在一些可能的实现方式中,所述布线层包括第二绝缘层和设置在所述第二绝缘层内的金属布线,所述至少一个导电柱通过所述金属布线分别连接至所述至少一个第三焊盘。In some possible implementation manners, the wiring layer includes a second insulating layer and metal wiring arranged in the second insulating layer, and the at least one conductive pillar is respectively connected to the at least one first insulating layer through the metal wiring. Three pads.
第二方面,提供了一种制备芯片封装体的方法,包括:In a second aspect, a method for preparing a chip package is provided, including:
在芯片的上方制备器件层,所述芯片的上表面设置有至少一个第一焊盘和至少一个第二焊盘,所述器件层包括第一绝缘层、至少一个导电柱和集成无源器件IPD,所述第一绝缘层设置在所述芯片的上方,所述至少一个导电柱贯通所述第一绝缘层并设置在所述至少一个第一焊盘的上方,所述IPD贯通所述第一绝缘层并设置在所述至少一个第二焊盘的上方;A device layer is prepared above the chip. The upper surface of the chip is provided with at least one first pad and at least one second pad. The device layer includes a first insulating layer, at least one conductive pillar and an integrated passive device IPD The first insulating layer is arranged above the chip, the at least one conductive pillar penetrates the first insulating layer and is arranged above the at least one first pad, and the IPD penetrates the first The insulating layer is arranged above the at least one second pad;
在所述器件层的上方制备布线层;Preparing a wiring layer above the device layer;
在所述布线层上制备至少一个第三焊盘,所述至少一个导电柱通过所述布线层连接至所述至少一个第三焊盘。At least one third pad is prepared on the wiring layer, and the at least one conductive pillar is connected to the at least one third pad through the wiring layer.
在一些可能的实现方式中,所述在芯片的上方制备器件层,包括:In some possible implementation manners, the preparing a device layer above the chip includes:
在所述芯片的上方制备干膜层;Preparing a dry film layer above the chip;
在所述至少一个第一焊盘的上方形成贯通所述干膜层的至少一个凹槽;Forming at least one groove penetrating the dry film layer above the at least one first pad;
在所述至少一个凹槽内制备所述至少一个导电柱;Preparing the at least one conductive pillar in the at least one groove;
去除所述干膜层;Removing the dry film layer;
在所述至少一个第二焊盘的上方设置所述IPD;Disposing the IPD above the at least one second pad;
在所述芯片的上方制备第一绝缘层,使得所述第一绝缘层包覆所述至少一个导电层和所述IPD。A first insulating layer is prepared above the chip, so that the first insulating layer covers the at least one conductive layer and the IPD.
在一些可能的实现方式中,所述在所述芯片的上方制备干膜层,包括:In some possible implementation manners, the preparing a dry film layer above the chip includes:
在所述芯片的上方制备黏附层、阻挡层和种子层中的至少一层;Preparing at least one of an adhesion layer, a barrier layer and a seed layer above the chip;
在所述黏附层、阻挡层和种子层中的至少一层的上方制备所述干膜层,以便在所述黏附层、阻挡层和种子层中的至少一层上生长所述至少一个导电柱;The dry film layer is prepared above at least one of the adhesion layer, the barrier layer, and the seed layer, so that the at least one conductive pillar is grown on at least one of the adhesion layer, the barrier layer, and the seed layer ;
其中,所述去除所述干膜层,包括:Wherein, the removing the dry film layer includes:
去除所述干膜层和所述干膜层下方的所述黏附层、阻挡层和种子层中的至少一层。Removing at least one of the dry film layer and the adhesion layer, the barrier layer, and the seed layer under the dry film layer.
在一些可能的实现方式中,所述在芯片的上方制备器件层,包括:In some possible implementation manners, the preparing a device layer above the chip includes:
在所述至少一个第二焊盘的上方制备所述IPD;Preparing the IPD above the at least one second pad;
在所述芯片的上方制备所述第一绝缘层;Preparing the first insulating layer above the chip;
在所述至少一个第一焊盘的上方形成贯通所述第一绝缘层的至少一个凹槽;Forming at least one groove penetrating the first insulating layer above the at least one first pad;
在所述至少一个凹槽内制备所述至少一个导电柱,使得所述第一绝缘层包覆所述至少一个导电层和所述IPD。The at least one conductive pillar is prepared in the at least one groove, so that the first insulating layer covers the at least one conductive layer and the IPD.
在一些可能的实现方式中,所述在所述至少一个凹槽内制备所述至少一个导电柱,包括:In some possible implementation manners, the preparing the at least one conductive pillar in the at least one groove includes:
在所述至少一个凹槽中每一个凹槽的内壁制备黏附层、阻挡层和种子层中的至少一层;Preparing at least one of an adhesion layer, a barrier layer and a seed layer on the inner wall of each groove in the at least one groove;
在所述黏附层、阻挡层和种子层中的至少一层上生长所述导电层以形成所述至少一个导电柱。The conductive layer is grown on at least one of the adhesion layer, the barrier layer, and the seed layer to form the at least one conductive pillar.
在一些可能的实现方式中,所述在所述至少一个凹槽中每一个凹槽的内壁制备黏附层、阻挡层和种子层中的至少一层,包括:In some possible implementation manners, the preparing at least one of an adhesion layer, a barrier layer, and a seed layer on the inner wall of each groove in the at least one groove includes:
在所述至少一个第一焊盘的上方和所述至少一个凹槽中每一个凹槽的内壁制备黏附层、阻挡层和种子层中的至少一层;Preparing at least one of an adhesion layer, a barrier layer and a seed layer above the at least one first pad and the inner wall of each groove in the at least one groove;
其中,所述在所述黏附层、阻挡层和种子层中的至少一层上生长所述导电层以形成所述至少一个导电柱,包括:Wherein, the growing the conductive layer on at least one of the adhesion layer, the barrier layer and the seed layer to form the at least one conductive pillar includes:
在所述黏附层、阻挡层和种子层中的至少一层上生长所述导电层;Growing the conductive layer on at least one of the adhesion layer, the barrier layer and the seed layer;
减薄所述导电层,以露出所述至少一个导电柱。The conductive layer is thinned to expose the at least one conductive pillar.
在一些可能的实现方式中,所述IPD的靠近所述芯片的一侧设置有至少一个导电凸点,所述至少一个导电凸点分别连接至所述至少一个第二焊盘。In some possible implementation manners, at least one conductive bump is provided on a side of the IPD close to the chip, and the at least one conductive bump is respectively connected to the at least one second pad.
在一些可能的实现方式中,所述至少一个导电凸点和所述至少一个第二焊盘的连接处设置有非导电材料。In some possible implementations, a non-conductive material is provided at the connection between the at least one conductive bump and the at least one second pad.
在一些可能的实现方式中,所述在芯片的上方制备器件层,包括:In some possible implementation manners, the preparing a device layer above the chip includes:
在第一晶圆的上方制备器件层;Preparing a device layer on top of the first wafer;
在所述第一晶圆的下方制备第一胶黏层,以形成待切割芯片;Preparing a first adhesive layer under the first wafer to form chips to be cut;
切割所述待切割芯片,以形成至少一个芯片,所述至少一个芯片中的每一个芯片为集成有所述IPD的芯片。The chip to be cut is cut to form at least one chip, and each chip of the at least one chip is a chip integrated with the IPD.
在一些可能的实现方式中,所述方法还包括:In some possible implementation manners, the method further includes:
在所述第一晶圆的下方制备所述第一胶黏层之前,减薄所述第一晶圆的衬底。Before preparing the first adhesive layer under the first wafer, the substrate of the first wafer is thinned.
在一些可能的实现方式中,所述在所述器件层的上方制备布线层,包括:In some possible implementation manners, the preparing a wiring layer above the device layer includes:
将所述至少一个芯片粘贴至载片的第二胶黏层;Sticking the at least one chip to the second adhesive layer of the carrier;
在所述载片上形成塑封料,所述塑封料用于塑封所述芯片、所述器件层以及所述第一胶黏层;Forming a molding compound on the carrier sheet, and the molding compound is used to mold the chip, the device layer and the first adhesive layer;
减薄所述塑封料以露出所述至少一个导电柱;Thinning the molding compound to expose the at least one conductive pillar;
在所述塑封料以及所述芯片的上方,制备所述布线层。On the molding compound and the chip, the wiring layer is prepared.
在一些可能的实现方式中,所述在所述布线层上制备至少一个第三焊盘,包括:In some possible implementation manners, the preparing at least one third pad on the wiring layer includes:
在所述布线层上制备所述至少一个第三焊盘;Preparing the at least one third pad on the wiring layer;
去除所述第二胶黏层和所述载片,以形成待切割封装体;Removing the second adhesive layer and the carrier sheet to form a package to be cut;
切割所述待切割封装体,以形成至少一个所述芯片封装体。Cutting the package to be cut to form at least one chip package.
在一些可能的实现方式中,所述芯片包括互联层和衬底,所述至少一个第一焊盘和所述至少一个第二焊盘嵌入设置在所述互联层内。In some possible implementation manners, the chip includes an interconnection layer and a substrate, and the at least one first pad and the at least one second pad are embedded in the interconnection layer.
在一些可能的实现方式中,所述至少一个第一焊盘的上表面所在的平面、所述至少一个第二焊盘的上表面所在的平面以及所述互联层的上表面所在的平面为同一平面。In some possible implementations, the plane where the upper surface of the at least one first pad is located, the plane where the upper surface of the at least one second pad is located, and the plane where the upper surface of the interconnection layer is located are the same. flat.
在一些可能的实现方式中,所述方法还包括:In some possible implementation manners, the method further includes:
在所述至少一个第三焊盘的上方制备至少一个金属球。At least one metal ball is prepared above the at least one third pad.
在一些可能的实现方式中,所述布线层包括第二绝缘层和设置在所述第二绝缘层内的金属布线,所述至少一个导电柱通过所述金属布线分别连接至所述至少一个第三焊盘。In some possible implementation manners, the wiring layer includes a second insulating layer and metal wiring arranged in the second insulating layer, and the at least one conductive pillar is respectively connected to the at least one first insulating layer through the metal wiring. Three pads.
第三方面,提供了一种芯片封装体,包括:In a third aspect, a chip package is provided, including:
根据第二方面或第二方面中任一可能的实现方式中所述的方法制备的芯片封装体。A chip package prepared according to the method described in the second aspect or any possible implementation manner of the second aspect.
附图说明Description of the drawings
图1是现有技术的处理器的示意性结构图。Fig. 1 is a schematic structural diagram of a processor in the prior art.
图2是本申请实施例的芯片封装体的示意性结构图。Fig. 2 is a schematic structural diagram of a chip package according to an embodiment of the present application.
图3是本申请实施例的用于制备图2所示的芯片封装体的方法的示意性流程图。FIG. 3 is a schematic flowchart of a method for preparing the chip package shown in FIG. 2 according to an embodiment of the present application.
图4至图14是本申请实施例的制备图2所示的芯片封装体的各个阶段中形成的结构的示意图。4 to 14 are schematic diagrams of structures formed in various stages of preparing the chip package shown in FIG. 2 according to an embodiment of the present application.
图15至图21是本申请实施例的制备图2所示的芯片封装体的变形结构的各个阶段中形成的结构的示意图。15 to 21 are schematic diagrams of structures formed in various stages of preparing the deformed structure of the chip package shown in FIG. 2 according to an embodiment of the present application.
具体实施方式Detailed ways
下面将结合附图,对本申请实施例中的技术方案进行描述。The technical solutions in the embodiments of the present application will be described below in conjunction with the accompanying drawings.
应理解,本申请涉及的芯片封装体及其制备方法,所述芯片封装体可以是任一种芯片封装后形成的结构,尤其是含有有源器件的芯片。例如,所述芯片封装体可以是电子设备的处理器或处理器芯片。所述芯片封装体可以应用于各种电子设备。例如,智能手机、笔记本电脑、平板电脑、游戏设备等便携式或移动计算设备,以及电子数据库、汽车、银行自动柜员机(Automated Teller Machine,ATM)等其他电子设备。It should be understood that, for the chip package and the preparation method thereof related to the present application, the chip package may be any structure formed after chip packaging, especially a chip containing active devices. For example, the chip package may be a processor or a processor chip of an electronic device. The chip package can be applied to various electronic devices. For example, portable or mobile computing devices such as smartphones, notebook computers, tablet computers, and gaming devices, as well as other electronic devices such as electronic databases, automobiles, and bank automated teller machines (ATM).
需要说明的是,为便于说明,在本申请的实施例中,相同的附图标记表示相同的部件,并且为了简洁,在不同实施例中,省略对相同部件的详细说明。应理解,附图示出的本申请实施例中的各种部件的厚度、长宽等尺寸,以及集成装置的整体厚度、长宽等尺寸仅为示例性说明,而不应对本申请构成任何限定。It should be noted that, for ease of description, in the embodiments of the present application, the same reference numerals denote the same components, and for brevity, detailed descriptions of the same components are omitted in different embodiments. It should be understood that the thickness, length and width of the various components in the embodiments of the application shown in the drawings, as well as the overall thickness, length and width of the integrated device, are only exemplary descriptions, and should not constitute any limitation to the application. .
此外,为便于理解,在以下示出的实施例中,对于不同实施例中示出的结构中,相同的结构采用相同的附图标记,并且为了简洁,省略对相同结构的详细说明。In addition, for ease of understanding, in the embodiments shown below, for the structures shown in different embodiments, the same structures are given the same reference numerals, and for the sake of brevity, detailed descriptions of the same structures are omitted.
图2是本申请实施例的芯片封装体的示意性结构图。Fig. 2 is a schematic structural diagram of a chip package according to an embodiment of the present application.
如图2所示,所述芯片封装体200可以包括芯片210、器件层220、布线层230以及至少一个第三焊盘240。其中,所述芯片210的上表面设置有至少一个第一焊盘213和至少一个第二焊盘214。所述器件层220可以包括第一绝缘层223、至少一个导电柱221和集成无源器件IPD 222,所述第一绝缘层223设置在所述芯片210的上方,所述至少一个导电柱221贯通所述第一绝缘层223并设置在所述至少一个第一焊盘213的上方,所述IPD 222贯通所述第一绝缘层223并设置在所述至少一个第二焊盘214的上方。所述布线层230设置在所述器件层220的上方。所述至少一个第三焊盘240设置在所述布线层230的上方,所述至少一个导电柱221通过所述布线层230连接至所述至少一个第三焊盘240。As shown in FIG. 2, the chip package 200 may include a chip 210, a device layer 220, a wiring layer 230 and at least one third pad 240. Wherein, the upper surface of the chip 210 is provided with at least one first pad 213 and at least one second pad 214. The device layer 220 may include a first insulating layer 223, at least one conductive pillar 221 and an integrated passive device IPD 222, the first insulating layer 223 is disposed above the chip 210, and the at least one conductive pillar 221 penetrates The first insulating layer 223 is disposed above the at least one first pad 213, and the IPD 222 penetrates the first insulating layer 223 and is disposed above the at least one second pad 214. The wiring layer 230 is disposed above the device layer 220. The at least one third pad 240 is disposed above the wiring layer 230, and the at least one conductive pillar 221 is connected to the at least one third pad 240 through the wiring layer 230.
其中,所述芯片210可以是未封装芯片。例如,所述芯片210可以是晶 圆或晶片(die)。例如,所述芯片210可以是具有特定功能的未封装的芯片主体。所述IPD 222包括但不限于以下中的至少一项:电容、电阻、电感、变压器、天线、换能器、压电装置、晶振和忆阻器。换言之,所述IPD可以是一个单独的器件,也可以是多个器件形成的组合器件。所述IPD可以是一种无源器件。所述IPD也可以称为被动器件或被动元件。所述电容可以是任一种可独立制备的电容器,例如所述电容可以是低等效串联电感(ESL)型号的积层陶瓷晶片电容(Multiplayer Ceramic Chip Capacitors,MLCC)。例如,反向几何(reverse geometry)MLCC或多端(multi-terminal)MLCC。所述至少一个第三焊盘240也可以称为至少一个球下金属层(Under Bump Metalization,UBM)。Wherein, the chip 210 may be an unpackaged chip. For example, the chip 210 may be a wafer or a die. For example, the chip 210 may be an unpackaged chip body with specific functions. The IPD 222 includes but is not limited to at least one of the following: capacitors, resistors, inductors, transformers, antennas, transducers, piezoelectric devices, crystal oscillators, and memristors. In other words, the IPD may be a single device or a combined device formed by multiple devices. The IPD may be a passive device. The IPD can also be called a passive device or a passive component. The capacitor may be any capacitor that can be independently prepared. For example, the capacitor may be a low equivalent series inductance (ESL) type of multilayer ceramic chip capacitors (Multiplayer Ceramic Chip Capacitors, MLCC). For example, reverse geometry MLCC or multi-terminal MLCC. The at least one third pad 240 may also be referred to as at least one Under Bump Metalization (UBM).
将单独制作的集成无源器件(integrated passive device,IPD)222直接焊接在芯片210后再进行封装,并在封装所述IPD和所述芯片210之后再形成所述布线层230和所述至少一个第三焊盘240,与图1所示的方案(即将去耦电容160贴装在已单独封装的芯片110的封装结构120的背部)相比,能够减小所述IPD 222和所述芯片210之间的垂直距离,进而减小处理器的寄生电感。The separately manufactured integrated passive device (IPD) 222 is directly soldered to the chip 210 and then packaged, and the wiring layer 230 and the at least one are formed after the IPD and the chip 210 are packaged. The third pad 240 can reduce the IPD 222 and the chip 210 compared with the solution shown in FIG. 1 (that is, the decoupling capacitor 160 is mounted on the back of the package structure 120 of the individually packaged chip 110). The vertical distance between the two, thereby reducing the parasitic inductance of the processor.
此外,与在制备芯片210的过程中制备所述IPD 222的方案(例如,在制备所述芯片210的布线层的过程中制备所述IPD 222)相比,可以将性能良好的IPD 222和性能良好的芯片210直接进行封装,以形成所述芯片封装体200,能够避免所述IPD 222的良率和所述芯片210的良率影响所述芯片封装体200的良率,相应的,保证了所述芯片封装体200的制造成本。In addition, compared with the solution of preparing the IPD 222 in the process of preparing the chip 210 (for example, preparing the IPD 222 in the process of preparing the wiring layer of the chip 210), the IPD 222 with good performance and performance can be compared. A good chip 210 is directly packaged to form the chip package 200, which can prevent the yield rate of the IPD 222 and the yield rate of the chip 210 from affecting the yield rate of the chip package 200. Accordingly, it is ensured The manufacturing cost of the chip package 200.
此外,与图1所示将所述IPD 222设置在已封装芯片210的布线层230的焊盘上的方案相比,将所述IPD 222和所述芯片210封装后制备所述芯片封装体200的至少一个第三焊盘240,避免了所述IPD 222挤占已封装芯片210的焊盘的空间,即由于所述IPD 222不需要占据额外的位置,能够解决具有高输入/输出(Input/Output,I/O)需求的芯片封装体200封装体尺寸较大,为了设置焊盘空间不足的缺陷。In addition, compared with the solution shown in FIG. 1 in which the IPD 222 is disposed on the pad of the wiring layer 230 of the packaged chip 210, the chip package 200 is prepared after the IPD 222 and the chip 210 are packaged. The at least one third pad 240 of the IPD 222 prevents the IPD 222 from occupying the space of the pad of the packaged chip 210. That is, because the IPD 222 does not need to occupy an additional position, it can solve the problem of high input/output (Input/Output). , The chip package 200 required by the I/O) has a larger package size in order to set up the defect of insufficient pad space.
如图2所示,在本申请的一些实施例中,所述芯片封装体200还包括:As shown in FIG. 2, in some embodiments of the present application, the chip package 200 further includes:
黏附层、阻挡层和种子层中的至少一层250,所述黏附层、阻挡层和种子层中的至少一层250设置在所述至少一个第一焊盘213和所述至少一个导电柱221之间。At least one layer 250 of the adhesion layer, the barrier layer, and the seed layer 250 is provided on the at least one first pad 213 and the at least one conductive pillar 221 between.
例如,所述黏附层、阻挡层和种子层中的至少一层250可以包括一层钛(Ti)和一层铜(Cu),其中,所述一层Ti用作胶粘层和阻挡层,所述一层铜(Cu)作为种子层,可用作生长所述至少一个导电柱221。For example, at least one layer 250 of the adhesion layer, barrier layer and seed layer may include a layer of titanium (Ti) and a layer of copper (Cu), wherein the layer of Ti serves as the adhesion layer and the barrier layer, The layer of copper (Cu) as a seed layer can be used to grow the at least one conductive pillar 221.
一方面,在制备过程中,所述黏附层、阻挡层和种子层中的至少一层250中的种子层可用于生长所述至少一个导电柱221,另一方面,所述黏附层、阻挡层和种子层中的至少一层250中的黏附层和/或黏附层能够增加所述至少一个第一焊盘213和所述至少一个导电柱221之间的稳固性,相应的,保证了所述芯片封装体200的性能。On the one hand, during the preparation process, the seed layer in at least one layer 250 of the adhesion layer, the barrier layer and the seed layer can be used to grow the at least one conductive pillar 221. On the other hand, the adhesion layer, the barrier layer And the adhesion layer and/or adhesion layer in at least one layer 250 in the seed layer can increase the stability between the at least one first pad 213 and the at least one conductive pillar 221, and accordingly, ensure the The performance of the chip package 200.
如图2所示,在本申请的一些实施例中,所述IPD 222的靠近所述芯片210的一侧设置有至少一个导电凸点2221,所述至少一个导电凸点2221分别连接至所述至少一个第二焊盘214。As shown in FIG. 2, in some embodiments of the present application, at least one conductive bump 2221 is provided on a side of the IPD 222 close to the chip 210, and the at least one conductive bump 2221 is respectively connected to the At least one second pad 214.
直接将所述IPD 222的所述至少一个导电凸点2221分别连接至所述芯片210的所述至少一个第二焊盘214,相当于,将所述IPD 222和所述芯片210直接面对面进行连接,能够尽可能的减小所述IPD 222和所述芯片210之间的垂直距离,相应的,能够尽可能的减小所述芯片封装体200的寄生电感。Directly connect the at least one conductive bump 2221 of the IPD 222 to the at least one second pad 214 of the chip 210 respectively, which is equivalent to directly connect the IPD 222 and the chip 210 face to face Therefore, the vertical distance between the IPD 222 and the chip 210 can be reduced as much as possible, and accordingly, the parasitic inductance of the chip package 200 can be reduced as much as possible.
如图2所示,在本申请的一些实施例中,所述至少一个导电凸点2221和所述至少一个第二焊盘214的连接处设置有非导电材料2223。As shown in FIG. 2, in some embodiments of the present application, a non-conductive material 2223 is provided at the connection between the at least one conductive bump 2221 and the at least one second pad 214.
通过所述非导电材料2223可以密封所述至少一个导电凸点2221和所述至少一个第二焊盘214的连接处,相应的,能够保证所述芯片封装体200的性能。The non-conductive material 2223 can seal the connection between the at least one conductive bump 2221 and the at least one second pad 214, and accordingly, the performance of the chip package 200 can be ensured.
如图2所示,在本申请的一些实施例中,所述至少一个导电凸点2221和所述至少一个第二焊盘214通过导电材料2222连接。例如,在制备过程中,可以在所述至少一个导电凸点2221的表面制备一层导电材料2222,然后将所述导电材料2222焊接至所述至少一个第二焊盘214。可选地,所述导电材料2222可以用于防止所述至少一个导电凸点2221发生腐蚀,以保证所述IPD 222的性能稳定性。As shown in FIG. 2, in some embodiments of the present application, the at least one conductive bump 2221 and the at least one second pad 214 are connected by a conductive material 2222. For example, during the preparation process, a layer of conductive material 2222 may be prepared on the surface of the at least one conductive bump 2221, and then the conductive material 2222 is soldered to the at least one second pad 214. Optionally, the conductive material 2222 may be used to prevent corrosion of the at least one conductive bump 2221, so as to ensure the performance stability of the IPD 222.
例如,所述至少一个第二焊盘214的主要材料为铝(Al),所述至少一个第二焊盘214上还可以形成一层镍金(Ni-Au)材料。可选的,所述至少一个导电凸点2221中的每一个导电凸点2221可以是铜(Cu)凸点,所述导电材料2222可以为锡(Sn),所述非导电材料可以是非导电膜(non-conductive  film,NCF)或非导电胶(non-conductive paste,NCP)。For example, the main material of the at least one second pad 214 is aluminum (Al), and a layer of nickel-gold (Ni-Au) material may also be formed on the at least one second pad 214. Optionally, each of the at least one conductive bump 2221 may be a copper (Cu) bump, the conductive material 2222 may be tin (Sn), and the non-conductive material may be a non-conductive film (non-conductive film, NCF) or non-conductive paste (NCP).
如图2所示,在本申请的一些实施例中,所述芯片封装体200还包括:As shown in FIG. 2, in some embodiments of the present application, the chip package 200 further includes:
第一胶黏层260,所述第一胶黏层260设置在所述芯片210的下方。The first adhesive layer 260 is disposed under the chip 210.
应理解,所述第一胶黏层260可以是制备芯片的工艺中用于切割晶圆的任一胶黏层,例如,所述第一胶黏层260可以是芯片胶黏薄膜(die attach film,DAF)。It should be understood that the first adhesive layer 260 may be any adhesive layer used for dicing wafers in the chip preparation process. For example, the first adhesive layer 260 may be a die attach film. , DAF).
如图2所示,在本申请的一些实施例中,所述芯片封装体200还包括:As shown in FIG. 2, in some embodiments of the present application, the chip package 200 further includes:
塑封料270,所述塑封料270设置所述芯片210的四周,用于塑封所述芯片210、所述器件层220以及所述第一胶黏层260,所述塑封料270位于所述布线层230的下方,也就是塑封料270并未将布线层230塑封起来。The molding compound 270 is arranged around the chip 210 for molding the chip 210, the device layer 220 and the first adhesive layer 260, and the molding compound 270 is located on the wiring layer Below 230, that is, the molding compound 270 does not plastic-encapsulate the wiring layer 230.
其中,所述塑封料270的材料可以是有机聚合物。例如,环氧树脂,聚酰亚胺(polyimide,PI),苯并环丁烯(BCB),聚对苯撑苯并二恶唑(PBO),帕里纶(Parylene)。可选的,所述塑封料270还可以包括无机材料的填充物(filler)。例如,所述填充物包括但不限于二氧化硅小球,所述填充物用于调整和匹配热膨胀系数。Wherein, the material of the molding compound 270 may be an organic polymer. For example, epoxy resin, polyimide (PI), benzocyclobutene (BCB), polyparaphenylene benzodioxazole (PBO), and Parylene. Optionally, the molding compound 270 may also include a filler of inorganic material. For example, the filler includes but is not limited to silica pellets, and the filler is used to adjust and match the thermal expansion coefficient.
如图2所示,在本申请的一些实施例中,所述芯片210包括互联层212和衬底211,所述至少一个第一焊盘213和所述至少一个第二焊盘214嵌入设置在所述互联层212内。As shown in FIG. 2, in some embodiments of the present application, the chip 210 includes an interconnection layer 212 and a substrate 211, and the at least one first pad 213 and the at least one second pad 214 are embedded in Inside the interconnection layer 212.
其中,所述衬底211可以是硅,也可以是其它半导体材料。所述互连层212可以是晶圆厂制作的后道工艺(back-end-of-line,BEOL)层,也可以包括在BEOL层上直接制作的重布线层(RDL)层。所述互连层212包括所述至少一个第一焊盘213和所述至少一个第二焊盘214。所述互联层可以包括半导体电路。例如,所述半导体电路包括但不限于电容器和/或金属氧化物半导体场效应晶体管(Metal-Oxide-Semiconductor Field-Effect Transistor,MOSFET)。例如“N型”MOS管(NMOSFET)与“P型”MOS管(PMOSFET)。所述衬底211和互联层用于形成芯片主体,即能够实现特定功能的芯片。例如,所述衬底211可以是未设置布线层的处理器主体。所述芯片主体也可以理解为未进行封装的芯片。所述至少一个第一焊盘213和所述至少一个第二焊盘214的主要材料包括但不限于铝(Al)和铜(Cu)中的至少一种。进一步的,至少一个第一焊盘213和所述至少一个第二焊盘214的添加材料包括但不限于以下材料的一种或多种:硅(Si)、钛(Ti)、钽(Ta)、氮化钛 (TiN)、氮化钽(TaN)、镍(Ni)、锌(Zn)、钨(W)、锡(Sn)、银(Ag)、钯(Pd)以及金(Au)。Wherein, the substrate 211 may be silicon or other semiconductor materials. The interconnection layer 212 may be a back-end-of-line (BEOL) layer fabricated in a fab, or may include a rewiring layer (RDL) layer fabricated directly on the BEOL layer. The interconnection layer 212 includes the at least one first pad 213 and the at least one second pad 214. The interconnection layer may include a semiconductor circuit. For example, the semiconductor circuit includes, but is not limited to, a capacitor and/or a Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET). For example, "N-type" MOS tube (NMOSFET) and "P-type" MOS tube (PMOSFET). The substrate 211 and the interconnection layer are used to form a chip main body, that is, a chip capable of realizing specific functions. For example, the substrate 211 may be a processor body without a wiring layer. The chip main body can also be understood as an unpackaged chip. The main material of the at least one first pad 213 and the at least one second pad 214 includes but is not limited to at least one of aluminum (Al) and copper (Cu). Further, the additive material of the at least one first pad 213 and the at least one second pad 214 includes but is not limited to one or more of the following materials: silicon (Si), titanium (Ti), tantalum (Ta) , Titanium nitride (TiN), tantalum nitride (TaN), nickel (Ni), zinc (Zn), tungsten (W), tin (Sn), silver (Ag), palladium (Pd) and gold (Au).
将所述至少一个第一焊盘213和所述至少一个第二焊盘214构造为嵌入设置在所述互联层212内,可以尽可能的缩短所述芯片210和所述IPD 222之间的垂直距离,相应的,可以尽可能的降低所述芯片封装体200的集成电感。The at least one first pad 213 and the at least one second pad 214 are configured to be embedded in the interconnection layer 212, which can shorten the vertical distance between the chip 210 and the IPD 222 as much as possible. The distance, correspondingly, can reduce the integrated inductance of the chip package 200 as much as possible.
如图2所示,在本申请的一些实施例中,所述至少一个第一焊盘213的上表面所在的平面、所述至少一个第二焊盘214的上表面所在的平面以及所述互联层212的上表面所在的平面为同一平面。As shown in FIG. 2, in some embodiments of the present application, the plane on which the upper surface of the at least one first pad 213 is located, the plane on which the upper surface of the at least one second pad 214 is located, and the interconnection The plane on which the upper surface of the layer 212 is located is the same plane.
如图2所示,在本申请的一些实施例中,所述芯片封装体200还包括分别设置在所述至少一个第三焊盘240上的至少一个金属球280。所述至少一个金属球280用于电连接至安装有所述芯片封装体200的电子设备中的其他器件或组件,并与所述其他器件或组件进行通信。例如,若所述芯片封装体200为电子设备的处理器,所述至少一个金属球280可以用作与所述电子设备的存储器、摄像头以及天线等器件的连接焊盘。可选地,所述至少一个金属球280可以是至少一个锡球。As shown in FIG. 2, in some embodiments of the present application, the chip package 200 further includes at least one metal ball 280 respectively disposed on the at least one third pad 240. The at least one metal ball 280 is used to electrically connect to and communicate with other devices or components in the electronic device in which the chip package 200 is installed. For example, if the chip package 200 is a processor of an electronic device, the at least one metal ball 280 can be used as a connection pad with the memory, camera, antenna and other devices of the electronic device. Optionally, the at least one metal ball 280 may be at least one solder ball.
如图2所示,在本申请的一些实施例中,所述布线层230包括第二绝缘层231和设置在所述第二绝缘层231内的金属布线232,所述至少一个导电柱221通过所述金属布线232分别连接至所述至少一个第三焊盘240。所述金属布线232可以包括至少一层布线。As shown in FIG. 2, in some embodiments of the present application, the wiring layer 230 includes a second insulating layer 231 and a metal wiring 232 disposed in the second insulating layer 231, and the at least one conductive pillar 221 passes The metal wiring 232 is connected to the at least one third pad 240 respectively. The metal wiring 232 may include at least one layer of wiring.
应理解,图2仅是本申请实施例的示例,不应理解为对本申请实施例的限制。例如,在其他可替代实施例中,所述芯片封装体可以包括多个IPD。又例如,在其他可替代实施例中,所述芯片封装体还可以包括多个芯片。本申请实施例涉及的部件的各种组合方式以及简单的变形结构均属于本申请的保护范围。It should be understood that FIG. 2 is only an example of the embodiment of the present application, and should not be construed as a limitation to the embodiment of the present application. For example, in other alternative embodiments, the chip package may include multiple IPDs. For another example, in other alternative embodiments, the chip package may further include multiple chips. Various combinations of components and simple deformed structures involved in the embodiments of the present application all fall within the protection scope of the present application.
图3是本申请实施例的用于制备图2所示的芯片封装体200的方法的示意性流程图。图4至图14是本申请实施例的制备图2所示的芯片封装体200的各个阶段中形成的结构的示意图。图至15至图21是本申请实施例的制备图2所示的芯片封装体200的各个阶段中形成的另一结构的示意图。下面结合图3至图21对本申请的制备芯片封装体的方法进行说明。为避免重复,方法实施例部分对产品实施例部分涉及的相关说明不再赘述。FIG. 3 is a schematic flowchart of a method for preparing the chip package 200 shown in FIG. 2 according to an embodiment of the present application. 4 to 14 are schematic diagrams of structures formed in various stages of preparing the chip package 200 shown in FIG. 2 according to an embodiment of the present application. FIGS. 15 to 21 are schematic diagrams of another structure formed in various stages of preparing the chip package 200 shown in FIG. 2 according to an embodiment of the present application. The method for preparing the chip package of the present application will be described below in conjunction with FIG. 3 to FIG. 21. In order to avoid repetition, the relevant descriptions involved in the product embodiment part will not be repeated in the method embodiment part.
如图3所示,制备芯片封装体的方法300包括:As shown in FIG. 3, the method 300 for preparing a chip package includes:
S310,在芯片的上方制备器件层,所述芯片的上表面设置有至少一个第一焊盘和至少一个第二焊盘,所述器件层包括第一绝缘层、至少一个导电柱和集成无源器件IPD,所述第一绝缘层设置在所述芯片的上方,所述至少一个导电柱贯通所述第一绝缘层并设置在所述至少一个第一焊盘的上方,所述IPD贯通所述第一绝缘层并设置在所述至少一个第二焊盘的上方。S310. A device layer is prepared above the chip. The upper surface of the chip is provided with at least one first pad and at least one second pad. The device layer includes a first insulating layer, at least one conductive pillar and an integrated passive In the device IPD, the first insulating layer is arranged above the chip, the at least one conductive pillar penetrates the first insulating layer and is arranged above the at least one first pad, and the IPD penetrates the The first insulating layer is disposed above the at least one second pad.
S320,在所述器件层的上方制备布线层。可选的,所述布线层包括第二绝缘层和设置在所述第二绝缘层内的金属布线,所述至少一个导电柱通过所述金属布线分别连接至所述至少一个第三焊盘。S320, preparing a wiring layer above the device layer. Optionally, the wiring layer includes a second insulating layer and metal wiring arranged in the second insulating layer, and the at least one conductive pillar is respectively connected to the at least one third pad through the metal wiring.
S330,在所述布线层上制备至少一个第三焊盘,所述至少一个导电柱通过所述布线层连接至所述至少一个第三焊盘。S330, preparing at least one third pad on the wiring layer, and the at least one conductive pillar is connected to the at least one third pad through the wiring layer.
换言之,在封装所述芯片之前,将所述IPD集成到所述芯片上,然后封装集成有IPD的芯片,由此,不仅能够降低所述芯片和所述IPD之间的寄生电感,还能够避免所述IPD的良率和所述芯片的良率影响所述芯片封装体的良率,相应的,能够提升所述芯片封装体的良率并降低制造成本。In other words, before the chip is packaged, the IPD is integrated on the chip, and then the chip integrated with the IPD is packaged, thereby not only reducing the parasitic inductance between the chip and the IPD, but also avoiding The yield of the IPD and the yield of the chip affect the yield of the chip package, and accordingly, the yield of the chip package can be improved and the manufacturing cost can be reduced.
需要说明的是,所述IPD可以是制备所述芯片封装体之前已制备好的性能良好的器件,比如硅电容裸晶片。例如,所述IPD的靠近所述芯片的一侧设置有至少一个导电凸点,所述至少一个导电凸点分别连接至所述至少一个第二焊盘。又例如,所述至少一个导电凸点的表面设置有非导电材料,以便所述至少一个导电凸点焊接至所述至少一个第二焊盘时,所述非导电材料可以用于塑封所述至少一个导电凸点和所述至少一个第二焊盘的连接处。It should be noted that the IPD may be a device with good performance that has been prepared before the chip package is prepared, such as a silicon capacitor bare chip. For example, at least one conductive bump is provided on a side of the IPD close to the chip, and the at least one conductive bump is respectively connected to the at least one second pad. For another example, the surface of the at least one conductive bump is provided with a non-conductive material, so that when the at least one conductive bump is soldered to the at least one second pad, the non-conductive material can be used to plastically seal the at least one conductive bump. A junction between a conductive bump and the at least one second pad.
类似的,所述芯片可以是事先制备好的芯片。例如,所述芯片包括互联层和衬底,所述至少一个第一焊盘和所述至少一个第二焊盘嵌入设置在所述互联层内。可选的,在本申请的一些实施例中,所述至少一个第一焊盘的上表面所在的平面、所述至少一个第二焊盘的上表面所在的平面以及所述互联层的上表面所在的平面为同一平面,以便在所述芯片的上方制备所述器件层。Similarly, the chip may be a chip prepared in advance. For example, the chip includes an interconnection layer and a substrate, and the at least one first pad and the at least one second pad are embedded in the interconnection layer. Optionally, in some embodiments of the present application, the plane on which the upper surface of the at least one first pad is located, the plane on which the upper surface of the at least one second pad is located, and the upper surface of the interconnection layer The plane is the same plane, so that the device layer is prepared above the chip.
在本申请的一些实施例中,所述S310可包括:In some embodiments of the present application, the S310 may include:
在所述芯片的上方制备干膜层;在所述至少一个第一焊盘的上方形成贯通所述干膜层的至少一个凹槽;在所述至少一个凹槽内制备所述至少一个导电柱;去除所述干膜层;在所述至少一个第二焊盘的上方设置所述IPD;在 所述芯片的上方制备第一绝缘层,使得所述第一绝缘层包覆所述至少一个导电层和所述IPD。A dry film layer is prepared above the chip; at least one groove penetrating the dry film layer is formed above the at least one first pad; the at least one conductive pillar is prepared in the at least one groove Removing the dry film layer; disposing the IPD above the at least one second pad; preparing a first insulating layer above the chip, so that the first insulating layer covers the at least one conductive Layer and the IPD.
例如,在所述芯片的上方制备黏附层、阻挡层和种子层中的至少一层的过程中,可以先在所述黏附层、阻挡层和种子层中的至少一层的上方制备所述干膜层,以便在所述黏附层、阻挡层和种子层中的至少一层上生长所述至少一个导电柱;此时,在去除所述干膜层的过程中,可以去除所述干膜层和所述干膜层下方的所述黏附层、阻挡层和种子层中的至少一层。For example, in the process of preparing at least one of the adhesion layer, the barrier layer, and the seed layer on the chip, the dry layer may be prepared on the at least one of the adhesion layer, the barrier layer, and the seed layer. A film layer to grow the at least one conductive pillar on at least one of the adhesion layer, the barrier layer, and the seed layer; at this time, in the process of removing the dry film layer, the dry film layer may be removed And at least one of the adhesion layer, the barrier layer and the seed layer under the dry film layer.
在本申请的一些实施例中,所述S310可包括:In some embodiments of the present application, the S310 may include:
在所述至少一个第二焊盘的上方制备所述IPD;在所述芯片的上方制备所述第一绝缘层;在所述至少一个第一焊盘的上方形成贯通所述第一绝缘层的至少一个凹槽;在所述至少一个凹槽内制备所述至少一个导电柱,使得所述第一绝缘层包覆所述至少一个导电层和所述IPD。The IPD is prepared above the at least one second pad; the first insulating layer is prepared above the chip; and the first insulating layer is formed above the at least one first pad. At least one groove; the at least one conductive pillar is prepared in the at least one groove, so that the first insulating layer covers the at least one conductive layer and the IPD.
例如,在所述至少一个凹槽内制备所述至少一个导电柱的过程中,可以先在所述至少一个凹槽中每一个凹槽的内壁制备黏附层、阻挡层和种子层中的至少一层;然后在所述黏附层、阻挡层和种子层中的至少一层上生长所述导电层以形成所述至少一个导电柱。可选的,可以先在所述至少一个第一焊盘的上方和所述至少一个凹槽中每一个凹槽的内壁制备黏附层、阻挡层和种子层中的至少一层;然后在所述黏附层、阻挡层和种子层中的至少一层上生长所述导电层;最后减薄所述导电层,以露出所述至少一个导电柱。For example, in the process of preparing the at least one conductive pillar in the at least one groove, at least one of an adhesion layer, a barrier layer, and a seed layer may be prepared on the inner wall of each groove in the at least one groove. Layer; then the conductive layer is grown on at least one of the adhesion layer, the barrier layer and the seed layer to form the at least one conductive pillar. Optionally, at least one of an adhesion layer, a barrier layer and a seed layer may be prepared above the at least one first pad and on the inner wall of each groove in the at least one groove; The conductive layer is grown on at least one of the adhesion layer, the barrier layer and the seed layer; finally, the conductive layer is thinned to expose the at least one conductive pillar.
在本申请的一些实施例中,所述方法300还可包括:In some embodiments of the present application, the method 300 may further include:
在所述至少一个第三焊盘的上方制备至少一个金属球。At least one metal ball is prepared above the at least one third pad.
需要说明的是,在制备所述芯片封装体的过程中,可以制备单个芯片封装体,也可以成批量制备芯片封装体,相对制备单个芯片封装体,成批量制备芯片封装体能够提高生产效率并降低生产成本。相应的,上述制备方式也可以结合至成批量制备芯片封装体的方法中。It should be noted that in the process of preparing the chip package, a single chip package can be prepared, or the chip package can be prepared in batches. Compared with the preparation of a single chip package, the preparation of chip packages in batches can improve production efficiency and reduce manufacturing cost. Correspondingly, the above-mentioned preparation method can also be integrated into the method of preparing chip packages in batches.
下面对成批量制备芯片封装体的方法进行说明。The method for preparing chip packages in batches is described below.
在本申请的一些实施例中,所述S310可包括:In some embodiments of the present application, the S310 may include:
在第一晶圆的上方制备器件层;在所述第一晶圆的下方制备第一胶黏层,以形成待切割芯片;切割所述待切割芯片,以形成至少一个芯片,所述至少一个芯片中的每一个芯片为集成有所述IPD的芯片。可选的,在所述第一晶圆的下方制备所述第一胶黏层之前,还可以减薄所述第一晶圆的衬底。A device layer is prepared above the first wafer; a first adhesive layer is prepared below the first wafer to form a chip to be cut; the chip to be cut is cut to form at least one chip, the at least one Each chip in the chip is a chip integrated with the IPD. Optionally, before the first adhesive layer is prepared under the first wafer, the substrate of the first wafer may be thinned.
在本申请的一些实施例中,所述S320可包括:In some embodiments of the present application, the S320 may include:
将所述至少一个芯片粘贴至载片的第二胶黏层;在所述载片上形成塑封料,所述塑封料用于塑封所述芯片、所述器件层以及所述第一胶黏层;减薄所述塑封料以露出所述至少一个导电柱;在所述塑封料以及所述芯片的上方,制备所述布线层。Sticking the at least one chip to the second adhesive layer of the carrier; forming a molding compound on the carrier, and the molding compound is used to mold the chip, the device layer, and the first adhesive layer; The plastic molding compound is thinned to expose the at least one conductive pillar; the wiring layer is prepared on the molding compound and the chip.
在本申请的一些实施例中,所述S330可包括:In some embodiments of the present application, the S330 may include:
在所述布线层上制备所述至少一个第三焊盘;去除所述第二胶黏层和所述载片,以形成待切割封装体;切割所述待切割封装体,以形成至少一个所述芯片封装体。Preparing the at least one third pad on the wiring layer; removing the second adhesive layer and the carrier sheet to form a package to be cut; cutting the package to be cut to form at least one述chip package.
综上所述,在S310中,可以先将尺寸较小的IPD以倒桩(Flip-chip)的形式,直接焊接在尺寸较大的所述第一晶圆的特定焊盘(即所述至少一个第二焊盘)上;并在其余焊盘(即所述至少一个第一焊盘)上制作一定高度的铜柱;然后,在所述第一晶圆的衬底上制备第一胶黏层,以形成所述待切割芯片;最后,减薄所述待切割芯片的背面(即衬底),并按切割道划片(die saw),以形成至少一个芯片。在S320中,先将所述至少一个芯片粘贴在载片的第二胶黏层上,并用塑封料塑封所述至少一个芯片的上方以及侧面,形成重构晶圆;然后,利用机械研磨的方式减薄所述重构晶圆的塑封料以至露出铜柱并磨平,以消除晶圆减薄公差、胶黏层厚度公差的影响,便于后续光刻制作再布线层。在S330中,先在所述重构晶圆的表面制作布线层及焊球,其中布线层中的金属走线与铜柱形成电连接;然后,将所述重构晶圆从载片上取下并划片,得到至少一个芯片封装体。In summary, in S310, the IPD with a smaller size can be directly soldered to the specific pad of the first wafer with a larger size (that is, the at least A second pad); and on the remaining pads (that is, the at least one first pad), a copper pillar of a certain height is made; then, a first adhesive is prepared on the substrate of the first wafer Layer to form the chip to be cut; finally, the back side (ie, the substrate) of the chip to be cut is thinned, and die saw is used to form at least one chip. In S320, first paste the at least one chip on the second adhesive layer of the carrier, and encapsulate the upper and side surfaces of the at least one chip with a plastic molding compound to form a reconstituted wafer; then, use mechanical grinding The plastic packaging material of the reconstructed wafer is thinned to expose the copper pillars and ground to eliminate the influence of the wafer thinning tolerance and the thickness tolerance of the adhesive layer, so as to facilitate the subsequent photolithographic production of the rewiring layer. In S330, a wiring layer and solder balls are first fabricated on the surface of the reconstructed wafer, wherein the metal traces in the wiring layer are electrically connected to the copper pillars; then, the reconstructed wafer is removed from the carrier And dicing to obtain at least one chip package.
下面结合图4至图14对成批量制备图2所示的芯片封装体200的各个阶段中形成的结构进行说明。Hereinafter, the structures formed in each stage of preparing the chip package 200 shown in FIG. 2 in batches will be described with reference to FIGS. 4 to 14.
步骤1:step 1:
选取用于制备芯片封装体的晶圆。Select the wafer used to prepare the chip package.
例如,如图4所示,选取第一晶圆400。其中,所述第一晶圆400可以包括多个芯片210,所述多个芯片210中的每一个芯片210包括衬底211和互联层212,所述互联层212中设置有至少一个第一焊盘213和至少一个第二焊盘214。For example, as shown in FIG. 4, the first wafer 400 is selected. Wherein, the first wafer 400 may include a plurality of chips 210, and each chip 210 of the plurality of chips 210 includes a substrate 211 and an interconnection layer 212, and the interconnection layer 212 is provided with at least one first solder. The pad 213 and at least one second pad 214.
步骤2:Step 2:
先利用沉积工艺,在所述第一晶圆400表面沉积一层黏附层、阻挡层和 种子层中的至少一层250(例如,所述黏附层、阻挡层和种子层中的至少一层250可以包括一层Ti和一层Cu);然后,在所述第一晶圆400表面覆盖一层干膜层411;接着,利用光刻在所述至少一个第一焊盘213的上方形成贯通所述干膜层411的至少一个凹槽412,进而形成图5所示的结构410。First, a deposition process is used to deposit at least one layer 250 of an adhesion layer, a barrier layer, and a seed layer on the surface of the first wafer 400 (for example, at least one layer 250 of the adhesion layer, a barrier layer, and a seed layer). It may include a layer of Ti and a layer of Cu); then, a dry film layer 411 is covered on the surface of the first wafer 400; The at least one groove 412 of the dry film layer 411 further forms the structure 410 shown in FIG. 5.
其中,所述沉积工艺包括但不限于:Wherein, the deposition process includes but is not limited to:
物理气相沉积(Physical Vapor Deposition,PVD)工艺和/或化学气相沉积(Chemical Vapor Deposition,CVD)工艺。例如,热氧化、等离子体增强化学的气相沉积法(Plasma Enhanced Chemical Vapor Deposition,PECVD)、低压力化学气相沉积法(Low Pressure Chemical Vapor Deposition,LPCVD)等)、原子层沉积(Atomic layer deposition,ALD)、电镀、旋涂或喷涂。Physical Vapor Deposition (PVD) process and/or Chemical Vapor Deposition (CVD) process. For example, thermal oxidation, plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), etc.), atomic layer deposition (ALD) ), electroplating, spin coating or spraying.
步骤3:Step 3:
利用电镀工艺在所述至少一个凹槽412内生长至少一个导电柱221(例如铜柱),以形成图6所示的结构420。At least one conductive pillar 221 (for example, a copper pillar) is grown in the at least one groove 412 by an electroplating process to form the structure 420 shown in FIG. 6.
步骤4:Step 4:
先去除所述干膜层411,再利用湿法腐蚀工艺去除多余的黏附层、阻挡层和种子层中的至少一层250,以形成图7所示的结构430。The dry film layer 411 is first removed, and then at least one layer 250 of the excess adhesion layer, barrier layer and seed layer is removed by a wet etching process to form the structure 430 shown in FIG. 7.
步骤5:Step 5:
清除所述至少一个第二焊盘214表面的氧化物和沾污。The oxide and contamination on the surface of the at least one second pad 214 are removed.
需要说明的是,如果所述至少一个第二焊盘214的主要材料为铝(Al),可选的,还可以先利用化学镀工艺,在所述至少一个第二焊盘214上沉积镍金(Ni-Au)材料;然后,将制作好的具有至少一个导电凸点2221(例如,铜(Cu)凸点)并涂覆有非传导材料2223的IPD 222,以倒桩的形式焊接在所述至少一个第二焊盘214上,以形成图8所示的结构440。可选的,所述至少一个导电凸点2221上还可以设置有导电材料2222。例如,所述导电材料2222可以是锡。可选的,所述非导电材料可以是非导电膜(non-conductive film,NCF)或非导电胶(non-conductive paste,NCP)。It should be noted that if the main material of the at least one second pad 214 is aluminum (Al), optionally, an electroless plating process may be used to deposit nickel and gold on the at least one second pad 214. (Ni-Au) material; then, the fabricated IPD 222 with at least one conductive bump 2221 (for example, copper (Cu) bump) and coated with a non-conductive material 2223, is welded in the form of an inverted pile The at least one second pad 214 is formed to form the structure 440 shown in FIG. 8. Optionally, a conductive material 2222 may be further provided on the at least one conductive bump 2221. For example, the conductive material 2222 may be tin. Optionally, the non-conductive material may be a non-conductive film (NCF) or a non-conductive paste (NCP).
步骤6:Step 6:
在所述第一晶圆400的上表面设置第一绝缘层223,以形成图9所示的结构450。其中,所述第一绝缘层223可以用于包覆、固定所述至少一个导电柱221和IPD 222。A first insulating layer 223 is provided on the upper surface of the first wafer 400 to form the structure 450 shown in FIG. 9. Wherein, the first insulating layer 223 may be used to cover and fix the at least one conductive pillar 221 and the IPD 222.
步骤7:Step 7:
先将所述第一晶圆400的衬底211减薄至合适厚度,并将减薄面抛光;然后,在衬底211抛光面设置第一胶黏层260(例如DAF)作为胶黏层;接着,按切割道划片以得到至少一个芯片210;最后,将所述至少一个芯片210以一定间隔粘贴至载片451的第二胶黏层452上,以形成图10所示的结构460。可选的,所述第二胶黏层452可以作为临时胶黏层,所述第二胶黏层452可以是3M公司生产的光热转换(light-to-heat-conversion,LTHC)层。可选的,所述载片451包括但不限于玻璃、硅晶圆以及金属板。需要说明的是,所述第二胶黏层452可以是任意一种后续可以选择性去除或使之失去粘性的胶黏层。First, the substrate 211 of the first wafer 400 is thinned to an appropriate thickness, and the thinned surface is polished; then, a first adhesive layer 260 (such as DAF) is provided as an adhesive layer on the polished surface of the substrate 211; , Dicing according to the dicing lane to obtain at least one chip 210; finally, the at least one chip 210 is pasted on the second adhesive layer 452 of the carrier 451 at a certain interval to form the structure 460 shown in FIG. 10. Optionally, the second adhesive layer 452 may be used as a temporary adhesive layer, and the second adhesive layer 452 may be a light-to-heat-conversion (LTHC) layer produced by 3M Company. Optionally, the carrier 451 includes but is not limited to glass, silicon wafer, and metal plate. It should be noted that the second adhesive layer 452 can be any type of adhesive layer that can be selectively removed or made to lose its viscosity later.
步骤8:Step 8:
使用模塑工艺,用塑封料270包覆所述载片451上摆放好的至少一个芯片210,以形成图11所示的结构470。可选的,所述塑封料270的材料包括但不限于环氧塑封料(EMC)。Using a molding process, at least one chip 210 placed on the carrier sheet 451 is covered with a molding compound 270 to form the structure 470 shown in FIG. 11. Optionally, the material of the molding compound 270 includes but not limited to epoxy molding compound (EMC).
步骤9:Step 9:
利用机械研磨的方式,将塑封料270的正面磨平,并露出所述至少一个导电柱221,以形成图12所示的结构480。Using mechanical grinding, the front surface of the molding compound 270 is ground flat, and the at least one conductive pillar 221 is exposed to form the structure 480 shown in FIG. 12.
步骤10:Step 10:
在磨平面制作一层或多层金属走线的布线层230、至少一个第三焊盘240以及至少一个金属球280,以形成图13所示的结构490。可选的,所述至少一个第三焊盘240可以称为至少一个球下金属层(Under Bump Metalization,UBM),所述至少一个金属球也可以称为至少一个焊球。The wiring layer 230 of one or more metal traces, at least one third pad 240 and at least one metal ball 280 are fabricated on the ground surface to form the structure 490 shown in FIG. 13. Optionally, the at least one third pad 240 may be referred to as at least one Under Bump Metalization (UBM), and the at least one metal ball may also be referred to as at least one solder ball.
步骤11:Step 11:
去除所述载片451和所述第二胶黏层452,以形成图14所示的结构4100,将图14所示的机构沿切割道划片得到包括IPD和芯片的至少一个芯片封装体。The carrier sheet 451 and the second adhesive layer 452 are removed to form the structure 4100 shown in FIG. 14, and the mechanism shown in FIG. 14 is diced along the dicing path to obtain at least one chip package including an IPD and a chip.
应理解,图4至图14所示的结构仅为本申请的示例,不应理解为对本申请的限制。例如,在制备器件层的过程中,可以先制备至少一个导电柱221再将所述IPD 222集成至所述芯片210,也可以先将所述IPD 222集成至所述芯片210再制备所述至少一个导电柱221,下面结合图15至图21对先集成所述IPD 222再制备所述至少一个导电柱221的实现方式进行说明。It should be understood that the structures shown in FIG. 4 to FIG. 14 are only examples of the present application, and should not be construed as limiting the present application. For example, in the process of preparing the device layer, at least one conductive pillar 221 may be prepared first and then the IPD 222 may be integrated into the chip 210, or the IPD 222 may be integrated into the chip 210 first, and then the at least one conductive pillar may be prepared. One conductive pillar 221, the implementation manner of first integrating the IPD 222 and then preparing the at least one conductive pillar 221 will be described below with reference to FIGS. 15 to 21.
例如,先选取第一晶圆400,并在所述第一晶圆400的至少一个第二焊 盘214上集成所述IPD 222以形成图15所示的结构500,然后在所述第一晶圆400的上方形成第一绝缘层223以形成图16所示的结构510,然后在利用光刻工艺,在所述至少一个第一焊盘213的上方形成贯通所述第一绝缘层223的至少一个凹槽521,以形成图17所示的结构520;然后在所述至少一个第一焊盘213和所述第一绝缘层223的上方制备导电层531,以形成图18所示结构530;然后在所述第一晶圆400的下方形成第一胶黏层260以形成待切割芯片,将所述待切割芯片切割为至少一个芯片后,以一定的间隔粘贴至载片451的第二胶黏层452上,以形成图19所示的结构540;然后磨平所述导电层531以露出所述至少一个导电柱221,进而形成图20所示的结构550;然后,在所述器件层220的上方制备布线层230、至少一个第三焊盘240以及少一个金属球280,以形成图21所示的结构560。For example, first select the first wafer 400, and integrate the IPD 222 on at least one second pad 214 of the first wafer 400 to form the structure 500 shown in FIG. A first insulating layer 223 is formed above the circle 400 to form the structure 510 shown in FIG. A groove 521 to form the structure 520 shown in FIG. 17; then a conductive layer 531 is prepared on the at least one first pad 213 and the first insulating layer 223 to form the structure 530 shown in FIG. 18; Then, a first adhesive layer 260 is formed under the first wafer 400 to form a chip to be diced. After the chip to be diced is cut into at least one chip, the second adhesive layer is attached to the carrier 451 at a certain interval. On the adhesive layer 452, the structure 540 shown in FIG. 19 is formed; then the conductive layer 531 is flattened to expose the at least one conductive pillar 221, thereby forming the structure 550 shown in FIG. 20; then, on the device layer A wiring layer 230, at least one third pad 240, and one less metal ball 280 are prepared above 220 to form the structure 560 shown in FIG. 21.
本申请还提供了一种根据所述方法300制备的芯片封装体。The application also provides a chip package prepared according to the method 300.
本领域普通技术人员可以意识到,结合本文中所公开的实施例描述的各示例的单元及算法步骤,能够以电子硬件、或者计算机软件和电子硬件的结合来实现。这些功能究竟以硬件还是软件方式来执行,取决于技术方案的特定应用和设计约束条件。专业技术人员可以对每个特定的应用来使用不同方法来实现所描述的功能,但是这种实现不应认为超出本申请的范围。A person of ordinary skill in the art may realize that the units and algorithm steps of the examples described in combination with the embodiments disclosed herein can be implemented by electronic hardware or a combination of computer software and electronic hardware. Whether these functions are executed by hardware or software depends on the specific application and design constraint conditions of the technical solution. Professionals and technicians can use different methods for each specific application to implement the described functions, but such implementation should not be considered beyond the scope of this application.
另外,在本申请各个实施例中的各功能单元可以集成在一个处理单元中,也可以是各个单元单独物理存在,也可以两个或两个以上单元集成在一个单元中。In addition, the functional units in the various embodiments of the present application may be integrated into one processing unit, or each unit may exist alone physically, or two or more units may be integrated into one unit.
所述功能如果以软件功能单元的形式实现并作为独立的产品销售或使用时,可以存储在一个计算机可读取存储介质中。基于这样的理解,本申请的技术方案本质上或者说对现有技术做出贡献的部分或者该技术方案的部分可以以软件产品的形式体现出来,该计算机软件产品存储在一个存储介质中,包括若干指令用以使得一台计算机设备(可以是个人计算机,服务器,或者网络设备等)执行本申请各个实施例所述方法的全部或部分步骤。而前述的存储介质包括:U盘、移动硬盘、只读存储器(ROM,Read-Only Memory)、随机存取存储器(RAM,Random Access Memory)、磁碟或者光盘等各种可以存储程序代码的介质。If the function is implemented in the form of a software functional unit and sold or used as an independent product, it can be stored in a computer readable storage medium. Based on this understanding, the technical solution of the present application essentially or the part that contributes to the existing technology or the part of the technical solution can be embodied in the form of a software product, and the computer software product is stored in a storage medium, including Several instructions are used to make a computer device (which may be a personal computer, a server, or a network device, etc.) execute all or part of the steps of the methods described in the various embodiments of the present application. The aforementioned storage media include: U disk, mobile hard disk, read-only memory (ROM, Read-Only Memory), random access memory (RAM, Random Access Memory), magnetic disks or optical disks and other media that can store program codes. .
以上所述,仅为本申请的具体实施方式,但本申请的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本申请揭露的技术范围内,可轻易 想到变化或替换,都应涵盖在本申请的保护范围之内。因此,本申请的保护范围应所述以权利要求的保护范围为准。The above are only specific implementations of this application, but the protection scope of this application is not limited to this. Any person skilled in the art can easily think of changes or substitutions within the technical scope disclosed in this application. Should be covered within the scope of protection of this application. Therefore, the protection scope of this application shall be subject to the protection scope of the claims.

Claims (29)

  1. 一种芯片封装体,其特征在于,包括:A chip package is characterized in that it comprises:
    芯片,所述芯片的上表面设置有至少一个第一焊盘和至少一个第二焊盘;A chip, the upper surface of the chip is provided with at least one first pad and at least one second pad;
    器件层,所述器件层包括第一绝缘层、至少一个导电柱和集成无源器件IPD,所述第一绝缘层设置在所述芯片的上方,所述至少一个导电柱贯通所述第一绝缘层并设置在所述至少一个第一焊盘的上方,所述IPD贯通所述第一绝缘层并设置在所述至少一个第二焊盘的上方;A device layer, the device layer includes a first insulating layer, at least one conductive pillar and an integrated passive device IPD, the first insulating layer is disposed above the chip, and the at least one conductive pillar penetrates the first insulating layer The layer is disposed above the at least one first pad, and the IPD penetrates the first insulating layer and is disposed above the at least one second pad;
    布线层,所述布线层设置在所述器件层的上方;A wiring layer, the wiring layer is arranged above the device layer;
    至少一个第三焊盘,所述至少一个第三焊盘设置在所述布线层的上方,所述至少一个导电柱通过所述布线层连接至所述至少一个第三焊盘。At least one third pad, the at least one third pad is disposed above the wiring layer, and the at least one conductive pillar is connected to the at least one third pad through the wiring layer.
  2. 根据权利要求1所述的芯片封装体,其特征在于,所述芯片封装体还包括:The chip package of claim 1, wherein the chip package further comprises:
    黏附层、阻挡层和种子层中的至少一层,所述黏附层、阻挡层和种子层中的至少一层设置在所述至少一个第一焊盘和所述至少一个导电柱之间。At least one of the adhesion layer, the barrier layer, and the seed layer, and at least one of the adhesion layer, the barrier layer, and the seed layer is disposed between the at least one first pad and the at least one conductive pillar.
  3. 根据权利要求1或2所述的芯片封装体,其特征在于,所述IPD的靠近所述芯片的一侧设置有至少一个导电凸点,所述至少一个导电凸点分别连接至所述至少一个第二焊盘。The chip package according to claim 1 or 2, wherein at least one conductive bump is provided on a side of the IPD close to the chip, and the at least one conductive bump is connected to the at least one The second pad.
  4. 根据权利要求3所述的芯片封装体,其特征在于,所述至少一个导电凸点和所述至少一个第二焊盘的连接处设置有非导电材料。The chip package according to claim 3, wherein a non-conductive material is provided at a connection between the at least one conductive bump and the at least one second pad.
  5. 根据权利要求1至4中任一项所述的芯片封装体,其特征在于,所述芯片封装体还包括:The chip package according to any one of claims 1 to 4, wherein the chip package further comprises:
    第一胶黏层,所述第一胶黏层设置在所述芯片的下方。The first adhesive layer is arranged under the chip.
  6. 根据权利要求5所述的芯片封装体,其特征在于,所述芯片封装体还包括:The chip package of claim 5, wherein the chip package further comprises:
    塑封料,所述塑封料设置在所述布线层的下方并位于所述芯片的周围区域,所述塑封料用于塑封所述芯片、所述器件层以及所述第一胶黏层。The molding compound is arranged under the wiring layer and located in the surrounding area of the chip, and the molding compound is used to mold the chip, the device layer and the first adhesive layer.
  7. 根据权利要求1至6中任一项所述的芯片封装体,其特征在于,所述芯片包括互联层和衬底,所述至少一个第一焊盘和所述至少一个第二焊盘嵌入设置在所述互联层内。The chip package according to any one of claims 1 to 6, wherein the chip comprises an interconnection layer and a substrate, and the at least one first pad and the at least one second pad are embedded and arranged In the interconnection layer.
  8. 根据权利要求7所述的芯片封装体,其特征在于,所述至少一个第一焊盘的上表面所在的平面、所述至少一个第二焊盘的上表面所在的平面以及所述互联层的上表面所在的平面为同一平面。The chip package according to claim 7, wherein the plane on which the upper surface of the at least one first pad is located, the plane on which the upper surface of the at least one second pad is located, and the plane of the interconnect layer The plane where the upper surface is located is the same plane.
  9. 根据权利要求1至8中任一项所述的芯片封装体,其特征在于,所述芯片封装体还包括分别设置在所述至少一个第三焊盘上的至少一个金属球。8. The chip package according to any one of claims 1 to 8, wherein the chip package further comprises at least one metal ball respectively arranged on the at least one third pad.
  10. 根据权利要求1至9中任一项所述的芯片封装体,其特征在于,所述布线层包括第二绝缘层和设置在所述第二绝缘层内的金属布线,所述至少一个导电柱通过所述金属布线分别连接至所述至少一个第三焊盘。The chip package according to any one of claims 1 to 9, wherein the wiring layer comprises a second insulating layer and metal wiring arranged in the second insulating layer, and the at least one conductive pillar They are respectively connected to the at least one third pad through the metal wiring.
  11. 根据权利要求1至10中任一项所述的芯片封装体,其特征在于,所述IPD包括以下中的至少一项:The chip package according to any one of claims 1 to 10, wherein the IPD includes at least one of the following:
    电容、电阻、电感、变压器、天线、换能器、压电装置、晶振和忆阻器。Capacitors, resistors, inductors, transformers, antennas, transducers, piezoelectric devices, crystal oscillators and memristors.
  12. 一种制备芯片封装体的方法,其特征在于,A method for preparing a chip package, which is characterized in that:
    在芯片的上方制备器件层,所述芯片的上表面设置有至少一个第一焊盘和至少一个第二焊盘,所述器件层包括第一绝缘层、至少一个导电柱和集成无源器件IPD,所述第一绝缘层设置在所述芯片的上方,所述至少一个导电柱贯通所述第一绝缘层并设置在所述至少一个第一焊盘的上方,所述IPD贯通所述第一绝缘层并设置在所述至少一个第二焊盘的上方;A device layer is prepared above the chip. The upper surface of the chip is provided with at least one first pad and at least one second pad. The device layer includes a first insulating layer, at least one conductive pillar and an integrated passive device IPD The first insulating layer is arranged above the chip, the at least one conductive pillar penetrates the first insulating layer and is arranged above the at least one first pad, and the IPD penetrates the first The insulating layer is arranged above the at least one second pad;
    在所述器件层的上方制备布线层;Preparing a wiring layer above the device layer;
    在所述布线层上制备至少一个第三焊盘,所述至少一个导电柱通过所述布线层连接至所述至少一个第三焊盘。At least one third pad is prepared on the wiring layer, and the at least one conductive pillar is connected to the at least one third pad through the wiring layer.
  13. 根据权利要求12所述的方法,其特征在于,所述在芯片的上方制备器件层,包括:The method of claim 12, wherein the preparing a device layer above the chip comprises:
    在所述芯片的上方制备干膜层;Preparing a dry film layer above the chip;
    在所述至少一个第一焊盘的上方形成贯通所述干膜层的至少一个凹槽;Forming at least one groove penetrating the dry film layer above the at least one first pad;
    在所述至少一个凹槽内制备所述至少一个导电柱;Preparing the at least one conductive pillar in the at least one groove;
    去除所述干膜层;Removing the dry film layer;
    在所述至少一个第二焊盘的上方设置所述IPD;Disposing the IPD above the at least one second pad;
    在所述芯片的上方制备第一绝缘层,使得所述第一绝缘层包覆所述至少一个导电层和所述IPD。A first insulating layer is prepared above the chip, so that the first insulating layer covers the at least one conductive layer and the IPD.
  14. 根据权利要求13所述的方法,其特征在于,所述在所述芯片的上方制备干膜层,包括:The method according to claim 13, wherein the preparing a dry film layer above the chip comprises:
    在所述芯片的上方制备黏附层、阻挡层和种子层中的至少一层;Preparing at least one of an adhesion layer, a barrier layer and a seed layer above the chip;
    在所述黏附层、阻挡层和种子层中的至少一层的上方制备所述干膜层,以便在所述黏附层、阻挡层和种子层中的至少一层上生长所述至少一个导电柱;The dry film layer is prepared above at least one of the adhesion layer, the barrier layer, and the seed layer, so that the at least one conductive pillar is grown on at least one of the adhesion layer, the barrier layer, and the seed layer ;
    其中,所述去除所述干膜层,包括:Wherein, the removing the dry film layer includes:
    去除所述干膜层和所述干膜层下方的所述黏附层、阻挡层和种子层中的至少一层。Removing at least one of the dry film layer and the adhesion layer, the barrier layer, and the seed layer under the dry film layer.
  15. 根据权利要求12所述的方法,其特征在于,所述在芯片的上方制备器件层,包括:The method of claim 12, wherein the preparing a device layer above the chip comprises:
    在所述至少一个第二焊盘的上方制备所述IPD;Preparing the IPD above the at least one second pad;
    在所述芯片的上方制备所述第一绝缘层;Preparing the first insulating layer above the chip;
    在所述至少一个第一焊盘的上方形成贯通所述第一绝缘层的至少一个凹槽;Forming at least one groove penetrating the first insulating layer above the at least one first pad;
    在所述至少一个凹槽内制备所述至少一个导电柱,使得所述第一绝缘层包覆所述至少一个导电层和所述IPD。The at least one conductive pillar is prepared in the at least one groove, so that the first insulating layer covers the at least one conductive layer and the IPD.
  16. 根据权利要求15所述的方法,其特征在于,所述在所述至少一个凹槽内制备所述至少一个导电柱,包括:The method according to claim 15, wherein the preparing the at least one conductive pillar in the at least one groove comprises:
    在所述至少一个凹槽中每一个凹槽的内壁制备黏附层、阻挡层和种子层中的至少一层;Preparing at least one of an adhesion layer, a barrier layer and a seed layer on the inner wall of each groove in the at least one groove;
    在所述黏附层、阻挡层和种子层中的至少一层上生长所述导电层以形成所述至少一个导电柱。The conductive layer is grown on at least one of the adhesion layer, the barrier layer, and the seed layer to form the at least one conductive pillar.
  17. 根据权利要求16所述的方法,其特征在于,所述在所述至少一个凹槽中每一个凹槽的内壁制备黏附层、阻挡层和种子层中的至少一层,包括:The method according to claim 16, wherein the preparing at least one of an adhesion layer, a barrier layer and a seed layer on the inner wall of each groove in the at least one groove comprises:
    在所述至少一个第一焊盘的上方和所述至少一个凹槽中每一个凹槽的内壁制备黏附层、阻挡层和种子层中的至少一层;Preparing at least one of an adhesion layer, a barrier layer and a seed layer above the at least one first pad and the inner wall of each groove in the at least one groove;
    其中,所述在所述黏附层、阻挡层和种子层中的至少一层上生长所述导电层以形成所述至少一个导电柱,包括:Wherein, the growing the conductive layer on at least one of the adhesion layer, the barrier layer and the seed layer to form the at least one conductive pillar includes:
    在所述黏附层、阻挡层和种子层中的至少一层上生长所述导电层;Growing the conductive layer on at least one of the adhesion layer, the barrier layer and the seed layer;
    减薄所述导电层,以露出所述至少一个导电柱。The conductive layer is thinned to expose the at least one conductive pillar.
  18. 根据权利要求12至17中任一项所述的方法,其特征在于,所述IPD的靠近所述芯片的一侧设置有至少一个导电凸点,所述至少一个导电凸点分 别连接至所述至少一个第二焊盘。The method according to any one of claims 12 to 17, wherein at least one conductive bump is provided on a side of the IPD close to the chip, and the at least one conductive bump is respectively connected to the At least one second pad.
  19. 根据权利要求18所述的方法,其特征在于,所述至少一个导电凸点和所述至少一个第二焊盘的连接处设置有非导电材料。The method according to claim 18, wherein a non-conductive material is provided at a connection between the at least one conductive bump and the at least one second pad.
  20. 根据权利要求12至19中任一项所述的方法,其特征在于,所述在芯片的上方制备器件层,包括:The method according to any one of claims 12 to 19, wherein the preparing a device layer above the chip comprises:
    在第一晶圆的上方制备器件层;Preparing a device layer on top of the first wafer;
    在所述第一晶圆的下方制备第一胶黏层,以形成待切割芯片;Preparing a first adhesive layer under the first wafer to form chips to be cut;
    切割所述待切割芯片,以形成至少一个芯片,所述至少一个芯片中的每一个芯片为集成有所述IPD的芯片。The chip to be cut is cut to form at least one chip, and each chip of the at least one chip is a chip integrated with the IPD.
  21. 根据权利要求20所述的方法,其特征在于,所述方法还包括:The method according to claim 20, wherein the method further comprises:
    在所述第一晶圆的下方制备所述第一胶黏层之前,减薄所述第一晶圆的衬底。Before preparing the first adhesive layer under the first wafer, the substrate of the first wafer is thinned.
  22. 根据权利要求20或21所述的方法,其特征在于,所述在所述器件层的上方制备布线层,包括:The method according to claim 20 or 21, wherein the preparing a wiring layer above the device layer comprises:
    将所述至少一个芯片粘贴至载片的第二胶黏层;Sticking the at least one chip to the second adhesive layer of the carrier;
    在所述载片上形成塑封料,所述塑封料用于塑封所述芯片、所述器件层以及所述第一胶黏层;Forming a molding compound on the carrier sheet, and the molding compound is used to mold the chip, the device layer and the first adhesive layer;
    减薄所述塑封料以露出所述至少一个导电柱;Thinning the molding compound to expose the at least one conductive pillar;
    在所述塑封料以及所述芯片的上方,制备所述布线层。On the molding compound and the chip, the wiring layer is prepared.
  23. 根据权利要求22所述的方法,其特征在于,所述在所述布线层上制备至少一个第三焊盘,包括:The method according to claim 22, wherein the preparing at least one third pad on the wiring layer comprises:
    在所述布线层上制备所述至少一个第三焊盘;Preparing the at least one third pad on the wiring layer;
    去除所述第二胶黏层和所述载片,以形成待切割封装体;Removing the second adhesive layer and the carrier sheet to form a package to be cut;
    切割所述待切割封装体,以形成至少一个所述芯片封装体。Cutting the package to be cut to form at least one chip package.
  24. 根据权利要求12至23中任一项所述的方法,其特征在于,所述芯片包括互联层和衬底,所述至少一个第一焊盘和所述至少一个第二焊盘嵌入设置在所述互联层内。The method according to any one of claims 12 to 23, wherein the chip comprises an interconnection layer and a substrate, and the at least one first pad and the at least one second pad are embedded in the In the interconnection layer.
  25. 根据权利要求24所述的方法,其特征在于,所述至少一个第一焊盘的上表面所在的平面、所述至少一个第二焊盘的上表面所在的平面以及所述互联层的上表面所在的平面为同一平面。The method according to claim 24, wherein the plane where the upper surface of the at least one first pad is located, the plane where the upper surface of the at least one second pad is located, and the upper surface of the interconnect layer The plane is the same plane.
  26. 根据权利要求12至25中任一项所述的方法,其特征在于,所述方 法还包括:The method according to any one of claims 12 to 25, wherein the method further comprises:
    在所述至少一个第三焊盘的上方制备至少一个金属球。At least one metal ball is prepared above the at least one third pad.
  27. 根据权利要求12至26中任一项所述的方法,其特征在于,所述布线层包括第二绝缘层和设置在所述第二绝缘层内的金属布线,所述至少一个导电柱通过所述金属布线分别连接至所述至少一个第三焊盘。The method according to any one of claims 12 to 26, wherein the wiring layer comprises a second insulating layer and a metal wiring arranged in the second insulating layer, and the at least one conductive pillar passes through the The metal wirings are respectively connected to the at least one third pad.
  28. 根据权利要求12至27中任一项所述的方法,其特征在于,所述IPD包括以下中的至少一项:The method according to any one of claims 12 to 27, wherein the IPD includes at least one of the following:
    电容、电阻、电感、变压器、天线、换能器、压电装置、晶振和忆阻器。Capacitors, resistors, inductors, transformers, antennas, transducers, piezoelectric devices, crystal oscillators and memristors.
  29. 一种芯片封装体,其特征在于,包括:A chip package is characterized in that it comprises:
    根据权利要求12至28中任一项所述的方法制备的芯片封装体。A chip package prepared according to the method of any one of claims 12 to 28.
PCT/CN2020/082565 2020-03-31 2020-03-31 Chip package and preparation method therefor WO2021196012A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
PCT/CN2020/082565 WO2021196012A1 (en) 2020-03-31 2020-03-31 Chip package and preparation method therefor
CN202080001578.2A CN113811991A (en) 2020-03-31 2020-03-31 Chip package and preparation method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2020/082565 WO2021196012A1 (en) 2020-03-31 2020-03-31 Chip package and preparation method therefor

Publications (1)

Publication Number Publication Date
WO2021196012A1 true WO2021196012A1 (en) 2021-10-07

Family

ID=77927034

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2020/082565 WO2021196012A1 (en) 2020-03-31 2020-03-31 Chip package and preparation method therefor

Country Status (2)

Country Link
CN (1) CN113811991A (en)
WO (1) WO2021196012A1 (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005108929A (en) * 2003-09-29 2005-04-21 Casio Comput Co Ltd Semiconductor device and its manufacturing method
US20110285007A1 (en) * 2010-05-24 2011-11-24 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Ultra Thin Multi-Die Face-to-Face WLCSP
US9269595B2 (en) * 2010-01-29 2016-02-23 Stats Chippac, Ltd. Semiconductor device with thin profile WLCSP with vertical interconnect over package footprint
CN106169466A (en) * 2015-05-19 2016-11-30 联发科技股份有限公司 Semiconductor package and manufacture method thereof
CN108428684A (en) * 2017-02-14 2018-08-21 南亚科技股份有限公司 Semiconductor structure and its manufacturing method
CN110634824A (en) * 2018-06-22 2019-12-31 何崇文 Chip packaging structure and manufacturing method thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005108929A (en) * 2003-09-29 2005-04-21 Casio Comput Co Ltd Semiconductor device and its manufacturing method
US9269595B2 (en) * 2010-01-29 2016-02-23 Stats Chippac, Ltd. Semiconductor device with thin profile WLCSP with vertical interconnect over package footprint
US20110285007A1 (en) * 2010-05-24 2011-11-24 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Ultra Thin Multi-Die Face-to-Face WLCSP
CN106169466A (en) * 2015-05-19 2016-11-30 联发科技股份有限公司 Semiconductor package and manufacture method thereof
CN108428684A (en) * 2017-02-14 2018-08-21 南亚科技股份有限公司 Semiconductor structure and its manufacturing method
CN110634824A (en) * 2018-06-22 2019-12-31 何崇文 Chip packaging structure and manufacturing method thereof

Also Published As

Publication number Publication date
CN113811991A (en) 2021-12-17

Similar Documents

Publication Publication Date Title
US10971441B2 (en) Package with metal-insulator-metal capacitor and method of manufacturing the same
US11069657B2 (en) Chip package having die structures of different heights and method of forming same
US9679801B2 (en) Dual molded stack TSV package
TWI553749B (en) Package and methods of forming thereof
US8084302B2 (en) Semiconductor package having semiconductor die with internal vertical interconnect structure and method therefor
US20170207197A1 (en) Devices, packaged semiconductor devices, and semiconductor device packaging methods
US10818651B2 (en) Package structure
US11495590B2 (en) Multi-chip semiconductor package
TW201834162A (en) Semiconductor device and method of manufacturing thereof
CN108269784B (en) Interposer, semiconductor package including the same and method of fabricating the same
US11437310B2 (en) Connection structure and method of forming the same
US8912663B1 (en) Embedded package structure and method for manufacturing thereof
TWI803899B (en) Semiconductor structure, package structure, and package method
US11562983B2 (en) Package having multiple chips integrated therein and manufacturing method thereof
TW202010084A (en) Semiconductor package and manufacturing method thereof
US9281234B2 (en) WLCSP interconnect apparatus and method
KR20220102546A (en) Package structure
WO2021196012A1 (en) Chip package and preparation method therefor
CN211743148U (en) Chip package and electronic device
TWI756499B (en) Electronic device and manufacturing method thereof
US20240038626A1 (en) Semiconductor package and manufacturing method thereof
TW202310270A (en) Semiconductor device, package structure and method of fabricating the same

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20929583

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20929583

Country of ref document: EP

Kind code of ref document: A1