WO2021109722A1 - Metalized laminate and manufacturing method therefor, and electronic device comprising metalized laminate - Google Patents

Metalized laminate and manufacturing method therefor, and electronic device comprising metalized laminate Download PDF

Info

Publication number
WO2021109722A1
WO2021109722A1 PCT/CN2020/121338 CN2020121338W WO2021109722A1 WO 2021109722 A1 WO2021109722 A1 WO 2021109722A1 CN 2020121338 W CN2020121338 W CN 2020121338W WO 2021109722 A1 WO2021109722 A1 WO 2021109722A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
interconnection
metal
interconnection line
metallization stack
Prior art date
Application number
PCT/CN2020/121338
Other languages
French (fr)
Chinese (zh)
Inventor
朱慧珑
Original Assignee
中国科学院微电子研究所
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 中国科学院微电子研究所 filed Critical 中国科学院微电子研究所
Priority to US17/756,939 priority Critical patent/US20230005839A1/en
Publication of WO2021109722A1 publication Critical patent/WO2021109722A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure

Definitions

  • the present disclosure relates to the field of semiconductors, and more specifically, to a metallization stack and a method of manufacturing the same, and an electronic device including such a metallization stack.
  • the purpose of the present disclosure is at least partly to provide a metallized laminate and a manufacturing method thereof, and an electronic device including the metallized laminate.
  • a metallization stack including at least one interconnection layer and at least one via layer alternately arranged on a substrate. At least a pair of adjacent interconnection layer and via layer in the metallization stack includes interconnections in the interconnection layer and vias in the via layer, wherein the interconnection layer is closer to the liner than the via layer. bottom. At least a part of the interconnection line is integrated with the via on the at least a part of the interconnection line.
  • a method of manufacturing a metalized laminate includes at least one interconnection layer and at least one via layer alternately arranged.
  • the method includes forming at least a pair of adjacent interconnection line layers and via layers in a metallization stack by the following operations: forming a metal layer on a lower layer; patterning the metal layer into an interconnection pattern; thinning the interconnection pattern The thickness of the first part is to form the interconnection line in the interconnection line layer, wherein the second part outside the first part of the interconnection pattern forms the via hole in the via layer.
  • an electronic device including the above-mentioned metallization stack.
  • Figures 1 to 16 schematically show some stages in the process of manufacturing a metallized laminate according to an embodiment of the present disclosure
  • Figures 3(a), 7, 8(a), 9, 10(a), 11(a), 14(a) are top views
  • Figures 1, 2, 10(b), 11(b), 12 (a), 14(b), 15(a), 15(b), 16 are cross-sectional views along the line AA'
  • Figures 3(b), 4(a), 5(a), 8(b), 10(c), 11(c), 12(b), 13(a), 14(c) are cross-sectional views along the line BB'
  • Figures 3(c), 4(b), 5(b), 8 (c), 10(d), 11(d), 12(c), 13(b), 14(d) are cross-sectional views along the CC' line
  • Figures 6(a) to 6(c) are along the BB An enlarged view of the area near the metal wire in the section of the'line or CC' line.
  • a layer/element when a layer/element is referred to as being “on” another layer/element, the layer/element may be directly on the other layer/element, or there may be an intermediate layer/element between them. element.
  • the layer/element may be located "under” the other layer/element when the orientation is reversed.
  • the embodiment of the present disclosure proposes a method of manufacturing a metallized laminate.
  • a method of manufacturing a metallized laminate Different from the conventional technique in which an interlayer dielectric layer is formed first, then trenches or holes are formed in the interlayer dielectric layer, and the trenches or holes are filled with a conductive material to form interconnect lines or vias, according to the embodiments of the present disclosure,
  • the metal pattern may be formed on the lower layer (for example, the substrate on which the device is formed or the next layer in the metallization stack) first, and then the gaps of the metal patterns are filled with dielectric material to form an interlayer dielectric layer.
  • the metal pattern can be formed by photolithography.
  • the line width and spacing of the interconnection line and the critical dimension (CD) and spacing of the via can be determined by the line width or CD and spacing of the photolithography, so that the line width or CD and spacing can be reduced, and thus the integration can be increased. density.
  • the problem of metal filling in conventional processes is avoided.
  • ruthenium (Ru), molybdenum (Mo), rhodium (Rh), platinum (Pt), iridium (Ir), nickel (Ni), cobalt (Co) or chromium (Cr) can be used Metal material, so that it is not necessary to use a diffusion barrier layer.
  • the trenches or holes formed by etching have a shape that tapers from top to bottom, so the interconnect lines or vias formed therein have a corresponding shape.
  • the interconnection line or the via hole may be directly obtained by photolithography, and thus may have a shape that is tapered from the bottom to the top.
  • a pair of interconnection layer and via layer adjacent to each other may be formed together.
  • a metal layer may be formed on the lower layer, and the thickness of the metal layer may correspond to the thickness of both the interconnection layer and the via layer.
  • the metal layer may be formed on the entire area where the metallization stack needs to be formed, for example, over substantially the entire surface of the lower layer.
  • the metal layer may be patterned, for example, by photolithography, into an interconnection pattern, and the interconnection pattern may correspond to or be the layout of the interconnection line in the interconnection line layer.
  • the thickness of the first part of the interconnection pattern can be thinned (and possibly cut at certain areas) to form interconnection lines.
  • the second portion (the thickness may not be substantially reduced) other than the first portion in the interconnection pattern may form a via hole in the via layer.
  • the metallization stack may include a plurality of such interconnection line layers and via layers, and at least a part or even all of the interconnection line layers and via layers can be manufactured according to this method.
  • the interconnection pattern may include a series of metal lines. These metal lines may have the same pattern as the layout of the interconnection lines in the interconnection line layer. That is, the metal layer can be patterned according to the layout of the interconnection lines.
  • the interconnection pattern may have a pattern in which the metal lines extend according to the layout of the interconnection lines, but the metal lines corresponding to the separated interconnection lines opposite to each other may extend continuously. In this case, forming metal wires extending in the same direction is advantageous for patterning.
  • This layout is matched with metal lines extending in another direction that intersects (for example, orthogonal) to the direction in another interconnection line layer, and various interconnection routes can be realized.
  • an interconnection line layer in which the interconnection lines extend in a first direction and an interconnection line in which the interconnection lines extend in a second direction orthogonal to the first direction may be alternately arranged in the vertical direction.
  • the metal line can be cut at a predetermined area according to the layout of the interconnection line to achieve separation between different interconnection lines.
  • a dielectric material may be filled to form an interlayer dielectric layer. Since the gap between the metal lines or the above-mentioned gap is small, an air gap or a hole may be formed in the filled dielectric material. Such air gaps or holes can help reduce capacitance. As described below, the position of the air gap or hole can be adjusted by a deposition-etch-deposition method. In addition, the dielectric material of each filling may be the same or different.
  • a metallization stack according to an embodiment of the present disclosure can be obtained, in which at least a part of the interconnection line in at least one interconnection line layer and the via hole thereon are integrated with each other.
  • the interconnection line and the via hole below can be formed together, and they can therefore be integrated.
  • the interconnection line and the via hole thereon can be formed together, and therefore they can be integrated.
  • the interconnection line and the via hole above can be obtained by photolithography through the same metal layer, so the sidewall of the via hole may not exceed the sidewall of the interconnection line below.
  • etching selectivity is also considered. In the following description, the required etching selectivity may or may not be indicated.
  • 1 to 16 schematically show some stages in the process of manufacturing metallization according to an embodiment of the present disclosure.
  • the substrate 1001 may be in various forms, including but not limited to bulk semiconductor material substrates such as bulk Si substrates, semiconductor-on-insulator (SOI) substrates, compound semiconductor substrates such as SiGe substrates, and the like.
  • bulk semiconductor material substrates such as bulk Si substrates, semiconductor-on-insulator (SOI) substrates, compound semiconductor substrates such as SiGe substrates, and the like.
  • SOI semiconductor-on-insulator
  • compound semiconductor substrates such as SiGe substrates, and the like.
  • the following description takes the bulk Si substrate as an example.
  • an active region may be defined by an isolation portion 1003, such as shallow trench isolation (S TI).
  • the isolation part 1003 may surround each active region.
  • a semiconductor device T can be formed, such as a metal oxide semiconductor field effect transistor (MOSFET), a fin field effect transistor (FinFET), a nanowire field effect transistor, and the like.
  • the semiconductor device T may have a gate stack including a gate dielectric layer 1005 and a gate electrode layer 1007, and source/drain regions S/D formed on both sides of the gate stack in the active region.
  • a gate spacer 1009 may be formed on the sidewalls of the gate stack.
  • the semiconductor device T may be a planar device such as a MOSFET or a three-dimensional device such as a FinFET.
  • the active region may be formed in the form of a fin protruding with respect to the surface of the substrate.
  • An interlayer dielectric layer 1011 such as oxide (for example, silicon oxide) may be formed on the substrate 1001 to cover each semiconductor device T formed on the substrate 1001.
  • a contact portion 1013 to each semiconductor device T may be formed.
  • FIG. 1 only the contact portion to the source/drain region S/D is shown, and the contact portion to the gate electrode layer 1007 may also be included (for example, see FIG. 3(b)).
  • an interconnection structure or metallization stack can be fabricated on the substrate 1001.
  • a metal layer 1015 can be formed on the interlayer dielectric layer 1011 by, for example, deposition such as physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), etc.
  • the metal layer 1015 may include conductive metals such as ruthenium (Ru), molybdenum (Mo), rhodium (Rh), platinum (Pt), iridium (Ir), nickel (Ni), cobalt (Co), or chromium (Cr), etc.
  • the metal layer 1015 may have a thickness for both the first interconnect layer and the first via layer in the metallization stack, for example, about 10 nm to 200 nm.
  • the Ru source can be purified in the following manner to obtain high-purity Ru metal.
  • a gas stream including ozone (O 3 ) can be introduced into one or more reaction chambers to contact with the Ru source, thereby forming ruthenium tetroxide (RuO 4 ) that is gaseous under the reaction conditions.
  • Ruthenium tetroxide and unreacted ozone and airflow residues can be sent into the collection chamber, in which the gaseous ruthenium tetroxide can be reduced to a ruthenium dioxide (RuO 2 ) layer on the semiconductor substrate.
  • the deposited ruthenium dioxide can be reduced by using, for example, hydrogen to produce high-purity Ru metal.
  • ozone can be used as an etching gas to etch and pattern the deposited Ru metal layer.
  • the metal layer 1015 can be patterned as a series of metal lines.
  • the patterning can be performed by a photolithography process, for example, partition wall pattern transfer photolithography or extreme ultraviolet (EUV) photolithography.
  • photolithography reactive ion etching (RIE) can be used, and the RIE can be stopped at the interlayer dielectric layer 1011 (or the contact portion 1013 therein) under the metal layer 1015.
  • RIE reactive ion etching
  • the interval between the metal lines may define the interval between the interconnection lines in the first interconnection line layer, and is, for example, about 5 nm-150 nm.
  • dummy metal lines may be formed so that the metal lines are arranged at substantially uniform intervals, for example.
  • the line width of the metal line may define the line width of the interconnection line in the first interconnection line layer, for example, about 5 nm-100 nm.
  • at least a part of the metal wire may contact and be electrically connected to the contact portion 1013 below.
  • the formed metal wire extends substantially in parallel along the first direction (the horizontal direction on the paper in FIG. 3(a)), and may be formed later along the first direction crossing (for example, perpendicular) to the first direction.
  • the metal wires extending in two directions cooperate to realize various interconnection routes.
  • the present disclosure is not limited to this.
  • different metal wires can extend in different directions, and the same metal wire can extend zigzag.
  • another interlayer dielectric layer may be formed on the interlayer dielectric layer 1011 to fill the gaps between the metal lines 1015.
  • the other interlayer dielectric layer may include dielectric materials such as silicon oxide, silicon oxycarbide, other low-k dielectric materials, and the like.
  • the other interlayer dielectric layer and the previous interlayer dielectric layer 1011 may include the same material, and thus may be shown as a whole as 1011, and the possible boundary between them is schematically shown with a dashed line. Of course, they can also include different materials.
  • the other interlayer dielectric layer may be deposited (e.g., CVD or ALD) dielectric material to cover the metal line 1015, and then etch back or planarize (e.g., chemical mechanical polishing (CMP)) the deposited dielectric material and stop at The top surface of the metal wire 1015 is formed. Etching back can use atomic layer etching (ALE) to achieve good process control.
  • CVD or ALD chemical mechanical polishing
  • the deposited dielectric material completely fills the gaps between the metal lines 1015.
  • the present disclosure is not limited to this.
  • an air gap or an air gap can be formed between the metal lines 1015.
  • Hole 1017 Such an air gap or hole 1017 helps to reduce the capacitance between the metal lines.
  • the position of the air gap or hole 1017 in the vertical direction can be adjusted.
  • a dielectric material may be deposited into the gap between the metal lines 1015 until the dielectric material closes the top of the gap.
  • multiple films can be used.
  • the formed air gap or hole 1017a may be located approximately in the middle of the gap in the vertical direction.
  • a dielectric material may be deposited into the gap between the metal lines 1015 without closing the top of the gap. Then, the deposited dielectric material can be selectively etched, such as RIE, leaving a part at the bottom of the gap, thereby increasing the opening in the dielectric material. Then, the dielectric material can be deposited continuously until the dielectric material closes the top of the gap.
  • the dielectric material deposited twice can be the same or different. Of course, this deposition-etch-deposition process can be repeated multiple times. In this case, the formed air gap or hole 1017b may be located at the lower part of the gap in the vertical direction.
  • a dielectric material may be deposited into the gap between the metal lines 1015 until the dielectric material can completely fill the gap. Then, the deposited dielectric material can be selectively etched such as RIE, leaving a part at the bottom of the gap. Then, the dielectric material can be deposited continuously until the dielectric material closes the top of the gap.
  • the dielectric material deposited twice can be the same or different. Of course, this deposition-etch-deposition process can be repeated multiple times. In this case, the formed air gap or hole 1017c may be located at the upper part of the gap in the vertical direction.
  • the pattern of the metal layer is the same as the pattern in the first interconnection line layer (ie, the aforementioned metal line pattern).
  • the metal layer ie, the metal line
  • the metal layer may be further patterned in the first via layer to form a via pattern.
  • a photoresist 1019 may be formed on the interlayer dielectric layer 1011 and the metal line 1015, and the photoresist 1019 may be patterned (for example, by exposure and development) to cover the area where the via is to be formed, and expose The rest of the area.
  • the width W1 of the photoresist 1019 (the width of the via hole in the first via layer defined thereby) (the dimension in the longitudinal extension direction of the metal wire, in this example, the horizontal direction on the paper in FIG. 7 The upper dimension) may be relatively large, so that the interconnection line in the second interconnection line layer formed thereon can better land on the via hole and make better contact with the via hole.
  • the photoresist 1019 can be used as an etching mask to selectively etch the metal line 1015, such as RIE, to form a via.
  • the etching of the metal wire 1015 may be performed to the middle of the metal wire in the vertical direction, for example, a substantially middle position.
  • the lower part of each metal line 1015 can be kept continuously extending (the interconnection line in the first interconnection line layer can be formed), and at least a part of the upper part of the metal line 1015 can be formed as some separate patterns (the first via hole can be formed).
  • the vias in the layer see the top view of Figure 8(a)).
  • metal lines 1015 for example, dummy metal lines
  • the upper part of which is etched away, so there is no corresponding via hole.
  • FIGS. 8(b) and 8(c) the boundary between the first interconnection layer and the first via layer is schematically shown with a dashed line, but they are physically integrated. After that, the photoresist 1019 may be removed.
  • the minimum interval between the via holes can be defined by the minimum line interval achievable by the photolithography process (for example, equal). In general, the minimum spacing between vias formed by photolithography is greater than the minimum spacing between lines.
  • each metal line 1015 in the first interconnection line layer maintains continuous extension. They can be separated into multiple parts according to the design layout.
  • a photoresist 1021 may be formed on the interlayer dielectric layer 1011 and the metal line 1015, and the photoresist 1021 may be patterned to cover the area where the interconnection lines exist in the pattern of the first interconnection line layer, and A region where there is no interconnection line in the pattern of the first interconnection line layer is exposed.
  • the photoresist 1021 can be used as an etching mask to selectively etch the metal line 1015, such as RIE.
  • the etching of the metal line 1015 can be stopped at the underlying interlayer dielectric layer 1011 to cut the metal line 1015. Therefore, in the first interconnection line layer, the metal lines 1015 can form some separated metal line segments to obtain corresponding interconnection lines. After that, the photoresist 1021 may be removed.
  • the etching depth is roughly half the thickness of the metal layer
  • the interconnect lines in the first interconnect layer is also the metal layer Roughly half the thickness
  • the lower part of the metal line 1015 extends on the interlayer dielectric layer 1011 to form an interconnection line; the upper part of the metal line 1015 is a localized pattern on the interconnection line to form a via. Since the interconnection line and the via are obtained through the same metal line 1015, they are integrated and self-aligned with each other.
  • adjacent vias on the same interconnection line will not cause incorrect electrical connection between the upper-level interconnection lines.
  • the thickness of the metal wire between them may not be reduced. That is, the widths of adjacent via holes are increased to be connected to each other as one body. In this way, the connection resistance can be reduced.
  • the via hole in the longitudinal extension direction of the metal wire 1015 (the horizontal direction in the paper in FIG. 10(b)), the via hole may be located in a local area of the interconnection line, such as a via hole.
  • the sidewalls are retracted relative to the corresponding sidewalls of the interconnection line.
  • the sidewall of the via hole and the corresponding sidewall of the interconnection line in a cross section perpendicular to the longitudinal extension direction of the metal line 1015, the sidewall of the via hole and the corresponding sidewall of the interconnection line may be substantially coplanar.
  • air gaps or holes 1023 may be formed when the dielectric material is deposited, as shown in FIGS. 12(a) to 12(c).
  • the air gap or hole 1023 may be different according to the shape of the corresponding gap.
  • the position of the air gap or hole 1023 in the vertical direction can be adjusted by adjusting the deposition process.
  • the first interconnection layer and the first via layer are formed.
  • the interconnection layer and the via layer in the upper layer of the metallization stack can be formed continuously.
  • the width of the upper portion of the via hole in the first via layer can be reduced, and is approximately the same as the line width of the metal line segment 1025 formed thereon.
  • the line width W2 of the metal line segment 1025 (the scale in the horizontal direction on the paper in FIG. 14(b)) can be relatively small and smaller than the via hole in the first via layer (not Considering the upper part, its width may be reduced due to the above-mentioned over-etching) width W1 (the scale in the horizontal direction on the paper in FIG. 14(b)), so that the metal line segment 1025 (the second interconnection line layer in the second interconnection layer is subsequently formed) is the width W1
  • the interconnection line can better land on the via hole so as to make better contact with the via hole.
  • interlayer dielectric layer may be formed on the interlayer dielectric layer 1011 to fill the gap between the metal line segments 1025.
  • the other interlayer dielectric layer may include dielectric materials such as silicon oxide, silicon oxycarbide, other low-k dielectric materials, and the like.
  • a dielectric material may be deposited (for example, CVD or ALD) to cover the metal line segment 1025.
  • the deposited dielectric material and the previous interlayer dielectric layer 1011 may include the same material, and thus may be shown integrally as 1011, and the possible boundary between them is schematically shown with a dashed line. Of course, they can also include different materials.
  • the dielectric material deposited by CMP can be etched back or planarized and stopped on the top surface of the metal line segment 1025.
  • ALE can be used for etch back to achieve good process control.
  • the via hole in the second via layer can be formed on the upper portion of the metal line segment 1025 according to the process described above in conjunction with FIGS. 7 and 8(a) to 8(c).
  • the lower part of the metal line segment 1025 forms an interconnection line in the second interconnection line layer.
  • the gap in the interlayer dielectric layer 1011 can be filled with a dielectric material according to the process described above in conjunction with FIGS. 11(a) to 11(d). In this way, the second interconnect layer and the second via layer are formed.
  • the metallization stack according to the embodiment of the present disclosure can be applied to various electronic devices. Therefore, the present disclosure also provides an electronic device including the above-mentioned metallization stack.
  • the electronic device may also include components such as a display screen and a wireless transceiver.
  • Such electronic devices are, for example, smart phones, computers, tablet computers (PCs), wearable smart devices, mobile power supplies, and so on.

Abstract

A metalized laminate and a manufacturing method therefor, and an electronic device comprising the metalized laminate. The metalized laminate can comprise at least one interconnection line layer and at least one via hole layer alternately provided on a substrate. At least one pair of adjacent interconnection line layer and via hole layer in the metalized laminate comprise an interconnection line in the interconnection line layer and a via hole in the via hole layer, wherein the interconnection line layer is closer to the substrate than the via hole layer. At least a portion of the interconnection line is integrated with the via hole on the at least a portion of the interconnection line.

Description

金属化叠层及其制造方法及包括金属化叠层的电子设备Metallized laminate, manufacturing method thereof, and electronic equipment including metallized laminate
相关申请的引用References to related applications
本申请要求于2019年12月6日递交的题为“金属化叠层及其制造方法及包括金属化叠层的电子设备”的中国专利申请201911254541.6的优先权,其内容一并于此用作参考。This application claims the priority of the Chinese patent application 201911254541.6 entitled "Metalized Laminate and its Manufacturing Method and Electronic Equipment Including Metalized Laminate" filed on December 6, 2019, the content of which is hereby used as reference.
技术领域Technical field
本公开涉及半导体领域,更具体地,涉及金属化叠层及其制造方法及包括这种金属化叠层的电子设备。The present disclosure relates to the field of semiconductors, and more specifically, to a metallization stack and a method of manufacturing the same, and an electronic device including such a metallization stack.
背景技术Background technique
随着半导体器件的不断小型化,越来越难以制造高密度的互连结构,因为需要极细的金属线(意味着小晶粒尺寸、过大的阻挡层厚度及因此导致的大电阻)和极小的线间隔(意味着未对准、难以填充接触孔)。另外,难以将金属线与过孔对准,这会导致集成电路(IC)中的短路或开路故障,并因此增加IC的制造成本。With the continuous miniaturization of semiconductor devices, it is becoming more and more difficult to manufacture high-density interconnect structures because of the need for extremely thin metal lines (meaning small grain size, excessive barrier thickness and resulting large resistance) and Very small line spacing (meaning misalignment, difficult to fill contact holes). In addition, it is difficult to align the metal lines with the vias, which may cause short-circuit or open-circuit failures in the integrated circuit (IC), and thus increase the manufacturing cost of the IC.
发明内容Summary of the invention
有鉴于此,本公开的目的至少部分地在于提供一种金属化叠层及其制造方法及包括这种金属化叠层的电子设备。In view of this, the purpose of the present disclosure is at least partly to provide a metallized laminate and a manufacturing method thereof, and an electronic device including the metallized laminate.
根据本公开的一个方面,提供了一种金属化叠层,包括在衬底上交替设置的至少一个互连线层和至少一个过孔层。金属化叠层中至少一对相邻的互连线层和过孔层包括互连线层中的互连线以及过孔层中的过孔,其中互连线层比过孔层更靠近衬底。互连线的至少一部分与互连线的所述至少一部分上的过孔一体。According to one aspect of the present disclosure, a metallization stack is provided, including at least one interconnection layer and at least one via layer alternately arranged on a substrate. At least a pair of adjacent interconnection layer and via layer in the metallization stack includes interconnections in the interconnection layer and vias in the via layer, wherein the interconnection layer is closer to the liner than the via layer. bottom. At least a part of the interconnection line is integrated with the via on the at least a part of the interconnection line.
根据本公开的另一方面,提供了一种制造金属化叠层的方法。金属化叠层包括交替设置的至少一个互连线层和至少一个过孔层。所述方法包括通过以下操作来形成金属化叠层中至少一对相邻的互连线层和过孔层:在下层上形成金 属层;将金属层构图为互连图案;减薄互连图案的第一部分的厚度,以形成互连线层中的互连线,其中,互连图案的第一部分之外的第二部分形成过孔层中的过孔。According to another aspect of the present disclosure, a method of manufacturing a metalized laminate is provided. The metallization stack includes at least one interconnection layer and at least one via layer alternately arranged. The method includes forming at least a pair of adjacent interconnection line layers and via layers in a metallization stack by the following operations: forming a metal layer on a lower layer; patterning the metal layer into an interconnection pattern; thinning the interconnection pattern The thickness of the first part is to form the interconnection line in the interconnection line layer, wherein the second part outside the first part of the interconnection pattern forms the via hole in the via layer.
根据本公开的另一方面,提供了一种电子设备,包括上述金属化叠层。According to another aspect of the present disclosure, there is provided an electronic device including the above-mentioned metallization stack.
根据本公开的实施例,互连图案可以通过光刻来形成。于是,互连线的线宽和间隔以及过孔的关键尺寸(CD)和间隔可以由光刻的线宽或CD以及间隔来确定,从而可以减小线宽或CD以及间隔,并因此增加集成密度。另外,避免了常规工艺中金属填充的难题。而且,由于不使用填充工艺,可以使用钌(Ru)、钼(Mo)、铑(Rh)、铂(Pt)、铱(Ir)、镍(Ni)、钴(Co)或铬(Cr)等金属材料,从而可以不必使用扩散阻挡层。According to an embodiment of the present disclosure, the interconnection pattern may be formed by photolithography. Thus, the line width and spacing of the interconnection line and the critical dimension (CD) and spacing of the via can be determined by the line width or CD and spacing of the photolithography, so that the line width or CD and spacing can be reduced, and thus the integration can be increased. density. In addition, the problem of metal filling in conventional processes is avoided. Moreover, since no filling process is used, ruthenium (Ru), molybdenum (Mo), rhodium (Rh), platinum (Pt), iridium (Ir), nickel (Ni), cobalt (Co) or chromium (Cr) can be used Metal material, so that it is unnecessary to use a diffusion barrier.
附图说明Description of the drawings
通过以下参照附图对本公开实施例的描述,本公开的上述以及其他目的、特征和优点将更为清楚,在附图中:Through the following description of the embodiments of the present disclosure with reference to the accompanying drawings, the above and other objectives, features, and advantages of the present disclosure will be more apparent. In the accompanying drawings:
图1至16示意性示出了根据本公开实施例的制造金属化叠层的流程中的一些阶段,Figures 1 to 16 schematically show some stages in the process of manufacturing a metallized laminate according to an embodiment of the present disclosure,
其中,图3(a)、7、8(a)、9、10(a)、11(a)、14(a)是俯视图,图1、2、10(b)、11(b)、12(a)、14(b)、15(a)、15(b)、16是沿AA′线的截面图,图3(b)、4(a)、5(a)、8(b)、10(c)、11(c)、12(b)、13(a)、14(c)是沿BB′线的截面图,图3(c)、4(b)、5(b)、8(c)、10(d)、11(d)、12(c)、13(b)、14(d)是沿CC′线的截面图,图6(a)至6(c)是沿BB′线或CC′线的截面中金属线附近区域的放大图。Among them, Figures 3(a), 7, 8(a), 9, 10(a), 11(a), 14(a) are top views, and Figures 1, 2, 10(b), 11(b), 12 (a), 14(b), 15(a), 15(b), 16 are cross-sectional views along the line AA', Figures 3(b), 4(a), 5(a), 8(b), 10(c), 11(c), 12(b), 13(a), 14(c) are cross-sectional views along the line BB', Figures 3(c), 4(b), 5(b), 8 (c), 10(d), 11(d), 12(c), 13(b), 14(d) are cross-sectional views along the CC' line, and Figures 6(a) to 6(c) are along the BB An enlarged view of the area near the metal wire in the section of the'line or CC' line.
贯穿附图,相同或相似的附图标记表示相同或相似的部件。Throughout the drawings, the same or similar reference numerals indicate the same or similar components.
具体实施方式Detailed ways
以下,将参照附图来描述本公开的实施例。但是应该理解,这些描述只是示例性的,而并非要限制本公开的范围。此外,在以下说明中,省略了对公知结构和技术的描述,以避免不必要地混淆本公开的概念。Hereinafter, embodiments of the present disclosure will be described with reference to the drawings. However, it should be understood that these descriptions are only exemplary, and are not intended to limit the scope of the present disclosure. In addition, in the following description, descriptions of well-known structures and technologies are omitted to avoid unnecessarily obscuring the concept of the present disclosure.
在附图中示出了根据本公开实施例的各种结构示意图。这些图并非是按比例绘制的,其中为了清楚表达的目的,放大了某些细节,并且可能省略了某些 细节。图中所示出的各种区域、层的形状以及它们之间的相对大小、位置关系仅是示例性的,实际中可能由于制造公差或技术限制而有所偏差,并且本领域技术人员根据实际所需可以另外设计具有不同形状、大小、相对位置的区域/层。The drawings show various structural schematic diagrams according to the embodiments of the present disclosure. The figures are not drawn to scale, some details are enlarged and some details may be omitted for clarity of presentation. The shapes of the various regions and layers shown in the figure and the relative size and positional relationship between them are only exemplary. In practice, there may be deviations due to manufacturing tolerances or technical limitations. Areas/layers with different shapes, sizes, and relative positions can be designed as needed.
在本公开的上下文中,当将一层/元件称作位于另一层/元件“上”时,该层/元件可以直接位于该另一层/元件上,或者它们之间可以存在居中层/元件。另外,如果在一种朝向中一层/元件位于另一层/元件“上”,那么当调转朝向时,该层/元件可以位于该另一层/元件“下”。In the context of the present disclosure, when a layer/element is referred to as being “on” another layer/element, the layer/element may be directly on the other layer/element, or there may be an intermediate layer/element between them. element. In addition, if a layer/element is located "on" another layer/element in one orientation, the layer/element may be located "under" the other layer/element when the orientation is reversed.
本公开的实施例提出了一种制造金属化叠层的方法。与常规技术中先形成层间电介质层,然后在层间电介质层中形成沟槽或孔,并以导电材料填充沟槽或孔来形成互连线或过孔不同,根据本公开的实施例,可以在下层(例如,形成有器件的衬底或者金属化叠层中的下一层)上先形成金属图案,然后再向金属图案的间隙中填充电介质材料来形成层间电介质层。金属图案可以通过光刻来形成。于是,互连线的线宽和间隔以及过孔的关键尺寸(CD)和间隔可以由光刻的线宽或CD以及间隔来确定,从而可以减小线宽或CD以及间隔,并因此增加集成密度。另外,避免了常规工艺中金属填充的难题。而且,由于不使用填充工艺,可以使用钌(Ru)、钼(Mo)、铑(Rh)、铂(Pt)、铱(Ir)、镍(Ni)、钴(Co)或铬(Cr)等金属材料,从而可以不必使用扩散阻挡层。The embodiment of the present disclosure proposes a method of manufacturing a metallized laminate. Different from the conventional technique in which an interlayer dielectric layer is formed first, then trenches or holes are formed in the interlayer dielectric layer, and the trenches or holes are filled with a conductive material to form interconnect lines or vias, according to the embodiments of the present disclosure, The metal pattern may be formed on the lower layer (for example, the substrate on which the device is formed or the next layer in the metallization stack) first, and then the gaps of the metal patterns are filled with dielectric material to form an interlayer dielectric layer. The metal pattern can be formed by photolithography. Thus, the line width and spacing of the interconnection line and the critical dimension (CD) and spacing of the via can be determined by the line width or CD and spacing of the photolithography, so that the line width or CD and spacing can be reduced, and thus the integration can be increased. density. In addition, the problem of metal filling in conventional processes is avoided. Moreover, since no filling process is used, ruthenium (Ru), molybdenum (Mo), rhodium (Rh), platinum (Pt), iridium (Ir), nickel (Ni), cobalt (Co) or chromium (Cr) can be used Metal material, so that it is not necessary to use a diffusion barrier layer.
另外,在常规工艺中,通过刻蚀形成的沟槽或孔具有从上往下渐缩的形状,于是其中形成的互连线或过孔具有相应的形状。与此不同,根据本公开的实施例,互连线或过孔可以直接通过光刻得到,于是可以具有从下往上渐缩的形状。In addition, in a conventional process, the trenches or holes formed by etching have a shape that tapers from top to bottom, so the interconnect lines or vias formed therein have a corresponding shape. In contrast, according to the embodiment of the present disclosure, the interconnection line or the via hole may be directly obtained by photolithography, and thus may have a shape that is tapered from the bottom to the top.
根据本公开的实施例,彼此相邻的一对互连线层和过孔层可以一起形成。例如,可以在下层上形成金属层,金属层的厚度可以对应于互连线层和过孔层两者的厚度。金属层可以形成在需要形成金属化叠层的整个区域上,例如遍及下层的基本上整个表面。可以将金属层构图例如光刻为互连图案,互连图案可以对应于或者是互连线层中互连线的布局。可以减薄互连图案的第一部分的厚度(并可能在某些区域处切断),以形成互连线。互连图案中第一部分之外的第二部分(厚度可以基本未减薄)可以形成过孔层中的过孔。于是,互连线及之上的过孔可以彼此一体,且彼此自对准。According to an embodiment of the present disclosure, a pair of interconnection layer and via layer adjacent to each other may be formed together. For example, a metal layer may be formed on the lower layer, and the thickness of the metal layer may correspond to the thickness of both the interconnection layer and the via layer. The metal layer may be formed on the entire area where the metallization stack needs to be formed, for example, over substantially the entire surface of the lower layer. The metal layer may be patterned, for example, by photolithography, into an interconnection pattern, and the interconnection pattern may correspond to or be the layout of the interconnection line in the interconnection line layer. The thickness of the first part of the interconnection pattern can be thinned (and possibly cut at certain areas) to form interconnection lines. The second portion (the thickness may not be substantially reduced) other than the first portion in the interconnection pattern may form a via hole in the via layer. Thus, the interconnection lines and the via holes above can be integrated with each other and self-aligned with each other.
金属化叠层可以包括多个这样的互连线层和过孔层,其中至少一部分乃至全部互连线层和过孔层可以按照这种方法制造。The metallization stack may include a plurality of such interconnection line layers and via layers, and at least a part or even all of the interconnection line layers and via layers can be manufactured according to this method.
根据本公开的实施例,互连图案可以包括一系列金属线。这些金属线可以具有与互连线层中互连线的布局相同的图案。也即,可以按照互连线的布局,来对金属层进行构图。或者,互连图案可以具有这样的图案:其中的金属线按照互连线的布局延伸,但与彼此相对的分离互连线相对应的金属线可以连续延伸。这种情况下,形成沿同一方向延伸的金属线对于构图来说是有利的。这种布局与另一互连线层中沿与该方向相交(例如,正交)的另一方向延伸的金属线相配合,可以实现各种互连路线。例如,在金属化叠层中,可以在竖直方向上交替设置互连线沿第一方向延伸的互连线层以及互连线沿与第一方向正交的第二方向延伸的互连线层。可以在减薄互连图案的第一部分的厚度之后,再按照互连线的布局,在预定区域处切断金属线,以实现不同互连线之间的分离。According to an embodiment of the present disclosure, the interconnection pattern may include a series of metal lines. These metal lines may have the same pattern as the layout of the interconnection lines in the interconnection line layer. That is, the metal layer can be patterned according to the layout of the interconnection lines. Alternatively, the interconnection pattern may have a pattern in which the metal lines extend according to the layout of the interconnection lines, but the metal lines corresponding to the separated interconnection lines opposite to each other may extend continuously. In this case, forming metal wires extending in the same direction is advantageous for patterning. This layout is matched with metal lines extending in another direction that intersects (for example, orthogonal) to the direction in another interconnection line layer, and various interconnection routes can be realized. For example, in a metallization stack, an interconnection line layer in which the interconnection lines extend in a first direction and an interconnection line in which the interconnection lines extend in a second direction orthogonal to the first direction may be alternately arranged in the vertical direction. Floor. After the thickness of the first part of the interconnection pattern is reduced, the metal line can be cut at a predetermined area according to the layout of the interconnection line to achieve separation between different interconnection lines.
在以上制作过程中,在形成金属线之后在金属线之间,在减薄金属线的第一部分之后在由于厚度减薄而导致的空隙中,可以填充电介质材料以形成层间电介质层。由于金属线之间的间隙或者上述空隙较小,填充的电介质材料中可以形成气隙或孔洞。这种气隙或孔洞可以有助于降低电容。如下所述,可以通过淀积-刻蚀-淀积的方法,来调整气隙或孔洞的位置。另外,各次填充的电介质材料可以相同,也可以不同。In the above manufacturing process, after the metal lines are formed, between the metal lines, after thinning the first part of the metal lines, in the voids caused by the thickness reduction, a dielectric material may be filled to form an interlayer dielectric layer. Since the gap between the metal lines or the above-mentioned gap is small, an air gap or a hole may be formed in the filled dielectric material. Such air gaps or holes can help reduce capacitance. As described below, the position of the air gap or hole can be adjusted by a deposition-etch-deposition method. In addition, the dielectric material of each filling may be the same or different.
根据上述方法,可以得到根据本公开实施例的金属化叠层,其中至少一个互连线层中的互连线的至少一部分与之上的过孔彼此成一体。在常规双大马士革工艺中,可以一起形成互连线及之下的过孔,且它们因此可以一体。与此不同,根据本公开的实施例,可以一起形成互连线及之上的过孔,且因此它们可以一体。According to the above method, a metallization stack according to an embodiment of the present disclosure can be obtained, in which at least a part of the interconnection line in at least one interconnection line layer and the via hole thereon are integrated with each other. In the conventional double damascene process, the interconnection line and the via hole below can be formed together, and they can therefore be integrated. Unlike this, according to the embodiment of the present disclosure, the interconnection line and the via hole thereon can be formed together, and therefore they can be integrated.
如上所述,互连线及之上的过孔可以通过同一金属层通过光刻而得到,因此过孔的侧壁可以不超过之下的互连线的侧壁。As described above, the interconnection line and the via hole above can be obtained by photolithography through the same metal layer, so the sidewall of the via hole may not exceed the sidewall of the interconnection line below.
本公开可以各种形式呈现,以下将描述其中一些示例。在以下的描述中,涉及各种材料的选择。材料的选择除了考虑其功能(例如,半导体材料用于形成有源区,电介质材料用于形成电隔离,导电材料用于形成互连线和过孔)之外,还考虑刻蚀选择性。在以下的描述中,可能指出了所需的刻蚀选择性,也 可能并未指出。本领域技术人员应当清楚,当以下提及对某一材料层进行刻蚀时,如果没有提到其他层也被刻蚀或者图中并未示出其他层也被刻蚀,那么这种刻蚀可以是选择性的,且该材料层相对于暴露于相同刻蚀配方中的其他层可以具备刻蚀选择性。The present disclosure can be presented in various forms, some examples of which will be described below. In the following description, the selection of various materials is involved. In addition to the selection of materials considering their functions (for example, semiconductor materials are used to form active regions, dielectric materials are used to form electrical isolation, and conductive materials are used to form interconnect lines and vias), etching selectivity is also considered. In the following description, the required etching selectivity may or may not be indicated. It should be clear to those skilled in the art that when etching a certain material layer is mentioned below, if it is not mentioned that other layers are also etched or the figure does not show that other layers are also etched, then this kind of etching It may be selective, and the material layer may have etching selectivity relative to other layers exposed to the same etching recipe.
图1至16示意性示出了根据本公开实施例的制造金属化叠层(metallization)的流程中的一些阶段。1 to 16 schematically show some stages in the process of manufacturing metallization according to an embodiment of the present disclosure.
如图1所示,提供衬底1001。该衬底1001可以是各种形式的衬底,包括但不限于体半导体材料衬底如体Si衬底、绝缘体上半导体(SOI)衬底、化合物半导体衬底如SiGe衬底等。以下以体Si衬底为例进行描述。As shown in FIG. 1, a substrate 1001 is provided. The substrate 1001 may be in various forms, including but not limited to bulk semiconductor material substrates such as bulk Si substrates, semiconductor-on-insulator (SOI) substrates, compound semiconductor substrates such as SiGe substrates, and the like. The following description takes the bulk Si substrate as an example.
在衬底1001中,可以通过隔离部1003例如浅沟槽隔离(S TI),来限定有源区。例如,隔离部1003可以围绕各有源区。在各有源区上,可以形成半导体器件T,例如金属氧化物半导体场效应晶体管(MOSFET)、鳍式场效应晶体管(FinFET)、纳米线场效应晶体管等。半导体器件T可以具有包括栅介质层1005和栅电极层1007在内的栅堆叠以及在有源区中栅堆叠两侧形成的源/漏区S/D。在栅堆叠的侧壁上,可以形成有栅隔墙(spacer)1009。半导体器件T可以是平面型器件如MOSFET或者立体器件如FinFET。在FinFET的情况下,有源区可以形成为相对于衬底表面突出的鳍片形式。In the substrate 1001, an active region may be defined by an isolation portion 1003, such as shallow trench isolation (S TI). For example, the isolation part 1003 may surround each active region. On each active area, a semiconductor device T can be formed, such as a metal oxide semiconductor field effect transistor (MOSFET), a fin field effect transistor (FinFET), a nanowire field effect transistor, and the like. The semiconductor device T may have a gate stack including a gate dielectric layer 1005 and a gate electrode layer 1007, and source/drain regions S/D formed on both sides of the gate stack in the active region. On the sidewalls of the gate stack, a gate spacer 1009 may be formed. The semiconductor device T may be a planar device such as a MOSFET or a three-dimensional device such as a FinFET. In the case of FinFET, the active region may be formed in the form of a fin protruding with respect to the surface of the substrate.
在衬底1001上可以形成层间电介质层1011如氧化物(例如,氧化硅),以覆盖衬底1001上形成的各半导体器件T。另外,在层间电介质层1011中,可形成到各半导体器件T的接触部1013。在图1中,仅示出了到源/漏区S/D的接触部,也可以包括到栅电极层1007的接触部(例如,参见图3(b))。An interlayer dielectric layer 1011 such as oxide (for example, silicon oxide) may be formed on the substrate 1001 to cover each semiconductor device T formed on the substrate 1001. In addition, in the interlayer dielectric layer 1011, a contact portion 1013 to each semiconductor device T may be formed. In FIG. 1, only the contact portion to the source/drain region S/D is shown, and the contact portion to the gate electrode layer 1007 may also be included (for example, see FIG. 3(b)).
之后,可以在衬底1001上制作互连结构或者说金属化叠层。After that, an interconnection structure or metallization stack can be fabricated on the substrate 1001.
如图2所示,可以在层间电介质层1011上,通过例如淀积如物理气相淀积(PVD)、化学气相淀积(CVD)、原子层淀积(ALD)等,形成金属层1015。例如,金属层1015可以包括导电金属如钌(Ru)、钼(Mo)、铑(Rh)、铂(Pt)、铱(Ir)、镍(Ni)、钴(Co)或铬(Cr)等。金属层1015可以具有针对金属化叠层中第一互连线层和第一过孔层两者的厚度,例如为约10nm-200nm。As shown in FIG. 2, a metal layer 1015 can be formed on the interlayer dielectric layer 1011 by, for example, deposition such as physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), etc. For example, the metal layer 1015 may include conductive metals such as ruthenium (Ru), molybdenum (Mo), rhodium (Rh), platinum (Pt), iridium (Ir), nickel (Ni), cobalt (Co), or chromium (Cr), etc. . The metal layer 1015 may have a thickness for both the first interconnect layer and the first via layer in the metallization stack, for example, about 10 nm to 200 nm.
根据本公开的实施例,可以通过如下方式来纯化Ru源,以获得高纯度Ru金属。可以将包括臭氧(O 3)的气流引入一个或多个反应室中与Ru源相接触, 从而形成在反应条件下为气态的四氧化钌(RuO 4)。可以将四氧化钌以及未反应的臭氧和气流的残余物送入收集室,在收集室中,可以将气态的四氧化钌还原成半导体衬底上的二氧化钌(RuO 2)层。然后,可以利用例如氢,将淀积的二氧化钌还原,以产生高纯度的Ru金属。另外,可以使用臭氧作为刻蚀气体,来对淀积的Ru金属层进行刻蚀和构图。 According to an embodiment of the present disclosure, the Ru source can be purified in the following manner to obtain high-purity Ru metal. A gas stream including ozone (O 3 ) can be introduced into one or more reaction chambers to contact with the Ru source, thereby forming ruthenium tetroxide (RuO 4 ) that is gaseous under the reaction conditions. Ruthenium tetroxide and unreacted ozone and airflow residues can be sent into the collection chamber, in which the gaseous ruthenium tetroxide can be reduced to a ruthenium dioxide (RuO 2 ) layer on the semiconductor substrate. Then, the deposited ruthenium dioxide can be reduced by using, for example, hydrogen to produce high-purity Ru metal. In addition, ozone can be used as an etching gas to etch and pattern the deposited Ru metal layer.
如图3(a)至图3(c)所示,可以将金属层1015构图为一系列金属线。构图可以通过光刻工艺进行,例如,隔墙图形转移光刻或者极紫外(EUV)光刻等。在光刻中,可以采用反应离子刻蚀(RIE),RIE可以停止于金属层1015下方的层间电介质层1011(或其中的接触部1013)。金属线之间的间隔可以限定第一互连线层中的互连线之间的间隔,例如为约5nm-150nm。另外,为了避免同一层中图案的密度在不同区域之间波动过大,可以形成虚设金属线,从而金属线例如以大致均匀的间隔布置。金属线的线宽可以限定第一互连线层中的互连线的线宽,例如为约5nm-100nm。另外,至少一部分金属线可以接触并电连接到下方的接触部1013。As shown in FIGS. 3(a) to 3(c), the metal layer 1015 can be patterned as a series of metal lines. The patterning can be performed by a photolithography process, for example, partition wall pattern transfer photolithography or extreme ultraviolet (EUV) photolithography. In photolithography, reactive ion etching (RIE) can be used, and the RIE can be stopped at the interlayer dielectric layer 1011 (or the contact portion 1013 therein) under the metal layer 1015. The interval between the metal lines may define the interval between the interconnection lines in the first interconnection line layer, and is, for example, about 5 nm-150 nm. In addition, in order to avoid excessive fluctuations in the density of the patterns in the same layer between different regions, dummy metal lines may be formed so that the metal lines are arranged at substantially uniform intervals, for example. The line width of the metal line may define the line width of the interconnection line in the first interconnection line layer, for example, about 5 nm-100 nm. In addition, at least a part of the metal wire may contact and be electrically connected to the contact portion 1013 below.
在该示例中,所形成的金属线沿第一方向(图3(a)中纸面上的水平方向)大致平行延伸,可以与之后形成的沿与第一方向交叉(例如,垂直)的第二方向延伸的金属线相配合,以实现各种互连路线。但是,本公开不限于此。例如,不同金属线可以沿不同方向延伸,且同一金属线可以曲折延伸。In this example, the formed metal wire extends substantially in parallel along the first direction (the horizontal direction on the paper in FIG. 3(a)), and may be formed later along the first direction crossing (for example, perpendicular) to the first direction. The metal wires extending in two directions cooperate to realize various interconnection routes. However, the present disclosure is not limited to this. For example, different metal wires can extend in different directions, and the same metal wire can extend zigzag.
如图4(a)和4(b)所示,可以在层间电介质层1011上形成另一层间电介质层,以填充金属线1015之间的间隙。另一层间电介质层可以包括电介质材料如氧化硅、碳氧化硅、其他低k电介质材料等。在此,该另一层间电介质层与之前的层间电介质层1011可以包括相同的材料,并因此可以一体示出为1011,并以虚线示意性示出了它们之间可能存在的边界。当然,它们也可以包括不同的材料。As shown in FIGS. 4(a) and 4(b), another interlayer dielectric layer may be formed on the interlayer dielectric layer 1011 to fill the gaps between the metal lines 1015. The other interlayer dielectric layer may include dielectric materials such as silicon oxide, silicon oxycarbide, other low-k dielectric materials, and the like. Here, the other interlayer dielectric layer and the previous interlayer dielectric layer 1011 may include the same material, and thus may be shown as a whole as 1011, and the possible boundary between them is schematically shown with a dashed line. Of course, they can also include different materials.
该另一层间电介质层可以通过淀积(例如,CVD或ALD)电介质材料以覆盖金属线1015,然后回蚀或平坦化(例如,化学机械抛光(CMP))淀积的电介质材料并停止于金属线1015的顶面来形成。回蚀可以采用原子层刻蚀(ALE),以实现良好的工艺控制。The other interlayer dielectric layer may be deposited (e.g., CVD or ALD) dielectric material to cover the metal line 1015, and then etch back or planarize (e.g., chemical mechanical polishing (CMP)) the deposited dielectric material and stop at The top surface of the metal wire 1015 is formed. Etching back can use atomic layer etching (ALE) to achieve good process control.
在图4(a)和4(b)所示的示例中,淀积的电介质材料完全填充了金属线1015 之间的间隙。但是,本公开不限于此。如图5(a)和5(b)所示,由于金属线1015之间的间隙较小,在淀积电介质材料时,例如在采用CVD工艺时,可以在金属线1015之间形成气隙或孔洞1017。这种气隙或孔洞1017有助于降低金属线之间的电容。In the examples shown in FIGS. 4(a) and 4(b), the deposited dielectric material completely fills the gaps between the metal lines 1015. However, the present disclosure is not limited to this. As shown in Figures 5(a) and 5(b), since the gap between the metal lines 1015 is small, when the dielectric material is deposited, for example, when a CVD process is used, an air gap or an air gap can be formed between the metal lines 1015. Hole 1017. Such an air gap or hole 1017 helps to reduce the capacitance between the metal lines.
根据本公开的实施例,通过调整淀积工艺,可以调节气隙或孔洞1017在竖直方向上的位置。According to an embodiment of the present disclosure, by adjusting the deposition process, the position of the air gap or hole 1017 in the vertical direction can be adjusted.
例如,如图6(a)所示,可以向金属线1015之间的间隙中淀积电介质材料,直至电介质材料使间隙的顶部闭合。在淀积过程中,可以使用多层(相同或不同材料的)膜。这种情况下,所形成的气隙或孔洞1017a可以位于间隙在竖直方向上的大致中部。For example, as shown in FIG. 6(a), a dielectric material may be deposited into the gap between the metal lines 1015 until the dielectric material closes the top of the gap. During the deposition process, multiple films (of the same or different materials) can be used. In this case, the formed air gap or hole 1017a may be located approximately in the middle of the gap in the vertical direction.
或者,如图6(b)所示,可以向金属线1015之间的间隙中淀积电介质材料,但不使间隙的顶部闭合。然后,可以对淀积的电介质材料进行选择性刻蚀如RIE,留下一部分在间隙的底部,从而使得电介质材料中的开口增大。接着,可以继续淀积电介质材料,直至电介质材料使间隙的顶部闭合。两次淀积的电介质材料可以相同或不同。当然,可以多次重复这种淀积-刻蚀-淀积的过程。这种情况下,所形成的气隙或孔洞1017b可以位于间隙在竖直方向上的下部。Alternatively, as shown in FIG. 6(b), a dielectric material may be deposited into the gap between the metal lines 1015 without closing the top of the gap. Then, the deposited dielectric material can be selectively etched, such as RIE, leaving a part at the bottom of the gap, thereby increasing the opening in the dielectric material. Then, the dielectric material can be deposited continuously until the dielectric material closes the top of the gap. The dielectric material deposited twice can be the same or different. Of course, this deposition-etch-deposition process can be repeated multiple times. In this case, the formed air gap or hole 1017b may be located at the lower part of the gap in the vertical direction.
或者,如图6(c)所示,可以向金属线1015之间的间隙中淀积电介质材料,直至电介质材料可以完全填充间隙。然后,可以对淀积的电介质材料进行选择性刻蚀如RIE,留下一部分在间隙的底部。接着,可以继续淀积电介质材料,直至电介质材料使间隙的顶部闭合。两次淀积的电介质材料可以相同或不同。当然,可以多次重复这种淀积-刻蚀-淀积的过程。这种情况下,所形成的气隙或孔洞1017c可以位于间隙在竖直方向上的上部。Alternatively, as shown in FIG. 6(c), a dielectric material may be deposited into the gap between the metal lines 1015 until the dielectric material can completely fill the gap. Then, the deposited dielectric material can be selectively etched such as RIE, leaving a part at the bottom of the gap. Then, the dielectric material can be deposited continuously until the dielectric material closes the top of the gap. The dielectric material deposited twice can be the same or different. Of course, this deposition-etch-deposition process can be repeated multiple times. In this case, the formed air gap or hole 1017c may be located at the upper part of the gap in the vertical direction.
如上所述,通过交替执行淀积和刻蚀,可以上下调节金属线间隙之间的气隙或孔洞的位置。As described above, by alternately performing deposition and etching, the positions of air gaps or holes between metal line gaps can be adjusted up and down.
当前,在第一过孔层中,金属层的图案与第一互连线层中的图案(即,上述的金属线图案)相同。可以在第一过孔层中对金属层(即,金属线)进一步构图,以形成过孔图案。Currently, in the first via layer, the pattern of the metal layer is the same as the pattern in the first interconnection line layer (ie, the aforementioned metal line pattern). The metal layer (ie, the metal line) may be further patterned in the first via layer to form a via pattern.
如图7所示,可以在层间电介质层1011和金属线1015上形成光刻胶1019,并将光刻胶1019构图(例如,通过曝光和显影)为覆盖将要形成过孔的区域, 而露出其余区域。As shown in FIG. 7, a photoresist 1019 may be formed on the interlayer dielectric layer 1011 and the metal line 1015, and the photoresist 1019 may be patterned (for example, by exposure and development) to cover the area where the via is to be formed, and expose The rest of the area.
在此,光刻胶1019的宽度W1(由此限定的第一过孔层中过孔的宽度)(在金属线纵向延伸方向上的尺度,在该示例中,图7中纸面内水平方向上的尺度)可以相对较大,以便之后在其上方形成的第二互连线层中的互连线可以更好地着落在过孔上从而与过孔更好地接触。Here, the width W1 of the photoresist 1019 (the width of the via hole in the first via layer defined thereby) (the dimension in the longitudinal extension direction of the metal wire, in this example, the horizontal direction on the paper in FIG. 7 The upper dimension) may be relatively large, so that the interconnection line in the second interconnection line layer formed thereon can better land on the via hole and make better contact with the via hole.
如图8(a)至8(c)所示,可以利用光刻胶1019作为刻蚀掩模,对金属线1015进行选择性刻蚀如RIE,以形成过孔。对金属线1015的刻蚀可以进行至金属线在竖直方向上的中部,例如大致中间位置。这样,每一金属线1015的下部可以保持连续延伸(可以形成第一互连线层中的互连线),且至少一部分金属线1015的上部可以形成为一些分离图案(可以形成第一过孔层中的过孔,参见图8(a)的俯视图)。可以存在某些金属线1015(例如,虚设金属线),其上部均被刻蚀掉,从而不存在相应的过孔。在图8(b)和8(c)中,以虚线示意性示出了第一互连线层与第一过孔层之间的界限,但在物理上它们是一体的。之后,可以去除光刻胶1019。As shown in FIGS. 8(a) to 8(c), the photoresist 1019 can be used as an etching mask to selectively etch the metal line 1015, such as RIE, to form a via. The etching of the metal wire 1015 may be performed to the middle of the metal wire in the vertical direction, for example, a substantially middle position. In this way, the lower part of each metal line 1015 can be kept continuously extending (the interconnection line in the first interconnection line layer can be formed), and at least a part of the upper part of the metal line 1015 can be formed as some separate patterns (the first via hole can be formed). For the vias in the layer, see the top view of Figure 8(a)). There may be some metal lines 1015 (for example, dummy metal lines), the upper part of which is etched away, so there is no corresponding via hole. In FIGS. 8(b) and 8(c), the boundary between the first interconnection layer and the first via layer is schematically shown with a dashed line, but they are physically integrated. After that, the photoresist 1019 may be removed.
由于过孔从光刻形成的线来获得,因此过孔之间的最小间隔可以由光刻工艺所能实现的最小线间隔来限定(例如,相等)。而通常情况下,光刻形成的过孔之间的最小间隔大于线之间的最小间隔。Since the via holes are obtained from lines formed by photolithography, the minimum interval between the via holes can be defined by the minimum line interval achievable by the photolithography process (for example, equal). In general, the minimum spacing between vias formed by photolithography is greater than the minimum spacing between lines.
当前,第一互连线层中的各金属线1015保持连续延伸。可以根据设计布局,将它们分离为多个部分。Currently, each metal line 1015 in the first interconnection line layer maintains continuous extension. They can be separated into multiple parts according to the design layout.
如图9所示,可以在层间电介质层1011和金属线1015上形成光刻胶1021,并将光刻胶1021构图为覆盖第一互连线层的图案中存在互连线的区域,而露出第一互连线层的图案中不存在互连线的区域。As shown in FIG. 9, a photoresist 1021 may be formed on the interlayer dielectric layer 1011 and the metal line 1015, and the photoresist 1021 may be patterned to cover the area where the interconnection lines exist in the pattern of the first interconnection line layer, and A region where there is no interconnection line in the pattern of the first interconnection line layer is exposed.
如图10(a)至10(d)所示,可以利用光刻胶1021作为刻蚀掩模,对金属线1015进行选择性刻蚀如RIE。在此,对金属线1015的刻蚀可以停止于下方的层间电介质层1011,以将金属线1015切断。于是,在第一互连线层中,金属线1015可以形成一些分离的金属线段,得到相应的互连线。之后,可以去除光刻胶1021。As shown in FIGS. 10(a) to 10(d), the photoresist 1021 can be used as an etching mask to selectively etch the metal line 1015, such as RIE. Here, the etching of the metal line 1015 can be stopped at the underlying interlayer dielectric layer 1011 to cut the metal line 1015. Therefore, in the first interconnection line layer, the metal lines 1015 can form some separated metal line segments to obtain corresponding interconnection lines. After that, the photoresist 1021 may be removed.
在以上示例中,先构图第一过孔层中的过孔(刻蚀深度为金属层的大致一半厚度),然后构图第一互连线层中的互连线(刻蚀深度也为金属层的大致一 半厚度)。这是有利的,因为每一刻蚀处理的刻蚀深度减小。但是,本公开不限于此。例如,这两个构图处理的顺序可以交换。In the above example, first pattern the vias in the first via layer (the etching depth is roughly half the thickness of the metal layer), and then pattern the interconnect lines in the first interconnect layer (the etching depth is also the metal layer Roughly half the thickness). This is advantageous because the etching depth of each etching process is reduced. However, the present disclosure is not limited to this. For example, the order of the two composition processing can be exchanged.
如图10(b)所示,金属线1015的下部在层间电介质层1011上延伸,形成互连线;金属线1015的上部是互连线上的局域化图案,形成过孔。由于互连线和过孔通过相同的金属线1015得到,因此它们是一体的,且彼此自对准。As shown in FIG. 10(b), the lower part of the metal line 1015 extends on the interlayer dielectric layer 1011 to form an interconnection line; the upper part of the metal line 1015 is a localized pattern on the interconnection line to form a via. Since the interconnection line and the via are obtained through the same metal line 1015, they are integrated and self-aligned with each other.
另外,如图10(b)中最右侧的金属线1015中的虚线所示,对于同一互连线上的相邻过孔,在不会造成上层互连线之间不正确电连接的情况下,它们之间的金属线厚度可以不减小。也即,相邻过孔的宽度增大从而彼此连接成一体。这样,可以减小连接电阻。In addition, as shown by the dashed line in the rightmost metal line 1015 in FIG. 10(b), adjacent vias on the same interconnection line will not cause incorrect electrical connection between the upper-level interconnection lines. Next, the thickness of the metal wire between them may not be reduced. That is, the widths of adjacent via holes are increased to be connected to each other as one body. In this way, the connection resistance can be reduced.
另外,如图10(b)所示,在金属线1015的纵向延伸方向(图10(b)中纸面内的水平方向)上,过孔可以位于互连线的局部区域内,例如过孔的侧壁相对于互连线的相应侧壁缩进。另外,如图10(c)和10(d)所示,在垂直于金属线1015的纵向延伸方向的截面中,过孔的侧壁与互连线的相应侧壁可以实质上共面。In addition, as shown in FIG. 10(b), in the longitudinal extension direction of the metal wire 1015 (the horizontal direction in the paper in FIG. 10(b)), the via hole may be located in a local area of the interconnection line, such as a via hole. The sidewalls are retracted relative to the corresponding sidewalls of the interconnection line. In addition, as shown in FIGS. 10(c) and 10(d), in a cross section perpendicular to the longitudinal extension direction of the metal line 1015, the sidewall of the via hole and the corresponding sidewall of the interconnection line may be substantially coplanar.
由于以上对金属线1015的刻蚀,层间电介质层1011中形成了空隙。如图11(a)至11(d)所示,可以利用电介质材料将这些空隙填满。这可以通过如上所述的淀积然后回蚀或平坦化的方式来进行。淀积的电介质材料可以与之前的层间电介质层1011相同或不同。在此,仍将淀积的电介质材料和之前的层间电介质层一体示出为1011,并以虚线示意性示出了它们之间可能存在的边界。根据其他实施例,可以在淀积电介质材料之前,先通过例如淀积形成一薄层,以用于扩散阻挡、保护或刻蚀停止等目的。Due to the above etching of the metal line 1015, voids are formed in the interlayer dielectric layer 1011. As shown in Figures 11(a) to 11(d), these voids can be filled with dielectric materials. This can be done by deposition and then etch back or planarization as described above. The deposited dielectric material may be the same as or different from the previous interlayer dielectric layer 1011. Here, the deposited dielectric material and the previous interlayer dielectric layer are still integrally shown as 1011, and the possible boundary between them is schematically shown with a dashed line. According to other embodiments, before depositing the dielectric material, a thin layer may be formed by, for example, deposition for the purpose of diffusion barrier, protection, or etch stop.
类似地,如上所述,由于要填充的间隙较小,在淀积电介质材料时,可能形成气隙或孔洞1023,如图12(a)至12(c)所示。气隙或孔洞1023可以根据相应间隙的形状而不同。另外,如上所述,可以通过调整淀积工艺,可以调节气隙或孔洞1023在竖直方向上的位置。Similarly, as described above, due to the small gaps to be filled, air gaps or holes 1023 may be formed when the dielectric material is deposited, as shown in FIGS. 12(a) to 12(c). The air gap or hole 1023 may be different according to the shape of the corresponding gap. In addition, as described above, the position of the air gap or hole 1023 in the vertical direction can be adjusted by adjusting the deposition process.
另外,图13(a)和13(b)示出了在两次填充层间电介质层中的间隙时均形成气隙或孔洞的情况。也即,在图13(a)和13(b)所示的示例中,结合了上述的气隙或孔洞1017以及气隙或孔洞1023。In addition, FIGS. 13(a) and 13(b) show a case where an air gap or a hole is formed when the gap in the interlayer dielectric layer is filled twice. That is, in the example shown in FIGS. 13(a) and 13(b), the above-mentioned air gap or hole 1017 and air gap or hole 1023 are combined.
通过上述工艺,形成了第一互连线层和第一过孔层。接下来,可以按照同样的方式,继续形成金属化叠层中上层的各互连线层和过孔层。Through the above process, the first interconnection layer and the first via layer are formed. Next, in the same way, the interconnection layer and the via layer in the upper layer of the metallization stack can be formed continuously.
但是,本公开不限于此。以下,结合第二互连线层和第二过孔层,说明根据本公开另一实施例的制造方法。如下说明的方法可以单独使用,或者可以与以上说明的方法结合使用。However, the present disclosure is not limited to this. Hereinafter, in conjunction with the second interconnect layer and the second via layer, a manufacturing method according to another embodiment of the present disclosure will be described. The methods described below can be used alone or in combination with the methods described above.
如图14(a)至14(d)所示,如以上结合图2所述,可以形成金属层1025。金属层1025可以包括与金属层1015相同或不同的金属材料。类似地,金属层1025可以具有针对金属化叠层中第二互连线层和第二过孔层两者的厚度,例如为约10nm-200nm。As shown in FIGS. 14(a) to 14(d), as described above in conjunction with FIG. 2, a metal layer 1025 may be formed. The metal layer 1025 may include the same or different metal material as the metal layer 1015. Similarly, the metal layer 1025 may have a thickness for both the second interconnect line layer and the second via layer in the metallization stack, for example, about 10 nm to 200 nm.
然后,如以上结合图3(a)至图3(c)所述,可以将金属层1025构图为一系列金属线。在该示例中,代替将金属层1025构图为连续延伸的金属线,可以直接按照第二互连线层的图案来构图金属层1025。于是,金属层1025可以被构图为一系列金属线段。也即,在此,将以上结合图9和图10(a)至10(d)所述的金属线切断处理结合到与金属层构图一起进行,从而不需要单独的切断光刻工艺。另外,由于这种构图方式,金属线段可以不限于直线段,而是可以包括曲折线段。Then, as described above in connection with FIGS. 3(a) to 3(c), the metal layer 1025 can be patterned into a series of metal lines. In this example, instead of patterning the metal layer 1025 into continuously extending metal lines, the metal layer 1025 may be directly patterned according to the pattern of the second interconnection line layer. Thus, the metal layer 1025 can be patterned as a series of metal line segments. That is, here, the metal wire cutting process described above in conjunction with FIGS. 9 and 10(a) to 10(d) is combined to be performed together with the metal layer patterning, so that a separate cutting photolithography process is not required. In addition, due to this patterning manner, the metal line segment may not be limited to a straight line segment, but may include a zigzag line segment.
另外,在对金属层1025刻蚀时,可以发生对下方的金属层1015的过刻蚀。于是,如图14(b)所示,第一过孔层中过孔的上部的宽度可以减小,且与之上形成的金属线段1025的线宽大致相同。另外,如图14(b)所示,金属线段1025的线宽W2(图14(b)中纸面内水平方向上的尺度)可以相对较小,小于第一过孔层中过孔(不考虑其上部,其宽度由于上述过刻蚀而可能缩减)的宽度W1(图14(b)中纸面内水平方向上的尺度),从而金属线段1025(随后形成第二互连线层中的互连线)可以更好地着落在过孔上从而与过孔更好地接触。In addition, when the metal layer 1025 is etched, over-etching of the underlying metal layer 1015 may occur. Thus, as shown in FIG. 14(b), the width of the upper portion of the via hole in the first via layer can be reduced, and is approximately the same as the line width of the metal line segment 1025 formed thereon. In addition, as shown in FIG. 14(b), the line width W2 of the metal line segment 1025 (the scale in the horizontal direction on the paper in FIG. 14(b)) can be relatively small and smaller than the via hole in the first via layer (not Considering the upper part, its width may be reduced due to the above-mentioned over-etching) width W1 (the scale in the horizontal direction on the paper in FIG. 14(b)), so that the metal line segment 1025 (the second interconnection line layer in the second interconnection layer is subsequently formed) is the width W1 The interconnection line can better land on the via hole so as to make better contact with the via hole.
可以在层间电介质层1011上形成另一层间电介质层,以填充金属线段1025之间的间隙。另一层间电介质层可以包括电介质材料如氧化硅、碳氧化硅、其他低k电介质材料等。Another interlayer dielectric layer may be formed on the interlayer dielectric layer 1011 to fill the gap between the metal line segments 1025. The other interlayer dielectric layer may include dielectric materials such as silicon oxide, silicon oxycarbide, other low-k dielectric materials, and the like.
该另一层间电介质层如下所述形成。This other interlayer dielectric layer is formed as described below.
如图15(a)所示,可以通过淀积(例如,CVD或ALD)电介质材料以覆盖金属线段1025。在此,淀积的电介质材料与之前的层间电介质层1011可以包括相同的材料,并因此可以一体示出为1011,并以虚线示意性示出了它们之间可能存在的边界。当然,它们也可以包括不同的材料。As shown in FIG. 15(a), a dielectric material may be deposited (for example, CVD or ALD) to cover the metal line segment 1025. Here, the deposited dielectric material and the previous interlayer dielectric layer 1011 may include the same material, and thus may be shown integrally as 1011, and the possible boundary between them is schematically shown with a dashed line. Of course, they can also include different materials.
或者,如上所述,如图15(b)所示,在淀积电介质材料时,可以在金属线段1025之间形成气隙或孔洞1027。在该示例中,由于金属线段具有第二互连线层的图案,因此部分区域中金属线段的密度可以较低,或者说金属线段之间的间隙可能较大。在这些区域中,难以形成气隙或孔洞。Alternatively, as described above, as shown in FIG. 15(b), when the dielectric material is deposited, an air gap or hole 1027 may be formed between the metal line segments 1025. In this example, since the metal line segments have the pattern of the second interconnection line layer, the density of the metal line segments in a part of the area may be lower, or the gap between the metal line segments may be larger. In these areas, it is difficult to form air gaps or holes.
然后,如图16所示,可以回蚀或平坦化如CMP淀积的电介质材料并停止于金属线段1025的顶面。回蚀可以采用ALE,以实现良好的工艺控制。Then, as shown in FIG. 16, the dielectric material deposited by CMP can be etched back or planarized and stopped on the top surface of the metal line segment 1025. ALE can be used for etch back to achieve good process control.
之后,可以按照以上结合图7和8(a)至8(c)描述的工艺,在金属线段1025的上部形成第二过孔层中的过孔。于是,金属线段1025的下部形成第二互连线层中的互连线。然后,可以按照以上结合图11(a)至11(d)描述的工艺,利用电介质材料填充层间电介质层1011中的空隙。这样,形成了第二互连线层和第二过孔层。After that, the via hole in the second via layer can be formed on the upper portion of the metal line segment 1025 according to the process described above in conjunction with FIGS. 7 and 8(a) to 8(c). Thus, the lower part of the metal line segment 1025 forms an interconnection line in the second interconnection line layer. Then, the gap in the interlayer dielectric layer 1011 can be filled with a dielectric material according to the process described above in conjunction with FIGS. 11(a) to 11(d). In this way, the second interconnect layer and the second via layer are formed.
根据本公开实施例的金属化叠层可以应用于各种电子设备。因此,本公开还提供了一种包括上述金属化叠层的电子设备。电子设备还可以包括显示屏幕以及无线收发器等部件。这种电子设备例如智能电话、计算机、平板电脑(PC)、可穿戴智能设备、移动电源等。The metallization stack according to the embodiment of the present disclosure can be applied to various electronic devices. Therefore, the present disclosure also provides an electronic device including the above-mentioned metallization stack. The electronic device may also include components such as a display screen and a wireless transceiver. Such electronic devices are, for example, smart phones, computers, tablet computers (PCs), wearable smart devices, mobile power supplies, and so on.
根据本公开的实施例,还提供了一种芯片系统(SoC)的制造方法。该方法可以包括上述方法。具体地,可以在芯片上集成多种器件,其中至少一些是根据本公开的方法制造的。According to an embodiment of the present disclosure, a manufacturing method of a system on chip (SoC) is also provided. The method may include the method described above. Specifically, a variety of devices can be integrated on the chip, at least some of which are manufactured according to the method of the present disclosure.
在以上的描述中,对于各层的构图、刻蚀等技术细节并没有做出详细的说明。但是本领域技术人员应当理解,可以通过各种技术手段,来形成所需形状的层、区域等。另外,为了形成同一结构,本领域技术人员还可以设计出与以上描述的方法并不完全相同的方法。另外,尽管在以上分别描述了各实施例,但是这并不意味着各个实施例中的措施不能有利地结合使用。In the above description, the technical details such as patterning and etching of each layer have not been described in detail. However, those skilled in the art should understand that various technical means can be used to form layers, regions, etc., of a desired shape. In addition, in order to form the same structure, those skilled in the art can also design a method that is not completely the same as the method described above. In addition, although the embodiments are separately described above, this does not mean that the measures in the respective embodiments cannot be advantageously used in combination.
以上对本公开的实施例进行了描述。但是,这些实施例仅仅是为了说明的目的,而并非为了限制本公开的范围。本公开的范围由所附权利要求及其等价物限定。不脱离本公开的范围,本领域技术人员可以做出多种替代和修改,这些替代和修改都应落在本公开的范围之内。The embodiments of the present disclosure have been described above. However, these examples are for illustrative purposes only, and are not intended to limit the scope of the present disclosure. The scope of the present disclosure is defined by the appended claims and their equivalents. Without departing from the scope of the present disclosure, those skilled in the art can make various substitutions and modifications, and these substitutions and modifications should fall within the scope of the present disclosure.

Claims (35)

  1. 一种金属化叠层,包括在衬底上交替设置的至少一个互连线层和至少一个过孔层,其中所述金属化叠层中至少一对相邻的互连线层和过孔层包括:A metallization stack includes at least one interconnection layer and at least one via layer alternately arranged on a substrate, wherein at least a pair of adjacent interconnection layers and via layers in the metallization stack include:
    所述互连线层中的互连线;以及Interconnection lines in the interconnection line layer; and
    所述过孔层中的过孔,The vias in the via layer,
    其中,所述互连线层比所述过孔层更靠近衬底,Wherein, the interconnect layer is closer to the substrate than the via layer,
    其中,所述互连线的至少一部分与所述互连线的所述至少一部分上的过孔一体。Wherein, at least a part of the interconnection line is integrated with a via hole on the at least a part of the interconnection line.
  2. 根据权利要求1所述的金属化叠层,其中,所述互连线的所述至少一部分与所述互连线的所述至少一部分上的过孔由相同材料形成。The metallization stack of claim 1, wherein the at least a part of the interconnection line and the via on the at least a part of the interconnection line are formed of the same material.
  3. 根据权利要求1或2所述的金属化叠层,其中,所述过孔的外周侧壁不超出所述互连线的所述至少一部分的外周侧壁。The metallization stack according to claim 1 or 2, wherein the outer peripheral side wall of the via hole does not exceed the outer peripheral side wall of the at least a part of the interconnection line.
  4. 根据权利要求3所述的金属化叠层,其中,所述互连线的所述至少一部分沿其纵向延伸方向的侧壁与所述过孔的相应侧壁的至少下部实质上共面。The metallization stack of claim 3, wherein the sidewalls of the at least a portion of the interconnection line along the longitudinal extension direction thereof are substantially coplanar with at least the lower portion of the corresponding sidewall of the via hole.
  5. 根据权利要求1或2所述的金属化叠层,其中,所述互连线和所述过孔包括金属,且与周围的电介质层直接接触。The metallization stack according to claim 1 or 2, wherein the interconnection line and the via hole comprise metal and are in direct contact with the surrounding dielectric layer.
  6. 根据权利要求5所述的金属化叠层,其中,所述金属包括钌(Ru)、钼(Mo)、铑(Rh)、铂(Pt)、铱(Ir)、镍(Ni)、钴(Co)或铬(Cr)。The metallization stack according to claim 5, wherein the metal includes ruthenium (Ru), molybdenum (Mo), rhodium (Rh), platinum (Pt), iridium (Ir), nickel (Ni), cobalt ( Co) or chromium (Cr).
  7. 根据权利要求1所述的金属化叠层,还包括:围绕所述互连线和所述过孔的电介质层,其中,所述电介质层中在所述互连线之间和/或所述过孔之间包括孔洞或气隙。The metallization stack according to claim 1, further comprising: a dielectric layer surrounding the interconnection line and the via hole, wherein the dielectric layer is between the interconnection lines and/or the There are holes or air gaps between the vias.
  8. 根据权利要求1或2所述的金属化叠层,其中,所述互连线层中的各条互连线沿同一方向延伸。The metallization stack according to claim 1 or 2, wherein each interconnection line in the interconnection line layer extends in the same direction.
  9. 根据权利要求8所述的金属化叠层,其中,至少一对上下相邻的互连线层中的互连线沿彼此正交的方向延伸。8. The metallization stack according to claim 8, wherein the interconnection lines in at least a pair of upper and lower interconnection line layers extend in directions orthogonal to each other.
  10. 根据权利要求1或2或9所述的金属化叠层,其中,所述过孔的宽度大于该过孔上的互连线的宽度。The metallization stack according to claim 1 or 2 or 9, wherein the width of the via hole is greater than the width of the interconnection line on the via hole.
  11. 根据权利要求10所述的金属化叠层,其中,所述过孔的上部与该过 孔上的互连线的宽度基本相同,而所述过孔的下部的宽度大于该过孔上的互连线的宽度。The metallization stack of claim 10, wherein the width of the upper portion of the via hole and the interconnection line on the via hole are substantially the same, and the width of the lower portion of the via hole is greater than the width of the interconnection line on the via hole. The width of the connection.
  12. 根据权利要求1或2所述的金属化叠层,其中,在与所述互连线的纵向延伸方向垂直的截面中,所述过孔和所述互连线呈从下往上渐缩的形状。The metallization stack according to claim 1 or 2, wherein, in a cross section perpendicular to the longitudinal extension direction of the interconnection line, the via hole and the interconnection line are tapered from bottom to top shape.
  13. 根据权利要求1所述的金属化叠层,其中,过孔之间的最小间隔由光刻工艺能够实现的最小线间隔限定。The metallization stack of claim 1, wherein the minimum spacing between the via holes is defined by the minimum line spacing achievable by the photolithography process.
  14. 一种制造金属化叠层的方法,所述金属化叠层包括交替设置的至少一个互连线层和至少一个过孔层,所述方法包括通过以下操作来形成所述金属化叠层中至少一对相邻的互连线层和过孔层:A method for manufacturing a metallization stack, the metallization stack includes at least one interconnection layer and at least one via layer alternately arranged, the method includes forming at least one of the metallization stacks by the following operations A pair of adjacent interconnect layer and via layer:
    在下层上形成金属层;Forming a metal layer on the lower layer;
    将所述金属层构图为互连图案;Patterning the metal layer into an interconnection pattern;
    减薄所述互连图案的第一部分的厚度,以形成所述互连线层中的互连线,Reducing the thickness of the first portion of the interconnection pattern to form interconnection lines in the interconnection line layer,
    其中,所述互连图案的第一部分之外的第二部分形成所述过孔层中的过孔。Wherein, a second part other than the first part of the interconnection pattern forms a via hole in the via hole layer.
  15. 根据权利要求14所述的方法,其中,所述金属化叠层中各对相邻的互连线层和过孔层均通过所述操作来形成。The method according to claim 14, wherein each pair of adjacent interconnection layer and via layer in the metallization stack is formed by the operation.
  16. 根据权利要求14所述的方法,其中,所述金属层包括钌(Ru)、钼(Mo)、铑(Rh)、铂(Pt)、铱(Ir)、镍(Ni)、钴(Co)或铬(Cr)。The method according to claim 14, wherein the metal layer comprises ruthenium (Ru), molybdenum (Mo), rhodium (Rh), platinum (Pt), iridium (Ir), nickel (Ni), cobalt (Co) Or chromium (Cr).
  17. 根据权利要求14所述的方法,其中,在下层上形成金属层包括:The method of claim 14, wherein forming a metal layer on the lower layer comprises:
    通过淀积,形成厚度对应于所述互连线层和所述过孔层的厚度之和的金属层。Through deposition, a metal layer having a thickness corresponding to the sum of the thickness of the interconnection layer and the via layer is formed.
  18. 根据权利要求17所述的方法,其中,淀积的金属层遍及所述下层的基本上整个表面。The method of claim 17, wherein the deposited metal layer covers substantially the entire surface of the lower layer.
  19. 根据权利要求14所述的方法,其中,所述构图包括光刻。The method of claim 14, wherein the patterning includes photolithography.
  20. 根据权利要求19所述的方法,其中,光刻包括隔墙图形转移或极紫外光刻。The method of claim 19, wherein the photolithography comprises pattern transfer of partition walls or extreme ultraviolet photolithography.
  21. 根据权利要求14所述的方法,其中,The method according to claim 14, wherein:
    将所述金属层构图为互连图案包括:将所述金属层构图为一系列金属线,The patterning of the metal layer into an interconnection pattern includes: patterning the metal layer into a series of metal lines,
    该方法还包括:在所述金属线之间填充第一电介质层。The method further includes: filling a first dielectric layer between the metal lines.
  22. 根据权利要求21所述的方法,还包括:The method of claim 21, further comprising:
    在所述金属线之间的所述第一电介质层中形成孔洞或气隙。A hole or air gap is formed in the first dielectric layer between the metal lines.
  23. 根据权利要求22所述的方法,其中,形成孔洞或气隙包括:The method of claim 22, wherein forming a hole or an air gap comprises:
    通过向所述金属线之间的空间中淀积电介质材料,使所述空间的顶部封闭,来填充所述第一电介质层,The first dielectric layer is filled by depositing a dielectric material into the space between the metal lines to close the top of the space,
    其中,所述孔洞或气隙形成在所述空间的基本上中部。Wherein, the hole or air gap is formed substantially in the middle of the space.
  24. 根据权利要求23所述的方法,其中,淀积电介质材料包括:淀积多层相同或不同的电介质材料。The method of claim 23, wherein depositing a dielectric material comprises depositing multiple layers of the same or different dielectric materials.
  25. 根据权利要求22所述的方法,其中,形成孔洞或气隙包括通过以下操作来填充所述第一电介质层:The method of claim 22, wherein forming a hole or an air gap includes filling the first dielectric layer by:
    向所述金属线之间的空间中淀积第一电介质材料,使淀积的第一电介质材料在所述空间的顶部具有开口;Depositing a first dielectric material into the space between the metal lines, so that the deposited first dielectric material has an opening at the top of the space;
    对所淀积的第一电介质材料进行选择性刻蚀,使其开口增大;以及Selectively etch the deposited first dielectric material to increase the opening; and
    向所述金属线之间的空间中进一步淀积第二电介质材料,使所述空间的顶部封闭,A second dielectric material is further deposited into the space between the metal lines to close the top of the space,
    其中,所述孔洞或气隙形成在所述空间的下部,Wherein, the hole or air gap is formed in the lower part of the space,
    其中,第一电介质材料与第二电介质材料相同或不同。Wherein, the first dielectric material and the second dielectric material are the same or different.
  26. 根据权利要求22所述的方法,其中,形成孔洞或气隙包括通过以下操作来填充所述第一电介质层:The method of claim 22, wherein forming a hole or an air gap includes filling the first dielectric layer by:
    向所述金属线之间的空间中淀积第一电介质材料,以完全填充所述空间;Depositing a first dielectric material into the space between the metal lines to completely fill the space;
    对所淀积的第一电介质材料进行选择性刻蚀,使其留于所述空间的底部;以及Selectively etch the deposited first dielectric material so that it remains at the bottom of the space; and
    向所述金属线之间的空间中进一步淀积第二电介质材料,使所述空间的顶部封闭,A second dielectric material is further deposited into the space between the metal lines to close the top of the space,
    其中,所述孔洞或气隙形成在所述空间的上部,Wherein, the hole or air gap is formed in the upper part of the space,
    其中,第一电介质材料与第二电介质材料相同或不同。Wherein, the first dielectric material and the second dielectric material are the same or different.
  27. 根据权利要求21所述的方法,其中,The method of claim 21, wherein:
    所述一系列金属线沿同一方向连续延伸,The series of metal wires extend continuously in the same direction,
    所述方法还包括:The method also includes:
    在预定区域处切断所述一系列金属线中的至少一条的减薄的第一部分;以 及Cutting the thinned first portion of at least one of the series of metal wires at a predetermined area; and
    在所述金属线之间由于所述减薄和所述切断而形成的空间中,填充第二电介质层,In the space formed between the metal wires due to the thinning and the cutting, a second dielectric layer is filled,
    其中,第一电介质层和第二电介质层包括相同或不同的材料。Wherein, the first dielectric layer and the second dielectric layer include the same or different materials.
  28. 根据权利要求27所述的方法,还包括:The method of claim 27, further comprising:
    在所述金属线之间的所述第二电介质层中形成孔洞或气隙。A hole or air gap is formed in the second dielectric layer between the metal lines.
  29. 根据权利要求21所述的方法,其中,The method of claim 21, wherein:
    所述一系列金属线的图案对应于相应互连线层中互连线的布局。The pattern of the series of metal lines corresponds to the layout of the interconnection lines in the corresponding interconnection line layer.
  30. 根据权利要求15所述的方法,其中,对于相邻的两对互连线层和过孔层,在形成上方的一对互连线层和过孔层的操作中,所述构图包括过刻蚀。The method according to claim 15, wherein, for two adjacent pairs of interconnection layer and via layer, in the operation of forming the upper pair of interconnection layer and via layer, the patterning includes over-etching eclipse.
  31. 根据权利要求14所述的方法,其中,减薄所述互连图案的第一部分的厚度包括:The method of claim 14, wherein the thinning of the thickness of the first portion of the interconnection pattern comprises:
    对于金属化叠层的设计布局中同一互连线上相邻的两个过孔之间的区域,不减薄所述互连图案的厚度,从而形成针对这两个过孔的共享过孔。For the area between two adjacent vias on the same interconnection line in the design layout of the metallization stack, the thickness of the interconnection pattern is not reduced, thereby forming a shared via for the two vias.
  32. 根据权利要求14至31中任一项所述的方法,其中,在所述互连图案与围绕所述互连图案的电介质层之间,不形成扩散阻挡层。The method according to any one of claims 14 to 31, wherein a diffusion barrier layer is not formed between the interconnection pattern and the dielectric layer surrounding the interconnection pattern.
  33. 根据权利要求14至31中任一项所述的方法,其中,过孔的宽度大于该过孔上的互连线的宽度。The method according to any one of claims 14 to 31, wherein the width of the via hole is greater than the width of the interconnection line on the via hole.
  34. 一种电子设备,包括如权利要求1至13中任一项所述的金属化叠层。An electronic device comprising the metallized laminate according to any one of claims 1 to 13.
  35. 根据权利要求34所述的电子设备,其中,所述电子设备包括智能电话、计算机、平板电脑、人工智能设备、可穿戴设备或移动电源。The electronic device according to claim 34, wherein the electronic device comprises a smart phone, a computer, a tablet computer, an artificial intelligence device, a wearable device, or a power bank.
PCT/CN2020/121338 2019-12-06 2020-10-16 Metalized laminate and manufacturing method therefor, and electronic device comprising metalized laminate WO2021109722A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/756,939 US20230005839A1 (en) 2019-12-06 2020-10-16 Metalized laminate and manufacturing method therefor, and electronic device comprising metalized laminate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201911254541.6 2019-12-06
CN201911254541.6A CN110993583A (en) 2019-12-06 2019-12-06 Metallized laminate, method of manufacturing the same, and electronic device including the same

Publications (1)

Publication Number Publication Date
WO2021109722A1 true WO2021109722A1 (en) 2021-06-10

Family

ID=70091726

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2020/121338 WO2021109722A1 (en) 2019-12-06 2020-10-16 Metalized laminate and manufacturing method therefor, and electronic device comprising metalized laminate

Country Status (3)

Country Link
US (1) US20230005839A1 (en)
CN (2) CN116169118A (en)
WO (1) WO2021109722A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220189853A1 (en) * 2020-12-11 2022-06-16 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device with sidewall interconnection structure and method for manufacturing the same, and electronic apparatus

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116169118A (en) * 2019-12-06 2023-05-26 中国科学院微电子研究所 Metallized laminate, method of manufacturing the same, and electronic device including the same
CN112582375B (en) * 2020-12-11 2023-11-10 中国科学院微电子研究所 Semiconductor device with sidewall interconnection structure, method of manufacturing the same, and electronic apparatus
CN112582374B (en) * 2020-12-11 2023-11-07 中国科学院微电子研究所 Semiconductor device with sidewall interconnection structure, method of manufacturing the same, and electronic apparatus

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0175604A2 (en) * 1984-08-23 1986-03-26 Fairchild Semiconductor Corporation A process for forming vias on integrated circuits
CN101425500A (en) * 2007-11-01 2009-05-06 台湾积体电路制造股份有限公司 Integrated circuit structure
US20140131883A1 (en) * 2012-11-12 2014-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and semiconductor fabricating process for the same
CN108807339A (en) * 2018-06-04 2018-11-13 上海华虹宏力半导体制造有限公司 The method and metal connection structure of air-gap are formed between metal connecting line
CN110137134A (en) * 2019-05-05 2019-08-16 中国科学院微电子研究所 Interconnection structure, circuit and the electronic equipment including the interconnection structure or circuit
CN110993583A (en) * 2019-12-06 2020-04-10 中国科学院微电子研究所 Metallized laminate, method of manufacturing the same, and electronic device including the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8316476D0 (en) * 1983-06-16 1983-07-20 Plessey Co Plc Producing layered structure
US4670091A (en) * 1984-08-23 1987-06-02 Fairchild Semiconductor Corporation Process for forming vias on integrated circuits
US5693568A (en) * 1995-12-14 1997-12-02 Advanced Micro Devices, Inc. Reverse damascene via structures
US6281585B1 (en) * 1997-06-30 2001-08-28 Philips Electronics North America Corporation Air gap dielectric in self-aligned via structures
US6433436B1 (en) * 1999-05-26 2002-08-13 International Business Machines Corporation Dual-RIE structure for via/line interconnections
US20020155693A1 (en) * 2001-04-23 2002-10-24 Chartered Semiconductor Manufacturing Ltd. Method to form self-aligned anti-via interconnects
US8575020B2 (en) * 2011-03-02 2013-11-05 Texas Instruments Incorporated Pattern-split decomposition strategy for double-patterned lithography process
CN102881638B (en) * 2012-09-17 2015-04-08 上海华力微电子有限公司 Damascene process with air gaps
US9761489B2 (en) * 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9230911B2 (en) * 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
CN103871964B (en) * 2014-03-11 2016-11-02 上海华虹宏力半导体制造有限公司 Interconnecting construction and forming method thereof
CN105679742B (en) * 2016-03-17 2019-02-15 中国科学院微电子研究所 Metallization stack and semiconductor devices and electronic equipment including it

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0175604A2 (en) * 1984-08-23 1986-03-26 Fairchild Semiconductor Corporation A process for forming vias on integrated circuits
CN101425500A (en) * 2007-11-01 2009-05-06 台湾积体电路制造股份有限公司 Integrated circuit structure
US20140131883A1 (en) * 2012-11-12 2014-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and semiconductor fabricating process for the same
CN108807339A (en) * 2018-06-04 2018-11-13 上海华虹宏力半导体制造有限公司 The method and metal connection structure of air-gap are formed between metal connecting line
CN110137134A (en) * 2019-05-05 2019-08-16 中国科学院微电子研究所 Interconnection structure, circuit and the electronic equipment including the interconnection structure or circuit
CN110993583A (en) * 2019-12-06 2020-04-10 中国科学院微电子研究所 Metallized laminate, method of manufacturing the same, and electronic device including the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220189853A1 (en) * 2020-12-11 2022-06-16 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device with sidewall interconnection structure and method for manufacturing the same, and electronic apparatus
US11961787B2 (en) * 2020-12-11 2024-04-16 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device with sidewall interconnection structure and method for manufacturing the same, and electronic apparatus

Also Published As

Publication number Publication date
CN116169118A (en) 2023-05-26
CN110993583A (en) 2020-04-10
US20230005839A1 (en) 2023-01-05

Similar Documents

Publication Publication Date Title
WO2021109795A1 (en) Metalized lamination layer and manufacturing method therefor, and electronic device comprising metalized lamination layer
WO2021109722A1 (en) Metalized laminate and manufacturing method therefor, and electronic device comprising metalized laminate
US9911692B2 (en) Conductive structures, systems and devices including conductive structures and related methods
JP2019102797A (en) Trench contact structures for advanced integrated circuit structure fabrication
TW201907486A (en) Integrated circuit device and method of manufacturing the same
US11961787B2 (en) Semiconductor device with sidewall interconnection structure and method for manufacturing the same, and electronic apparatus
CN112582375B (en) Semiconductor device with sidewall interconnection structure, method of manufacturing the same, and electronic apparatus
CN112582377B (en) Semiconductor device with sidewall interconnection structure, method of manufacturing the same, and electronic apparatus
US20220310510A1 (en) Interconnection structure and method of manufacturing the same, and electronic device including the interconnection structure
CN112582374B (en) Semiconductor device with sidewall interconnection structure, method of manufacturing the same, and electronic apparatus
CN112992857B (en) Semiconductor device with heat dissipation pipeline in side wall interconnection structure, manufacturing method thereof and electronic equipment
CN106610562B (en) Mask layout and method for forming semiconductor structure
US11626329B2 (en) Metal connections and routing for advanced 3D layout designs
TW202349645A (en) 3d stacked semiconductor chip architecture and manucturing method thereof
TW202324553A (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20896573

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20896573

Country of ref document: EP

Kind code of ref document: A1