WO2021030833A1 - Commande basée sur un modèle de non-uniformité de plaquette - Google Patents

Commande basée sur un modèle de non-uniformité de plaquette Download PDF

Info

Publication number
WO2021030833A1
WO2021030833A1 PCT/US2020/070384 US2020070384W WO2021030833A1 WO 2021030833 A1 WO2021030833 A1 WO 2021030833A1 US 2020070384 W US2020070384 W US 2020070384W WO 2021030833 A1 WO2021030833 A1 WO 2021030833A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
processing parameters
metrology data
machine learning
learning model
Prior art date
Application number
PCT/US2020/070384
Other languages
English (en)
Inventor
Tomer Drori
Alex MESSENGER
William Dean THOMPSON
Yassine Kabouzi
Jiangtao Hu
Shay YOGEV
Barak BRINGOLTZ
Oded Cohen
Tal Elhanan
Yongha KIM
Eitan ROTHSTEIN
Noam Tal
Original Assignee
Lam Research Corporation
Nova Measuring Instruments Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation, Nova Measuring Instruments Ltd filed Critical Lam Research Corporation
Publication of WO2021030833A1 publication Critical patent/WO2021030833A1/fr

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Definitions

  • an electronic device fabrication system including: an electronic device fabrication process chamber including a pedestal having a plurality of independently controllable temperature control zones arranged in proximity to a location of a substrate during processing, wherein the substrate includes electronic devices or partially fabricated electronic devices; one or more processors; and program instructions for executing on the one or more processors, the program instructions including instructions to: receive metrology data collected from the substrate prior to processing in the process chamber, determine processing parameters for a fabrication operation by analyzing the metrology data with a machine learning model, and apply the processing parameters to the electronic device fabrication process chamber to thereby process the substrate using the processing parameters during the fabrication operation.
  • the processing parameters include a time duration of the fabrication operation.
  • the processing parameters include a height of an edge ring arranged around the pedestal. In some embodiments, the processing parameters include a temperature distribution over the plurality of temperature control zones of the pedestal. [0005] In some embodiments, the instructions to determine processing parameters include instructions that allow an azimuthal variation of the temperature distribution over the plurality of temperature control zones. In some embodiments, the instructions to determine the processing parameters include instructions to: provide to the machine learning model (i) the metrology data and (ii) a target feature value for features of the substrate after the substrate is processed in the process chamber, and execute the machine learning model to produce the processing parameters. In some embodiments, the target feature value is a spectra of optical signals collected from the substrate after the substrate is processed during the fabrication operation.
  • the electronic device fabrication process chamber further includes a controller configured to cause the electronic device fabrication process chamber to perform the fabrication operation with the processing parameters applied.
  • the controller includes the one or more processors.
  • the metrology data is optical metrology data. [0006] In some embodiments, the optical metrology data is collected by a method selected from the group consisting of: scatterometry, ellipsometry, and reflectometry. In some embodiments, the metrology data includes optical signals. In some embodiments, the metrology data does not include geometric feature values characterizing the substrate. In some embodiments, the metrology data is a spectra of optical signals obtained by interaction with the substrate at a plurality of points on the substrate.
  • the metrology data is indicative of a feature of the substrate.
  • the feature is a critical dimension, a feature depth, a feature height, or a feature pitch.
  • the substrate, after the fabrication operation includes features that are more uniform than features of the substrate before the fabrication operation.
  • the machine learning model is a model of a type selected from the group consisting of: random forest, deep random forest, neural network, recurrent neural network, convolutional neural network, restricted Boltzmann machine, recurrent tensor network, and gradient boot tree.
  • the fabrication operation is an etch operation.
  • the program instructions to determine processing parameters further include program instructions to: compare the processing parameters against processing parameter limits of the electronic device process chamber, and adjust the processing parameters to be within the processing limits of the electronic device process chamber.
  • the machine learning model was trained using a training set having data for a plurality of training substrates, the data for each training substrate including: optical metrology data for a plurality of locations on the training substrate before a training fabrication operation, processing parameters for the training fabrication operation, and post-processed substrate feature information determined after the training fabrication operation.
  • a method of processing a substrate including: receiving metrology data for a substrate prior to a fabrication operation to be performed on the substrate in a process chamber, the process chamber including a pedestal having a plurality of independently controllable temperature control zones arranged in proximity to a location of the substrate during processing, wherein the substrate includes electronic devices or partially fabricated electronic devices; providing the metrology data to a machine learning model; determining, by the machine learning model, processing parameters for the fabrication operation to be performed on the substrate; and performing the fabrication operation on the substrate using the processing parameters.
  • the processing parameters include a time duration of the fabrication operation.
  • the processing parameters include a height of an edge ring arranged around the pedestal.
  • the processing parameters include a temperature distribution over the plurality of temperature control zones of the pedestal. [0009] In some embodiments, determining the processing parameters include specifying an azimuthal variation of the temperature distribution over the plurality of temperature control zones. In some embodiments, determining the processing parameters includes: providing to the machine learning model (i) the metrology data and (ii) a target feature value for features of the substrate after the substrate is processed in the process chamber, and executing the machine learning model to produce the temperature distribution over the plurality of temperature control zones of the pedestal. In some embodiments, the target feature value is a spectra of optical signals collected from the substrate after the substrate is processed during the fabrication operation.
  • the electronic device fabrication process chamber further includes a controller configured to cause the electronic device fabrication process chamber to perform the fabrication operation with the processing parameters applied.
  • the metrology data is optical metrology data.
  • the optical metrology data is collected by a method selected from the group consisting of: scatterometry, ellipsometry, and reflectometry.
  • the metrology data includes optical signals.
  • the metrology data does not include geometric feature values characterizing the substrate.
  • the metrology data is a spectra of optical signals obtained by interaction with the substrate at a plurality of points on the substrate.
  • the metrology data is indicative of a feature of the substrate.
  • the feature is a critical dimension, a feature depth, a feature height, or a feature pitch.
  • the substrate, after the fabrication operation includes features that are more uniform than features of the substrate before the fabrication operation.
  • the machine learning model is a model of a type selected from the group consisting of: random forest, deep random forest, neural network, recurrent neural network, convolutional neural network, restricted Boltzmann machine, recurrent tensor network, and gradient boot tree.
  • the fabrication operation is an etch operation.
  • a computer program product for processing a substrate including a non-transitory computer readable medium on which is provided computer executable instructions for: receiving metrology data for a substrate prior to a fabrication operation to be performed on the substrate in a process chamber, the process chamber including a pedestal having a plurality of independently controllable temperature control zones arranged in proximity to a location of the substrate, wherein the substrate includes electronic devices or partially fabricated electronic devices; providing the metrology data to a machine learning model; and determining, by the machine learning model, processing parameters for the fabrication operation to be performed on the substrate, wherein the processing parameters include a temperature distribution over the plurality of temperature control zones of the pedestal in the process chamber.
  • the computer executable instructions further include causing the fabrication operation to be performed on the substrate using the processing parameters.
  • determining the processing parameters include specifying an azimuthal variation of the temperature distribution over the plurality of temperature control zones.
  • the computer executable instructions for determining the processing parameters includes: providing to the machine learning model (i) the metrology data and (ii) a target feature value for features of the substrate after the substrate is processed in the process chamber, and executing the machine learning model to produce the temperature distribution over the plurality of temperature control zones of the pedestal.
  • the target feature value is a spectra of optical signals collected from the substrate after the substrate is processed during the fabrication operation.
  • the processing parameters additionally include one or more of a time duration of the fabrication operation and a height of an edge ring arranged around the pedestal.
  • the electronic device fabrication process chamber further includes a controller configured to cause the electronic device fabrication process chamber to perform the fabrication operation with the processing parameters applied.
  • the metrology data is optical metrology data.
  • the optical metrology data is collected by a method selected from the group consisting of: scatterometry, ellipsometry, and reflectometry.
  • the metrology data includes optical signals.
  • the metrology data does not include geometric feature values characterizing the substrate.
  • the metrology data is a spectra of optical signals obtained by interaction with the substrate at a plurality of points on the substrate. In some embodiments, the metrology data is indicative of a feature of the substrate. In some embodiments, the feature is a critical dimension, a feature depth, a feature height, or a feature pitch. In some embodiments, the substrate, after the fabrication operation, includes features that are more uniform than features of the substrate before the fabrication operation. [0015] In some embodiments, the machine learning model is a model of a type selected from the group consisting of: random forest, deep random forest, neural network, recurrent neural network, convolutional neural network, restricted Boltzmann machine, recurrent tensor network, and gradient boot tree.
  • the fabrication operation is an etch operation.
  • the computer executable instructions further include training the machine learning model using a training set having data for a plurality of training substrates, the data for each training substrate including: optical metrology data for a plurality of locations on the training substrate before a training fabrication operation, processing parameters for the training fabrication operation, and post-processed substrate feature information determined after the training fabrication operation.
  • a computer program product for processing a substrate including a non-transitory computer readable medium on which is provided computer executable instructions for: receiving metrology data for a substrate prior to a fabrication operation to be performed on the substrate in a process chamber, receiving process information for the fabrication operation, wherein the process information includes a target feature value for features of the substrate after the substrate is processed in the process chamber; providing the metrology data and the process information to a machine learning model; and determining, by the machine learning model, predicted feature values of the substrate after the fabrication operation.
  • Figure 1 presents a flow diagram of an automated non-uniformity corrective process for one example embodiment.
  • Figures 2A-C present block diagrams of subsystems and information that may be used to implement certain automated non-uniformity corrective processes.
  • Figure 3 presents an illustration of a wafer support assembly that may provide a selective temperature distribution over multiple elements on the assembly. In some cases, the elements allow temperature control over many locations of a substrate, thereby providing both radial and azimuthal control.
  • Figures 4A-C present illustrations of various temperature distributions that allow radial and/or azimuthal control of processing parameters.
  • Figure 5 presents various example substrates that may be used to train a machine learning model.
  • Figures 6 presents a schematic illustration of machine learning models configured to provide process parameters settings for processing substrates having been characterized prior to processing by optical metrology.
  • Figures 7 presents a schematic illustration of machine learning models that may be employed to receive as input metrology data on pre-process substrates and output process parameters to be used when processing the substrates.
  • Figures 8 and 9 are schematic diagrams of examples of process chambers for performing methods in accordance with disclosed embodiments.
  • semiconductor wafer semiconductor wafer
  • wafer semiconductor wafer
  • substrate substrate
  • wafer substrate semiconductor wafer
  • partially fabricated integrated circuit can refer to a semiconductor wafer during any of many stages of integrated circuit fabrication thereon.
  • a wafer or substrate used in the semiconductor device industry typically has a diameter of 200 mm, or 300 mm, or 450 mm. This detailed description assumes the embodiments are implemented on a wafer. However, the disclosure is not so limited.
  • the work piece may be of various shapes, sizes, and materials.
  • a “semiconductor device fabrication operation” or “fabrication operation,” as used herein, is an operation performed during fabrication of semiconductor devices.
  • the overall fabrication process includes multiple semiconductor device fabrication operations, each performed in its own semiconductor fabrication tool such as a plasma reactor, an electroplating cell, a chemical mechanical planarization tool, a wet etch tool, and the like.
  • etch processes categories of semiconductor device fabrication operations include subtractive processes, such as etch processes and planarization processes, and material additive processes, such as deposition processes (e.g., physical vapor deposition, chemical vapor deposition, atomic layer deposition, electrochemical deposition, electroless deposition).
  • deposition processes e.g., physical vapor deposition, chemical vapor deposition, atomic layer deposition, electrochemical deposition, electroless deposition.
  • a substrate etch process includes processes that etch a mask layer or, more generally, processes that etch any layer of material previously deposited on and/or otherwise residing on a substrate surface. Such etch process may etch a stack of layers in the substrate.
  • Manufacturing equipment or “fabrication tool” refers to equipment in which a manufacturing process takes place that may produce defects in a semiconductor substrate or other workpiece.
  • Manufacturing equipment often has a processing chamber in which the workpiece resides during processing.
  • manufacturing equipment perform one or more semiconductor device fabrication operations.
  • Examples of manufacturing equipment for semiconductor device fabrication include additive process reactors such as electroplating cells, physical vapor deposition reactors, chemical vapor deposition reactors, and atomic layer deposition reactors, and subtractive process reactors such as dry etch reactors (e.g., chemical and/or physical etch reactors), wet etch reactors, and ashers.
  • a process reactor or other manufacturing equipment includes a tool for holding a substrate during processing.
  • a “metrology data” as used herein refers to data produced, at least in part, by measuring features of a processed or partially processed substrate. The measurement may be made before or after performing the semiconductor device fabrication operation in a process chamber. In certain embodiments, metrology data is produced by a metrology system performing optical metrology (e.g., scatterometry, ellipsometry, and/or reflectometry) on an etched substrate.
  • optical metrology e.g., scatterometry, ellipsometry, and/or reflectometry
  • the metrology data is produced by performing reflectometry, dome scatterometry, angle-resolved scatterometry, and/or ellipsometry on a processed or partially processed substrate.
  • Examples of types of optical metrology signals include values of optical intensity for light that has interacted with a substrate surface. Such light may be reflected (e.g., as by specular reflection), scattered, diffracted, refracted, etc. by the substrate surface. The optical intensity values may be provided as a function of location with respect to the substrate and/or incident light, light wavelength (e.g., for spectral data), light polarization state, and the like.
  • Optical metrology signals contain information about substrate feature composition and/or geometry.
  • a metrology system does not employ computational techniques that produce compositional and/or geometric information about the substrate features. Rather, such embodiments use the optical signals directly. For example, some such embodiments feed the optical signals directly to a machine learning model that analyzes the signals to determine processing parameters for a subsequent fabrication operation.
  • the metrology data includes “metadata” pertaining to a metrology system or conditions used in obtaining the metrology data. Metadata may be viewed as a set of labels that describe and/or characterizes the data.
  • a non-exclusive list of metadata attributes includes: Process Tools design and operation information such as preprocessing platform or tool design information, process recipe information, etc. Image capture details such as contrast, magnification, blur, noise, brightness, etc.
  • Machine learning model – A machine learning model is a trained computational model that, in some embodiments herein, receives as inputs optical metrology data reflective of feature characteristics, particularly feature geometries, etc. on a substrate prior to processing in a device fabrication tool that is to be controlled using information computed by the machine learning model. Examples of types of machine learning models include random forests models, including deep random forests, neural networks, including recurrent neural networks and convolutional neural networks, restricted Boltzmann machines, recurrent tensor networks, and gradient boosted trees.
  • Machine learning models are trained using a training set that reflects a range of conditions for which the model should be able to accurately predict appropriate settings for a device fabrication tool.
  • a machine learning model is trained using (i) raw optical metrology signals from features of a substrate that is to be processed using a particular device fabrication tool, (ii) one or more processing parameter for processing the substrate in the device fabrication tool, and (iii) characteristics of the features after the substrate has been processed in the device fabrication tool using the one or more processing parameters.
  • some machine learning models are characterized as “deep learning” models. Unless otherwise specified, any reference to “machine learning” herein includes deep learning embodiments.
  • a deep learning model may be implemented in various forms such as by a neural network (e.g., a convolutional neural network), etc.
  • a neural network e.g., a convolutional neural network
  • it includes multiple layers.
  • Each such layer includes multiple processing nodes, and the layers process in sequence, with nodes of layers closer to the model input layer processing before nodes of layers closer to the model output.
  • one layers feeds to the next, etc.
  • the output layer may include one or more nodes that represent a temperature on pedestal that is predicted to achieve a target feature value.
  • a deep learning model is a model that takes data with very little preprocessing and outputs a temperature distribution on a pedestal, a chuck, or other wafer holding tool.
  • a deep learning model has significant depth and can classify a large or heterogeneous array of defects.
  • the model has more than two (or more than three or more than four or more than five) layers of processing nodes that receive values from preceding layers (or as direct inputs) and that output values to succeeding layers (or the final output).
  • Interior nodes are often “hidden” in the sense that their input and output values are not visible outside the model.
  • the operation of the hidden nodes is not monitored or recorded during operation.
  • the nodes and connections of a deep learning model can be trained and retrained without redesigning their number, arrangement, interface with inputs, etc.
  • wafer processing operations apply with uniform effect consistently across the entire surface of every wafer that is processed, such uniformity, of course, is not a reality.
  • Upstream variation resulting in incoming variation is a major contributor for non- uniformity and yield loss across the wafer and between wafer runs.
  • non-uniformities may be anticipated to result from subsequent (downstream) processing operations.
  • Another method to reduce the variation noted above is utilizing incoming wafer information obtained via optical metrology and a feed forward (FF) model to directly predict a processing parameter behavior and provide a recommendation per wafer.
  • FF feed forward
  • Such model employs optical metrology signals from pre-processed substrates as inputs.
  • a model will recommend processing parameters for a particular wafer to then be applied by the process chamber to reduce non-uniformity such as WiWNU.
  • FIG. 1 is a process flow diagram 101 showing relevant operations of methods of using optical metrology of an incoming wafer to process the wafer.
  • a substrate Prior to a fabrication operation, a substrate may be provided in a process chamber. While the description below refers chiefly to semiconductor substrates, the methods may also be applied to layers on other types of substrates including glass substrates on which devices are fabricated.
  • operation 100 the wafer is processed by a prior operation.
  • Upstream processing may include lithography, an additive process such as a deposition process and/or a subtractive process such an etch or polishing process. In general, upstream processing may include any sort of processing that would create or modify features on the substrate.
  • metrology data is collected from the wafer.
  • optical metrology data is collected.
  • Optical metrology data may be collected by a metrology tool that wafers are automatically provided to, which will collect data before passing the wafers to a next tool.
  • the optical metrology data may be collected by one or more of a variety of techniques such as those mentioned above, e.g., reflectometry, dome scatterometry, angle-resolved scatterometry, and/or ellipsometry.
  • the metrology data may include unprocessed or only minimally processed optical metrology signals such as optical intensity values provided as a function of location with respect to the substrate and/or incident light, light wavelength (e.g., for spectral data), light polarization state, and the like.
  • the data which is reflected, scattered, diffracted, etc. off the wafer surface, intrinsically contains information about features on the wafer surface.
  • processing parameters are determined from the metrology data.
  • the metrology data is provided to a machine learning model, which analyzes the unprocessed metrology data and outputs processing parameters for a subsequent fabrication operation to be performed on the wafer.
  • the metrology data provided to the machine learning model is not processed to determine geometric features or layer composition prior to providing the data to the machine learning model. Rather, the machine learning model receives as an input the optical signals obtained directly by a metrology tool. In various embodiments, the machine learning model outputs one or more wafer processing parameters, notably a temperature distribution on wafer pedestal or chuck surface for the subsequent fabrication operation. [0043] The machine learning model is trained to analyze metrology data of a wafer to determine processing parameters for that wafer. As will be discussed further below, the machine learning model is first trained using a training set comprising metrology data, process parameters, and post-processed features for a number of wafers to determine how processing parameters may affect a wafer having some metrology data.
  • the machine learning model learns to directly analyze the raw signal from the metrology tool. In some embodiments, the machine learning model will only consider certain processing parameters, i.e., a subset of all process parameters, and will output changes to less than all processing parameters.
  • the processing parameters are provided or applied to a process chamber that will perform a fabrication operation on the partially processed wafer.
  • the partially processed wafer is processed in the process chamber according to the processing parameters.
  • the fabrication operation may be any of the types discussed elsewhere herein, such as an etch process, a deposition process, or a patterning process.
  • the processing parameters are temperature values (or thermal flux values) for various locations on a wafer or for various heating (or cooling) elements on a pedestal or chuck that holds the wafer during processing.
  • the adjustable process parameters (as determined by the machine learning model) are determined for each wafer before it is input into the process chamber. In some cases, the process parameters other than those adjusted based on a determination by the machine learning model remain the same for each wafer. [0046] Finally, in operation 114, the wafer may optionally be analyzed again to collect more metrology data. This may be useful if the machine learning model is to receive on-going training.
  • the metrology data collected after the fabrication operation may be used to determine a degree of non-uniformity such as WiWNU or other characteristic to be improved by using the machine learning model to determine process parameters. This may allow the machine learning model to learn how effective its processing parameters were in achieving the desired target feature value, providing feedback to the machine learning model.
  • an optical sensor such as a reflectometer, may be used to collect metrology data.
  • the wafer is not analyzed after the fabrication operation to determine target feature values, and may instead be directly processed by another fabrication tool.
  • Figure 2A is a diagram showing relevant components or subsystems of an electronic device fabrication processing segment that uses optical metrology to capture information about features of a partially processed wafer.
  • Upstream processing module(s) 200 represents one or more pieces of manufacturing equipment that produce the features of the partially processed wafer. Module(s) 200 may be any one or combination of manufacturing equipment such etch, deposition, lithography, ashing, polishing, etc. equipment.
  • the result of the upstream processing by the module(s) 200 is a pre-process wafer 202, which has been partially processed. Pre-process wafer 202 may have a variety of features which are characterized at various locations on the wafer by optical metrology.
  • Pre-process wafer 202 also has an initial feature non-uniformity (e.g., variations in an average feature CD from die to another), which may be determined by analyzing metrology data collected from various locations on the wafer.
  • Integrated metrology tool 204 is used to analyze incoming wafers. Integrated metrology tool 204 may analyze pre-process wafer 202 using one or more suitable optical metrology methods to collect data about features of the wafer.
  • An advantage of optical metrology is that data may be collected relatively quickly, allowing a large number of wafers to be analyzed without a significant decrease of throughput for the fabrication process, as compared to other forms of metrology, such as those that employ incident x-ray radiation or electrons.
  • Metrology data collected by the integrated metrology tool 204 may comprise various signals received from the wafer.
  • integrated metrology tool 204 is an integrated metrology tool, in that it is physically integrated with manufacturing equipment such as one or more upstream modules or the process chamber 210.
  • An integrated metrology tool may directly collect optical data from incoming wafers, and pass wafers to the process chamber and also pass the optical data to a model.
  • the data collected by the integrated metrology tool 204 is provided to a machine learning model 206.
  • the machine learning model is a trained algorithm that takes the metrology data, a target feature value, such as a desired feature dimension (e.g., CD, pitch, and/or depth), and outputs one or more processing parameters 208.
  • the machine learning model outputs a single value for the fabrication operation, such as a time duration, or outputs a plurality of values, such as a plurality of temperature values. In some embodiments the machine learning model outputs values for multiple processing parameters.
  • Figure 2B provides detail on how, in some implementations, a machine learning model 206 operates to provide a temperature distribution.
  • an integrated metrology tool 204 collects optical signals at a plurality of sites 203, such as site 203a and site 203b on pre-processed wafer 202. The data obtained from sites 203a and 203b is indicative of features at that respective site, but typically not at other sites.
  • a machine learning model 206 takes as input the optical metrology signals collected from a given wafer site (e.g., wafer site 203a or 203b), as well as a target feature value 205 (e.g., a feature critical dimension, depth, pitch, etc. or some indicator of such value, e.g., a spectra or other optical signals) for the site.
  • a given wafer site e.g., wafer site 203a or 203b
  • a target feature value 205 e.g., a feature critical dimension, depth, pitch, etc. or some indicator of such value, e.g., a spectra or other optical signals
  • the machine learning model uses these inputs to output a process parameter (e.g., a pedestal temperature) for the site.
  • a process parameter e.g., a pedestal temperature
  • the machine learning model receives optical metrology signals and a target feature value for each of multiple sites on the wafer (e.g., not just sites 203a and 203b) and outputs appropriate temperature values for each of the sites.
  • the model is trained to provide a temperature value that will produce (during the subsequent operation) features having the target feature values at the site.
  • the temperature value selected for a given site will vary depending on the optical signals obtained from the preprocessed wafer at that site.
  • Target feature value 205 may be viewed as a goal property of the wafer after processing (e.g., in process chamber 210). By setting the target feature value 205 to the same value (e.g., critical dimension equals 7 nm) at each site on the wafer, the resulting process parameters for the various wafer sites may cause wafer feature uniformity to improve across the wafer, after processing with process chamber 210.
  • the target feature value input to a machine learning model may take many forms. As indicated, it may be a value of a geometric parameter such as a feature’s, or a group of features’, critical dimension, depth, aspect ratio, pitch, shape, angle, etc.
  • the target feature value may be a group of parameters that collectively characterize a site’s feature regularity, shape, orientation, etc.
  • the target feature value may be a complex characteristic of a site’s features such as sidewall shape, including a degree of bowing, faceting, undercutting, roughness, and the like.
  • the target feature value 205 is one or more optical signals characterizing features of the wafer after processing.
  • a target optical signal is specified in terms of optical signal intensity as a function of wavelength (e.g., a spectrum), position with respect to the wafer or a feature of the metrology tool, polarization, etc.
  • the target optical signal is typically specified with respect to a particular type of optical metrology or a particular metrology tool (e.g., a particular reflectometer or ellipsometer provided by a metrology vendor).
  • a target optical signal uses the same or similar information as provided in the optical signals collected from sites 203a and 203b before processing.
  • the target feature value (specified in terms of geometry, optical signal, or otherwise) is the same for each wafer site that is analyzed by the machine learning model 206.
  • the system is implemented to purposefully introduce non-uniformity across the wafer surface and/or from wafer to wafer. To accomplish this, different target value inputs are provided for different sites and/or for different wafers.
  • the machine learning model 206 processes the target feature value and the optical metrology signals collected from wafer 202 to output a temperature distribution 208, which may be comprised of a plurality of temperature values, one for each site on the wafer. As indicated, these temperature values can be set by controlling the temperature or thermal flux at each of multiple sites on a pedestal other substrate support tool in process chamber 210.
  • site temperature 208a and site temperature 208b correspond with sites 203a and 203b on the pre-process wafer 202.
  • each site temperature is typically determined based on the corresponding site’s pre-processing optical metrology signals and the target feature value 205. This may allow the machine learning model 206 to run in parallel, processing each site’s optical signals and the target feature value to determine a site-specific temperature.
  • the temperature distribution 208 may comprise a site temperature for each wafer site.
  • Figure 2C demonstrates a complete temperature distribution for a wafer.
  • the system includes a process chamber 210.
  • the process chamber 210 performs a fabrication operation on the pre-processed wafer 202 using the processing parameters 208.
  • the only processing parameter that changes between fabrication operations on wafers is the temperature distribution.
  • other processing parameters discussed herein may be adjusted between fabrication operations.
  • the process chamber 210 produces a post process wafer 212 having features resulting from the processing parameter 208.
  • the post-process wafer 212 has a final non- uniformity, which may be reduced in comparison to that of the pre-processed wafer 202 or of a similar wafer processed without using the embodiments discussed herein.
  • the uniformity improvement may be due to setting the same target input value for each site (or a collection of sites) on the wafer or the devices supporting the wafer. If the machine learning model accurately captures the relationship between pre- and post-processing feature values and a processing parameter, such as a temperature value, the processed wafer should have approximately the target feature value at each site, for example meeting some threshold. In some implementations, the variability of target feature values across all sites may also be reduced.
  • the post-process wafer 212 is analyzed by a metrology tool (optionally the same metrology tool used to analyze the pre-processed wafer) to verify if the target feature value has been reached and provide additional data to improve the machine learning model.
  • Processing parameters control the operation of manufacturing equipment such as an etcher. Processing parameters may be determined or set by various operations, such as by using machine learning models as described herein. In some cases, some of the processing parameters for a process reactor are determined by a machine learning model but other process parameters are determined by other means. For example, in some embodiments, the machine learning model outputs a temperature distribution (or typically individual temperature values for various sites of a pedestal) to be used as a processing parameter.
  • a temperature distribution or typically individual temperature values for various sites of a pedestal
  • Additional processing parameters may include a time duration of a process operation, height of an edge ring surrounding the substrate, pressure of the process chamber, flow rate of process gas, composition of process gas, plasma characteristics including, optionally, power density, frequency, bias on a pedestal, duty cycle, RF power, etc.
  • the processing parameters include location specific parameters: showerhead gas flow, plasma distribution, and temperature distribution. Each of these may vary radially and, in some cases, azimuthally.
  • U.S. Pat. Pub. No.2017/0133202 (Berry et. al.) is hereby incorporated for the purpose of ways to control a plasma distribution radially and azimuthally for etch and deposition processes.
  • a machine learning model outputs a temperature distribution as a potential processing parameter.
  • Figure 3 is an illustration of a wafer support assembly that may be used to process a wafer using a temperature distribution having radial and azimuthal components.
  • the wafer support assembly 302 including a plurality of independently controllable temperature control zones 304 arranged in proximity to device die locations (or wafer sites 203) above the temperature control zones 304 on the wafer (not shown).
  • the temperature control zones 304 are assembled in a thermal plate 306, and are each independently controlled by a controller unit 308.
  • Figure 4 illustrates multiple temperature distributions, implemented via temperature control zones 304 that may be used as a processing parameter for a fabrication operation.
  • each temperature control zone 304 By tuning the power of each temperature control zone 304 under control of the controller unit 308, the temperature profile during processing can be shaped both radially and azimuthally.
  • the temperature control zones 304 can be arranged in a defined pattern, such as, a rectangular grid, a hexagonal grid, or other suitable pattern for generating a temperature profile as desired.
  • Each temperature control zone 304 of the thermal plate 306 can be of a similar size (e.g. ⁇ 0.10%) to a single device die on the wafer.
  • Figures 4A-4C present possible patterns of temperature control zones. In Figure 4A, an elliptic portion 405 of the temperature control zones’ values are decreased, compared to the rest of the temperature control zones.
  • Figure 4A displays an azimuthal variation of temperature, as temperature control zones within elliptic portion 405 have decreased temperature values, while temperature control zones near edge 406 have elevated temperature values.
  • process parameters may be adjusted radially, but not azimuthally, adjusting the parameters from the center towards the edge.
  • the temperature control zones presented here may, in addition to radial control, provide azimuthal control.
  • Figure 4B presents typical radial temperature value control, where temperature control zone values near the center are elevated compared to the edge.
  • Figure 4C displays a temperature distribution having radial and azimuthal variation.
  • the center 407 of the control zones has elevated temperature values, which decreases radially from the center 407 to an edge 409.
  • the temperature values increase for an azimuthal portion 408 that is about 30 degrees.
  • the temperature values form a cross shape with zones of alternating increased and decreased temperature values, and transitions between each zone. This temperature distribution may be determined by a machine learning model using optical signals collected at a plurality of sites and a target feature value.
  • the controller unit 308 includes a plurality of switches (not shown) used to selectively address power supply and power return lines connected to each temperature control zone 304.
  • the power supply and power return lines are arranged such that each power supply line is connected to a different group of temperature control zones 304 and each power return line is connected to a different group of temperature control zones 304 with each temperature control zone 304 being in one of the groups connected to a particular power supply line and one of the groups connected to a particular power return line.
  • No two temperature control zones 304 are connected to the same pair of power supply and power return lines.
  • a respective temperature control zone 304 can be activated by directing electrical current through a pair of power supply and power return lines to which the temperature control zone 304 is connected.
  • Each temperature control zone 304 may include one or a plurality of temperature control elements 322.
  • the power supplied to the temperature control elements 322 of each temperature control zone 304 can be smaller than 20 W, for example, or more preferably within a range of 5 to 10 W.
  • the temperature control elements 322 can be Peltier devices and/ or resistive heaters such as polyimide heaters, silicone rubber heaters, mica heaters, metal heaters (e. g. W, Ni/ Cr alloy, Mo or Ta), ceramic heaters (e. g. WC), semiconductor heaters, carbon heaters, or any other suitable type of heating or cooling element as desired.
  • the temperature control elements 322 can be implemented in various designs or configurations, such as being screen printed, wire wound, etched foil heaters, or any other suitable design as desired.
  • the thickness of the temperature control elements 322 may range from 2 micrometers to l millimeter, and preferably within a range of 5-80 micrometers.
  • the total area of the temperature control zones 304 can be up to 90% of the area of the upper surface of the wafer support assembly 302, e.g. 50-90% of the area.
  • the power supply lines or the power return lines can be arranged in gaps ranging from 1 to 10 mm between the temperature control zones 304, or in separate planes separated from the temperature control zones plane by electrically insulating layers (not shown).
  • the power supply lines and the power return lines can be made as wide as the space allows, for carrying large current and reducing Joule heating.
  • the power lines can be in the same plane as the temperature control zones 304, or can be on a plane different from the temperature control zones 304.
  • the materials of the power supply and power return lines may be the same as or different from the materials of the temperature control elements 322.
  • the materials of the power supply and power return lines are materials with low resistivity, such as Cu, Al, W, Inconel TM or Mo.
  • the wafer support assembly 302 is operable to control the wafer temperature and consequently an etch or deposition process at each device die location (or wafer site 203) to maximize the yield of devices from the wafer.
  • the plasma etching system 300 preferably has at least 9 temperature control zones 304.
  • a machine learning model may be trained to receive metrology data as inputs, and output an edge ring height as a potential processing parameter.
  • An edge ring may be annularly-shaped and moveable (e.g., moveable upward and downward in a vertical direction) relative to a substrate.
  • an edge ring is removable using, for example, a robot via an airlock, while a processing chamber is under vacuum.
  • an edge ring may be integrated with wafer support assembly 302.
  • a substrate may be processed based on a plurality of temperature control values and a height of an edge ring.
  • a machine learning model may provide output processing parameters for temperature values and for a height of the edge ring.
  • Processed substrates may have a variety of geometric characteristics and optical properties that may be used as inputs to a machine learning model and which allow the model to determine pedestal temperature values for various sites.
  • Geometric features of a substrate may be simple or complex such as those discussed above (e.g.,critical dimension (CD), depth, pitch, etc.). Geometric features are important, as different vias within a single die may have different depths, which can result in a defective die.
  • Non-uniform processing upstream can be exasperated by downstream processing, as non-uniformity may be compounded by subsequent operations. Therefore, methods and systems of this disclosure that improve uniformity introduced by upstream processing can have considerable value.
  • Geometric characteristics are typically determined by signals collected from the substrate when analyzed with various metrology tools.
  • Optical metrology tools may collect optical signal data for a substrate before or after a fabrication operation. Some metrology tools may process the optical signal data to determine geometric characteristics, such as CD or depth. However, determined geometric characteristics may approximate features or otherwise simplify the optical signals, potentially losing important details. Training a machine learning model based on the raw, optical signal allows the machine learning model to find insights into processing parameters that may otherwise be lost when turning the optical signals into geometric characteristics.
  • Substrates processed according to embodiments described herein may have reduced WiWNU as well as reduced W2W variation, compared to substrates processed without using a machine learning model.
  • some processing parameters may improve W2W uniformity, such as a time duration of a fabrication operation.
  • Other processing parameters such as showerhead gas flow, plasma distribution, edge ring height, or temperature distribution, may improve within-wafer uniformity and W2W uniformity.
  • Machine Learning Model [0074] As noted above, a machine learning model may be used to provide one or more processing parameters, such as a temperature distribution, that can be applied for a subsequent fabrication operation on a substrate. In some embodiments, a machine learning model is trained using a set of training substrates. Each training substrate provides data for the training set.
  • That data may include optical signals, such as a spectra, collected from the substrate before a training fabrication operation, the spatial location (or site) of each optical signal, a target feature value for each spatial location, a processing parameter (e.g. temperature distribution) for the training fabrication operation, and geometric features (e.g., CD values) or optical signals collected from the substrate after the training fabrication operation. Additional information, such as other processing parameters, may also be included in the data associated with each training substrate. [0075] Specific data is adjusted between training substrates to provide a diverse data set for the machine learning model to train on. For example, a temperature distribution may be changed between otherwise similar training substrates. A machine learning model may then be able to determine the effect of temperature as a processing parameter on a site of the substrate providing a specific optical signal.
  • a training set has at least three components: pre-processed substrate feature information, post-processed substrate feature information, and processing parameters for a process of interest that coverts the pre-processed substrate to the post-process substrate.
  • the pre-processed substrate feature information is optical metrology data taken from a substrate or, particularly, a position on a substrate prior to its being processed in the process of interest.
  • the processing parameters for the process of interest are temperature settings on a pedestal having multiple discrete temperature or heating elements distributed over the face of the pedestal.
  • the post-processed substrate feature information may be, for example, a geometric feature of the processed substrate such as a critical dimension, etch depth, pitch, etc.
  • the post-processed substrate feature information is an optical signal taken from the post- processed substrate.
  • the optical signal contains information about the features on the post-processed substrate.
  • any given member of the training set is provided for a specific location on a substrate.
  • optical metrology data or other pre-processed substrate feature information may be taken at a particular location such as a region within a first die on the substrate.
  • the post-processed feature information is taken from the same location.
  • the processing parameter is provided at the particular location where the pre- and post-processing feature information is taken, in this case the particular location on the first die of the substrate.
  • the training set members each contain three pieces of information for particular locations on the substrate.
  • training set members are provided for multiple regions of the substrate.
  • the different training set substrates and members may have different process parameters and/or different pre-processing feature information.
  • Figure 5 provides examples of three different training substrates that provide varying temperature distributions.
  • Training substrate 502a processed with a uniform increase in temperature value for every temperature control zone.
  • Training substrate 502b is processed with a uniform decrease in temperature value for every temperature control zone.
  • Training substrate 502c provides an azimuthal change in temperature values, dividing the substrate into elliptical slices.
  • Each training substrate may be processed using the temperature distribution, and optical signals collected from each site before and after the processing may be analyzed to determine the effect of temperature on wafer feature values (e.g. a feature critical dimension, depth, height, pitch, etc. or some indicator of such value).
  • an initial, untrained neural network contains a random set of parameters to be trained or optimized during the training process.
  • Training may be conducted using any suitable optimization algorithm such as a stochastic gradient descent technique (e.g., “Adam: A Method for Stochastic Optimization,” Kingma &. Ba, published as a conference paper at ICLR 2015, which is incorporated herein by reference in its entirety).
  • the model may be trained to output processing parameters that result in feature values that are within predetermined thresholds of the target feature values.
  • a trained model has two inputs and one output. In one configuration, the output is a post-processed feature information and the inputs are pre-processed feature information and process parameters.
  • the output is a processing parameter and the inputs are pre-processed feature information and post-processed feature information, which may be provided as a “target” feature value.
  • the output may be a temperature value of a pedestal at a defined location where the pre-and post-processed feature information is provided.
  • the output is one or more processing parameters as described herein, such as a temperature value and a time duration of a processing operation.
  • the inputs and outputs for the model may be site-specific. That is, they be provided for small, discrete locations on a substrate. In some cases, the locations are distributed in two dimensions over a pedestal or other substrate support tool.
  • FIG. 6 illustrates an example machine learning model 600 that is provided in the form of a neural network.
  • Machine learning model 600 takes inputs 602, which include a pre-process optical signal and a target feature value. These inputs are provided to an input layer 604, which performs computations on the inputs and passes the resulting values to a first of one or more hidden layers 606, each of which performs defined computations on the input it receives from a previous layer. At least some of the defined computations were determined during training. While only one hidden layer is shown, it should be understood that more than one hidden layer may be used.
  • an output layer 608 After processing through one or more hidden layers, an output layer 608 produces an output value, which in this example is a temperature value.
  • a different processing parameter could be the output value, such as an edge ring height, or multiple processing parameters may be output, such as a temperature value and a time duration for an etch process.
  • the model is trained so that a fabrication operation using the output temperature value will, if using the temperature value as a processing parameter for a substrate having the input pre-process optical signal, produce a substrate having the target feature value.
  • a machine learning model is configured to output post- processed feature information such as a feature value.
  • a feature value as discussed above, relates to a geometric feature of the substrate such as a CD.
  • Figure 7 illustrates an example machine learning model 700, implemented as a neural network, that outputs a feature value.
  • Machine learning model 700 takes as inputs 702 a pre-process optical signal and a temperature value for a pedestal element. These inputs are fed to an input layer 704, which performs appropriate calculations per the model’s training. The values resulting from processing at the input layer are fed to a first of one or more hidden layers 706. While only one hidden layer is shown, it should be understood that more than one hidden layer may be used. After processing through one or more hidden layers, an output layer 708 produces a feature value. The feature value is what is expected to be produced if a wafer, having the input pre-process optical signal, is processed according to the input temperature value.
  • Machine learning model 700 may be used to check how a temperature value may affect the substrate during a fabrication operation.
  • temperature values that are output by a machine learning model such as machine learning model 600, are post-processed and optionally adjusted to conform with the processing capabilities of the process chamber. Adjustment may be increasing or decreasing temperature values to conform with the minimum or maximum temperature values that can be performed, respectively. This approach may be used when the model predicts a temperature that cannot be produced by a particular pedestal, chuck, or other wafer support implementing temperature control zones. In such embodiments, machine learning model 700 may be used to determine what feature value will result from the adjusted temperature value.
  • the machine learning model 700 is constrained to only produce feature values that conform with the processing capabilities of a process chamber, incorporating the above described post-processing into the machine learning model. Apparatus
  • FIG. 8 schematically shows a cross-sectional view of an inductively coupled plasma etching apparatus 800 in accordance with certain embodiments herein.
  • a Kiyo TM reactor produced by Lam Research Corp. of Fremont, CA, is an example of a suitable reactor that may be used to implement the techniques described herein.
  • the inductively coupled plasma etching apparatus 800 includes an overall etching chamber structurally defined by chamber walls 801 and a window 11.
  • the chamber walls 801 may be fabricated from stainless steel or aluminum.
  • the window' 811 may be fabricated from quartz or other dielectric material.
  • An optional internal plasma grid 850 divides the overall etching chamber into an upper sub-chamber 802 and a lower sub-chamber 803.
  • the plasma grid 850 may include a single grid or multiple individual grids. In many embodiments, plasma grid 850 may be removed, thereby utilizing a chamber space made of sub-chambers 802 and 803.
  • a chuck 817 is positioned within the lower sub-chamber 803 near the bottom inner surface.
  • the chuck 817 is a wafer support assembly including a plurality of independently controllable temperature control zones, as discussed above.
  • the chuck 817 is configured to receive and hold a semiconductor wafer 819 upon which the etching process is performed.
  • the chuck 817 can be an electrostatic chuck for supporting the wafer 819 when present.
  • an edge ring (not shown) surrounds chuck 817, and has an upper surface that is approximately planar with a top surface of a wafer 819, w'hen present over chuck 817.
  • the chuck 817 also includes electrostatic electrodes for chucking and dechucking the wafer.
  • a filter and DC clamp power supply (not shown) may be provided for this purpose.
  • Other control systems for lifting the wafer 819 off the chuck 817 can also be provided.
  • the chuck 817 can be electrically charged using an RF power supply 823.
  • the RF power supply 823 is connected to matching circuitry 821 through a connection 827.
  • the matching circuitry 821 is connected to the chuck 817 through a connection 825. In this manner, the RF power supply 823 is connected to the chuck 817.
  • a coil 833 is positioned above window 811
  • the coil 833 is fabricated from an electrically conductive material and includes at least one complete turn.
  • the exemplary coil 833 shown in FIG. 8 includes three turns.
  • the cross-sections of coil 833 are shown with symbol s, and coils having an “X” extend rotationally into the page, while coils having a extend rotationaliy out of the page.
  • An RF power supply 841 is configured to supply RF power to the coil 833.
  • the RF power supply 841 is connected to matching circuitry 839 through a connection 845.
  • the matching circuitry 839 is connected to the coil 833 through a connection 843. In this manner, the RF power supply 841 is connected to the coil 833.
  • An optional Faraday shield 849 is positioned between the coil 833 and the window 811.
  • the Faraday shield 849 is maintained in a spaced apart relationship relative to the coil 833.
  • the Faraday shield 849 is disposed immediately above the window 811.
  • the coil 833, the Faraday shield 849, and the window 811 are each configured to be substantially parallel to one another.
  • the Faraday shield may prevent metal or other species from depositing on the dielectric window of the plasma chamber.
  • Process gases may be supplied through a main injection port 860 positioned in the upper chamber and/or through a side injection port 870, sometimes referred to as an STG.
  • a vacuum pump e.g., a one or two stage mechanical dry pump and/or turbomolecular pump 840, may be used to draw process gases out of the process chamber and to maintain a pressure within the process chamber 800 by using a closed-loop-controlled flow restriction device, such as a throttle valve (not shown) or a pendulum valve (not shown), during operational plasma processing.
  • a closed-loop-controlled flow restriction device such as a throttle valve (not shown) or a pendulum valve (not shown)
  • one or more reactant gases may be supplied through injection ports 860 and/or 870.
  • gas may be supplied only through the main injection port 860, or only through the side injection port 870.
  • the injection ports may be replaced by showerheads.
  • the Faraday shield 849 and/or optional grid 850 may include internal channels and holes that allow delivery of process gases to the chamber. Either or both of Faraday shield 849 and optional grid 850 may serve as a showerhead for delivery of process gases.
  • Radio frequency power is supplied from the RF power supply 841 to the coil 833 to cause an RF current to flow through the coil 833.
  • the RF current flowing through the coil 833 generates an electromagnetic field about the coil 833.
  • the electromagnetic field generates an inductive current within the upper sub-chamber 802.
  • the physical and chemical interactions of various generated ions and radicals with the wafer 819 selectively etch features of the wafer.
  • the inductive current acts on the gas present in the upper sub-chamber 802 to generate an electron-ion plasma in the upper sub-chamber 802.
  • the optional internal plasma grid 850 may act to limit the number of hot electrons in the lower sub-chamber 803.
  • the apparatus is designed and operated such that the plasma present in the lower sub-chamber 803 is an ion-ion plasma.
  • the apparatus may be designed and operated such that the plasma present in the lower sub-chamber 803 is an electron- ion plasma. Internal plasma grids and ion-ion plasma are further discussed in U.S.
  • Volatile etching byproducts may be removed from the lower-sub chamber 803 through port 822.
  • the chuck 817 disclosed herein may operate at elevated temperatures ranging between about 30°C and about 250°C. In some cases, the chuck 817 may also operate at lower temperatures, for example when the chuck 817 is actively chilled. In such cases the chuck 817 may operate at substantially lower temperatures, as desired. The temperature will depend on the etching process operation and specific recipe.
  • the chamber 801 may operate at pressures in the range of between about 1 mTorr and about 95 mTorr. In certain embodiments, the pressure may be higher.
  • Chamber 801 may be coupled to facilities (not shown) when installed in a clean room or a fabrication facility. Facilities include plumbing that provide processing gases, vacuum, temperature control, and environmental particle control. These facilities are coupled to chamber 801, when installed in the target fabrication facility. Additionally, chamber 801 may be coupled to a transfer chamber that allows robotics to transfer semiconductor wafers into and out of chamber 801 using typical automation.
  • a system controller 830 (which may include one or more physical or logical controllers) controls some or all of the operations of an etching chamber.
  • the system controller 830 may include one or more memory devices and one or more processors.
  • the processor may include a central processing unit (CPU) or computer, analog and/or digital input/output connections, stepper motor controller boards, and other like components. Instructions for implementing appropriate control operations are executed on the processor. These instructions may be stored on the memory devices associated with the system controller 830 or they may be provided over a network. In certain embodiments, the system controller 830 executes system control software. [0096] In some cases, the system controller 830 controls gas concentration, wafer movement, and/or the power supplied to the coils 833 and/or electrostatic chuck 817.
  • the system controller 830 may control the gas concentration by, for example, opening and closing relevant valves to produce one or more inlet gas stream that provide the necessary reactant(s) at the proper concentration(s).
  • the wafer movement may be controlled by, for example, directing a wafer positioning system to move as desired.
  • the power supplied to the coils 833 and/or chuck 817 may be controlled to provide particular RF power levels.
  • any RF power applied to the grid may be adjusted by the system controller 830.
  • the system controller 830 may control these and other aspects based on sensor output (e.g., when power, potential, pressure, etc.
  • FIG. 9 depicts a semiconductor process cluster architecture with various modules that interface with a vacuum transfer module 938 (VTM).
  • VTM vacuum transfer module
  • the arrangement of transfer modules to “transfer” wafers among multiple storage facilities and processing modules may be referred to as a “cluster tool architecture” system.
  • Airlock 930 also known as a loadlock or transfer module, is shown in VTM 938 with four processing modules 920a-920d, which may be individually optimized to perform various fabrication processes.
  • processing modules 920a-920d may be implemented to perform substrate etching, deposition, ion implantation, wafer cleaning, sputtering, and/or other semiconductor processes.
  • One or more of the substrate etching processing modules may be implemented as disclosed herein.
  • Airlock 930 and process module 920 may be referred to as “stations.” Each station has a facet 936 that interfaces the station to VTM 938. Inside each facet, sensors 1-18 are used to detect the passing of wafer 926 when moved between respective stations. [0099] Robot 922 transfers wafer 926 between stations.
  • robot 922 has one arm, and in another embodiment, robot 922 has two arms, where each arm has an end effector 924 to pick wafers such as wafer 926 for transport.
  • Front-end robot 932 in atmospheric transfer module (ATM) 940, is used to transfer wafers 926 from cassette or Front Opening Unified Pod (FOUP) 934 in Load Port Module (LPM) 942 to airlock 930.
  • Module center 928 inside process module 920 is one location for placing wafer 926.
  • Aligner 944 in ATM 940 is used to align wafers. [0100]
  • a wafer is placed in one of the FOUPs 934 in the LPM 942.
  • Front-end robot 932 transfers the wafer from the FOUP 934 to an aligner 944, which allows the wafer 926 to be properly centered before it is etched or processed. After being aligned, the wafer 926 is moved by the front-end robot 932 into an airlock 930. Because airlock modules have the ability to match the environment between an ATM and a VTM, the wafer 926 is able to move between the two pressure environments without being damaged. From the airlock module 930, the wafer 926 is moved by robot 922 through VTM 938 and into one of the process modules 920a-920d. In order to achieve this wafer movement, the robot 922 uses end effectors 924 on each of its arms.
  • a controller is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems.
  • the controller depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating, cooling, and setting temperature values for each temperature control zone), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • the controller may have instructions for using a machine learning model as described herein.
  • the controller may receive as inputs target feature values and optical metrology signals, and use a machine learning model to output processing parameters used by the apparatus of Figure 8, e.g., a temperature distribution.
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • example systems may include a plasma etch chamber or module, a bevel edge etch chamber or module, an atomic layer etch (ALE) chamber or module, and any other etch semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.
  • Embodiments disclosed herein may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed embodiments. Further, while the disclosed embodiments will be described in conjunction with specific embodiments, it will be understood that the specific embodiments are not intended to limit the disclosed embodiments. Accordingly, the present embodiments are to be considered as illustrative and not restrictive, and the embodiments are not to be limited to the details given herein.

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

La métrologie optique peut être utilisée pour examiner des substrats avant une opération de fabrication afin de régler des paramètres de traitement de l'opération de fabrication. Un modèle d'apprentissage machine peut analyser les signaux optiques reçus par un outil de métrologie optique pour proposer une distribution de température devant être utilisée dans une opération de fabrication ultérieure. La distribution de température peut améliorer l'uniformité de la plaquette et/ou obtenir une valeur de caractéristique cible souhaitée.
PCT/US2020/070384 2019-08-09 2020-08-07 Commande basée sur un modèle de non-uniformité de plaquette WO2021030833A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962885147P 2019-08-09 2019-08-09
US62/885,147 2019-08-09

Publications (1)

Publication Number Publication Date
WO2021030833A1 true WO2021030833A1 (fr) 2021-02-18

Family

ID=74569401

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2020/070384 WO2021030833A1 (fr) 2019-08-09 2020-08-07 Commande basée sur un modèle de non-uniformité de plaquette

Country Status (1)

Country Link
WO (1) WO2021030833A1 (fr)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023278255A1 (fr) * 2021-06-28 2023-01-05 Applied Materials, Inc. Réduction de rayures de surface de substrat à l'aide d'un apprentissage automatique
WO2023195015A1 (fr) * 2022-04-07 2023-10-12 Nova Ltd Suréchantillonnage de métrologie de tranche complète
US11901203B2 (en) 2021-06-10 2024-02-13 Applied Materials, Inc. Substrate process endpoint detection using machine learning
US11965798B2 (en) 2021-06-10 2024-04-23 Applied Materials, Inc. Endpoint detection system for enhanced spectral data collection

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060247816A1 (en) * 2004-07-08 2006-11-02 Tokyo Electron Limited Optical metrology model optimization for process control
US20090083013A1 (en) * 2007-09-20 2009-03-26 Tokyo Electron Limited Determining profile parameters of a structure formed on a semiconductor wafer using a dispersion function relating process parameter to dispersion
US7595869B1 (en) * 2008-06-18 2009-09-29 Tokyo Electron Limited Optical metrology system optimized with a plurality of design goals
WO2016190905A1 (fr) * 2015-05-22 2016-12-01 Applied Materials, Inc. Mandrin électrostatique à zones multiples réglables en azimut
US20190072482A1 (en) * 2017-09-06 2019-03-07 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060247816A1 (en) * 2004-07-08 2006-11-02 Tokyo Electron Limited Optical metrology model optimization for process control
US20090083013A1 (en) * 2007-09-20 2009-03-26 Tokyo Electron Limited Determining profile parameters of a structure formed on a semiconductor wafer using a dispersion function relating process parameter to dispersion
US7595869B1 (en) * 2008-06-18 2009-09-29 Tokyo Electron Limited Optical metrology system optimized with a plurality of design goals
WO2016190905A1 (fr) * 2015-05-22 2016-12-01 Applied Materials, Inc. Mandrin électrostatique à zones multiples réglables en azimut
US20190072482A1 (en) * 2017-09-06 2019-03-07 Lam Research Corporation Systems and methods for combining optical metrology with mass metrology

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11901203B2 (en) 2021-06-10 2024-02-13 Applied Materials, Inc. Substrate process endpoint detection using machine learning
US11965798B2 (en) 2021-06-10 2024-04-23 Applied Materials, Inc. Endpoint detection system for enhanced spectral data collection
WO2023278255A1 (fr) * 2021-06-28 2023-01-05 Applied Materials, Inc. Réduction de rayures de surface de substrat à l'aide d'un apprentissage automatique
US11586160B2 (en) 2021-06-28 2023-02-21 Applied Materials, Inc. Reducing substrate surface scratching using machine learning
US11835927B2 (en) 2021-06-28 2023-12-05 Applied Materials, Inc. Reducing substrate surface scratching using machine learning
WO2023195015A1 (fr) * 2022-04-07 2023-10-12 Nova Ltd Suréchantillonnage de métrologie de tranche complète

Similar Documents

Publication Publication Date Title
WO2021030833A1 (fr) Commande basée sur un modèle de non-uniformité de plaquette
KR102609122B1 (ko) 질량 계측과 광학 계측을 결합하기 위한 시스템들 및 방법들
US20220344184A1 (en) Systems and methods for autonomous process control and optimization of semiconductor equipment using light interferometry and reflectometry
CN106409741B (zh) 基于可视的晶片凹口的位置测量
TWI459168B (zh) 可調適之處方選擇器
JP5636486B2 (ja) 多層/多入力/多出力(mlmimo)モデル及び当該モデルの使用方法
US11353364B2 (en) Thermal imaging for within wafer variability feedforward or feedback information
KR102528658B1 (ko) 플라즈마 프로세싱 툴들의 이미지 기반 플라즈마 시스 프로파일 검출
WO2008157156A1 (fr) Procédé et appareil permettant de créer une bibliothèque d'évaluation de l'optimisation de grilles
US11056405B2 (en) Methods and systems for controlling wafer fabrication process
US20220270901A1 (en) Integrated hardware-software computer vision system for autonomous control and inspection of substrate processing systems
US20240096713A1 (en) Machine-learning in multi-step semiconductor fabrication processes
US10347464B2 (en) Cycle-averaged frequency tuning for low power voltage mode operation
US20220334554A1 (en) Large spot spectral sensing to control spatial setpoints
KR20230079453A (ko) Cvd 막들 내 결함들을 분석하기 위한 시스템들 및 방법들

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20853280

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20853280

Country of ref document: EP

Kind code of ref document: A1