WO2020207774A1 - Pellicule pour lithographie euv - Google Patents

Pellicule pour lithographie euv Download PDF

Info

Publication number
WO2020207774A1
WO2020207774A1 PCT/EP2020/057959 EP2020057959W WO2020207774A1 WO 2020207774 A1 WO2020207774 A1 WO 2020207774A1 EP 2020057959 W EP2020057959 W EP 2020057959W WO 2020207774 A1 WO2020207774 A1 WO 2020207774A1
Authority
WO
WIPO (PCT)
Prior art keywords
sacrificial layer
layer
pellicle
core layer
substrate
Prior art date
Application number
PCT/EP2020/057959
Other languages
English (en)
Inventor
Airat SHAVIKOF
Frederik Bijkerk
Bart SCHURINK
Jacobus Marinus STURM
Robbert Wilhelmus Elisabeth VAN DE KRUIJS
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Priority to CN202080027740.8A priority Critical patent/CN113646697A/zh
Publication of WO2020207774A1 publication Critical patent/WO2020207774A1/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask

Definitions

  • the present invention relates to a pellicle, a method for making a pellicle, a patterning device assembly and a dynamic gas lock assembly for EUV lithography.
  • a lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC.
  • This pattern can be transferred onto a target portion (e.g., comprising part of, one, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate.
  • a single substrate will contain a network of adjacent target portions that are successively patterned.
  • Lithography is widely recognized as one of the key steps in the manufacture of
  • lithography is becoming a more critical factor for enabling miniature IC or other devices and or structures to be manufactured.
  • l is the wavelength of the radiation used
  • NA is the numerical aperture of the projection system used to print the pattern
  • kl is a process-dependent adjustment factor, also called the Rayleigh constant
  • CD is the feature size (or critical dimension) of the printed feature. It follows from equation (1) that reduction of the minimum printable size of features can be obtained in three ways: by shortening the exposure wavelength l, by increasing the numerical aperture NA or by decreasing the value of kl.
  • EUV radiation is electromagnetic radiation having a wavelength within the range of 10-20 nm, for example within the range of 13-14 nm. It has further been proposed that EUV radiation with a wavelength of less than 10 nm could be used, for example within the range of 5-10 nm such as 6.7 nm or 6.8 nm. Such radiation is termed extreme ultraviolet radiation or soft x-ray radiation. Possible sources include, for example, laser-produced plasma sources, discharge plasma sources, or sources based on synchrotron radiation provided by an electron storage ring.
  • a lithographic apparatus includes a patterning device (e.g., a mask or a reticle).
  • a patterning device e.g., a mask or a reticle.
  • a pellicle may be provided to protect the patterning device from airborne particles and other forms of contamination.
  • the pellicle for protecting the patterning device may be called a pellicle.
  • the pellicle may comprise a frame and a membrane stretched across the frame.
  • a method for making a pellicle for EUV lithography comprising: depositing a sacrificial layer onto a substrate; etching away part of the substrate so as to expose a part of the sacrificial layer; depositing a core layer onto the sacrificial layer, the core layer forming a core part of a membrane of the pellicle once made; and etching away part of the sacrificial layer so as to expose a part of the core layer; wherein the core layer is deposited after the etching of the substrate.
  • a pellicle for EUV lithography comprising: a membrane comprising a core layer; a frame formed by a partially etched substrate; and a sacrificial layer between the core layer and the substrate, wherein the pellicle is made by: depositing the sacrificial layer onto the substrate; etching away part of the substrate so as to expose a part of the sacrificial layer; depositing the core layer onto the sacrificial layer; and etching away part of the sacrificial layer so as to expose a part of the core layer; wherein the core layer is deposited after the etching of the substrate.
  • Figure 1 depicts a lithographic apparatus according to an embodiment of the invention
  • Figure 2 is a more detailed view of the lithographic apparatus
  • Figure 3 schematically depicts, in cross-section, part of a pellicle according to an embodiment of the invention
  • FIGS. 4 to 9 schematically depict stages of a method of manufacturing a pellicle according to an embodiment of the invention.
  • Figures 10 to 12 schematically depict stages of a comparative method of manufacturing a pellicle
  • Figure 13 is a cross-sectional view of a core layer and a sacrificial layer according to an embodiment of the invention.
  • Figure 14 is a schematic view of a core layer remaining after etch of the sacrificial layer according to an embodiment of the invention.
  • Figure 15 is a schematic cross-sectional view of a core layer after etching of the sacrificial layer according to an alternative embodiment of the invention.
  • FIG 1 schematically depicts a lithographic apparatus 100 including a source collector module SO according to one embodiment of the invention.
  • the apparatus 100 comprises: an illumination system (or illuminator) IL configured to condition a radiation beam B (e.g., EUV radiation).
  • a radiation beam B e.g., EUV radiation
  • a support structure e.g., a mask table
  • MT constructed to support a patterning device (e.g., a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device;
  • a substrate table e.g., a wafer table
  • WT constructed to hold a substrate (e.g., a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate
  • a projection system e.g., a reflective projection system
  • PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
  • the illumination system IL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • optical components such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • the support structure MT holds the patterning device MA in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment.
  • the support structure MT can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device MA.
  • the support structure MT may be a frame or a table, for example, which may be fixed or movable as required.
  • the support structure MT may ensure that the patterning device MA is at a desired position, for example with respect to the projection system PS.
  • patterning device should be broadly interpreted as referring to any device that can be used to impart a radiation beam B with a pattern in its cross-section such as to create a pattern in a target portion C of the substrate W.
  • the pattern imparted to the radiation beam B may correspond to a particular functional layer in a device being created in the target portion C, such as an integrated circuit.
  • the patterning device MA may be transmissive or reflective.
  • Examples of patterning devices include masks, programmable mirror arrays, and programmable liquid-crystal display (LCD) panels.
  • Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types.
  • An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam, which is reflected by the mirror matrix.
  • the projection system PS may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of a vacuum. It may be desired to use a vacuum for EUV radiation since other gases may absorb too much radiation. A vacuum environment may therefore be provided to the whole beam path with the aid of a vacuum wall and vacuum pumps.
  • the lithographic apparatus 100 is of a reflective type (e.g., employing a reflective mask).
  • the lithographic apparatus 100 may be of a type having two (dual stage) or more substrate tables WT (and/or two or more support structures MT).
  • the additional substrate tables WT (and or the additional support structures MT) may be used in parallel, or preparatory steps may be carried out on one or more substrate tables WT (and or one or more support structures MT) while one or more other substrate tables WT (and or one or more other support structures MT) are being used for exposure.
  • the illumination system IL receives an extreme ultraviolet radiation beam from the source collector module SO.
  • Methods to produce EUV light include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range.
  • LPP laser produced plasma
  • the required plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the required line-emitting element, with a laser beam.
  • the source collector module SO may be part of an EUV radiation system including a laser, not shown in Figure 1, for providing the laser beam exciting the fuel.
  • the resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module.
  • output radiation e.g., EUV radiation
  • the laser and the source collector module SO may be separate entities, for example when a CO2 laser is used to provide the laser beam for fuel excitation.
  • the laser is not considered to form part of the lithographic apparatus 100 and the radiation beam B is passed from the laser to the source collector module SO with the aid of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander.
  • the source may be an integral part of the source collector module SO, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
  • the illumination system IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least the outer and or inner radial extent (commonly referred to as ⁇ -outer and ⁇ -inner, respectively) of the intensity distribution in a pupil plane of the illumination system IL can be adjusted.
  • the illumination system IL may comprise various other components, such as facetted field and pupil mirror devices.
  • the illumination system IL may be used to condition the radiation beam B, to have a desired uniformity and intensity distribution in its cross-section.
  • the radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device MA.
  • the radiation beam B After being reflected from the patterning device (e.g., mask) MA, the radiation beam B passes through the projection system PS, which focuses the radiation beam B onto a target portion C of the substrate W.
  • the substrate table WT can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B.
  • the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g., mask) MA with respect to the path of the radiation beam B.
  • the patterning device (e.g., mask) MA and the substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks PI, P2.
  • a controller 500 controls the overall operations of the lithographic apparatus 100 and in particular performs an operation process described further below.
  • Controller 500 can be embodied as a suitably -programmed general purpose computer comprising a central processing unit, volatile and non-volatile storage means, one or more input and output devices such as a keyboard and screen, one or more network connections and one or more interfaces to the various parts of the lithographic apparatus 100. It will be appreciated that a one-to-one relationship between controlling computer and lithographic apparatus 100 is not necessary.
  • one computer can control multiple lithographic apparatuses 100.
  • multiple networked computers can be used to control one lithographic apparatus 100.
  • the controller 500 may also be configured to control one or more associated process devices and substrate handling devices in a lithocell or cluster of which the lithographic apparatus 100 forms a part.
  • the controller 500 can also be configured to be subordinate to a supervisory control system of a lithocell or cluster and/or an overall control system of a fab.
  • FIG. 2 shows the lithographic apparatus 100 in more detail, including the source collector module SO, the illumination system IL, and the projection system PS.
  • An EUV radiation emitting plasma 210 may be formed by a plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the radiation emitting plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum. In an embodiment, a plasma of excited tin (Sn) is provided to produce EUV radiation.
  • Sn excited tin
  • the radiation emitted by the radiation emitting plasma 210 is passed from a source chamber 211 into a collector chamber 212.
  • the collector chamber 212 may include a radiation collector CO. Radiation that traverses the radiation collector CO can be focused in a virtual source point IF.
  • the virtual source point IF is commonly referred to as the intermediate focus, and the source collector module SO is arranged such that the virtual source point IF is located at or near an opening 221 in the enclosing structure 220.
  • the virtual source point IF is an image of the radiation emitting plasma 210.
  • the radiation traverses the illumination system IL, which may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the unpatterned beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • the illumination system IL may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the unpatterned beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • the source collector module SO may be part of an LPP radiation system.
  • the lithographic apparatus 100 comprises an illumination system IL and a projection system PS.
  • the illumination system IL is configured to emit a radiation beam B.
  • the projection system PS is separated from the substrate table WT by an intervening space.
  • the projection system PS is configured to project a pattern imparted to the radiation beam B onto the substrate W. The pattern is for EUV radiation of the radiation beam B.
  • the WT can be at least partially evacuated.
  • the intervening space may be delimited at the location of the projection system PS by a solid surface from which the employed radiation is directed toward the substrate table WT.
  • the lithographic apparatus 100 comprises a dynamic gas lock.
  • the dynamic gas lock comprises a pellicle 80.
  • the dynamic gas lock comprises a hollow part covered by a pellicle 80 located in the intervening space.
  • the hollow part is situated around the path of the radiation.
  • the lithographic apparatus 100 comprises a gas blower configured to flush the inside of the hollow part with a flow of gas. The radiation travels through the pellicle before impinging on the substrate W.
  • the lithographic apparatus 100 comprises a pellicle 80.
  • the pellicle 80 is for a dynamic gas lock.
  • the pellicle 80 functions as a filter for filtering IR radiation and/or DUV radiation.
  • the pellicle 80 is pellicle for the patterning device MA for EUV lithography.
  • the pellicle 80 of the present invention can be used for a dynamic gas lock or for a pellicle or for another purpose such as a spectral purity filter.
  • the pellicle 80 comprises a membrane 40, which may also be called a membrane stack.
  • the membrane is configured to transmit at least 80% of incident EUV radiation.
  • the pellicle 80 is configured to seal off the patterning device
  • the pellicle is configured to reduce the likelihood that particles might migrate into a stepping field of the patterning device MA in the lithographic apparatus 100
  • the contamination can require the patterning device MA to be cleaned or discarded. Cleaning the patterning device MA interrupts valuable manufacturing time and discarding the patterning device MA is costly. Replacing the patterning device MA also interrupts valuable manufacturing time.
  • FIG 3 schematically depicts, in cross-section, part of a pellicle 80 according to an embodiment of the invention.
  • the pellicle 80 is for EUV lithography.
  • the pellicle 80 comprises a membrane 40.
  • the membrane 40 is emissive for EUV radiation.
  • the membrane 40 may not have 100% emissivity for EUV radiation.
  • the membrane may have, for example, at least 20% emissivity.
  • the membrane 40 is substantially planar.
  • the plane of the membrane 40 is substantially parallel to the plane of the patterning device MA.
  • the pellicle 80 has a shape such as a square, a circle or a rectangle, for example.
  • the shape of the pellicle 80 is not particularly limited.
  • the size of the pellicle 80 is not particularly limited.
  • the pellicle 80 has a diameter in the range of from about 100 mm to about 500 mm, for example about 200 mm.
  • the pellicle 80 comprises a frame 81.
  • the frame 81 is configured to hold the membrane 40.
  • the frame 81 provides mechanical stability to the membrane 40.
  • the frame 81 is configured to reduce the possibility of the membrane 40 being deformed away from its planar shape. In an embodiment, a pre-tension is applied to the membrane 40 during its manufacture.
  • the frame 81 is configured to maintain the tension in the membrane 40 so that the membrane 40 does not have an undulating shape during use of the lithographic apparatus 100.
  • the frame 81 extends along the perimeter of the membrane 40.
  • the outer periphery of the membrane 40 is positioned on top of the frame 81 (according to the view of Figure 3).
  • the frame 81 comprises a border portion directly connected to the membrane 40.
  • the border portion of the frame 81 is formed by the second material 74 described later in this disclosure.
  • the frame 81 further comprises an extension portion that makes it easier for the pellicle 80 to be fixed relative to the patterning device M A.
  • the border portion and the extension portion of the frame 81 may be adhered to each other.
  • the pellicle 80 comprises a fixture 50.
  • the fixture 50 is arranged to be removably coupled to studs 60 fixed relative to the pattern device MA. Additional details of the assembly are described in WO 2016079051 A2, in particular in Figure 11 and Figures 28 to 31 and the associated description.
  • FIGs 4 to 9 schematically depict different stages of a method for making a pellicle 80 according to an embodiment of the invention.
  • a substrate 71 is provided.
  • the substrate 71 may be, for example, a silicon substrate.
  • the substrate 71 forms the bulk of the frame 81 in the finished pellicle 80.
  • the method comprises depositing a sacrificial layer 72 onto the substrate 71. As shown in Figure 5, in an embodiment the method comprises forming a mask layer 73 on the other side of the substrate 71. In an embodiment, the sacrificial layer 72 and the mask layer 73 are deposited on the substrate 71 in the same process step.
  • the sacrificial layer 72 and the mask layer 73 are formed of the same material. However, this is not necessary the case and different materials could be used.
  • the sacrificial layer 72 is formed of a ceramic material.
  • the mask layer 73 could alternatively not be provided, for example if a mask is not required for etching away the substrate 71.
  • the mask layer 73 has a similar or the same thickness as the sacrificial layer 72.
  • the method comprises etching away part of the mask layer 73 so as to form an opening 74 in the mask layer 73.
  • the remaining material of the mask layer 73 forms a mask used when etching the substrate 71.
  • the remaining material of the mask layer 73 may form an annulus, or a square, for example.
  • the sacrificial layer 72 is configured to serve as a substrate for deposition of the core layer 76 later in the method.
  • the sacrificial layer 72 is deposited on the substrate 71 by chemical vapor deposition, for example by low pressure chemical vapor deposition.
  • the sacrificial layer 72 is formed of S13N4.
  • the S13N4 is deposited such that it has an amorphous structure.
  • the sacrificial layer 72 is deposited to have a thickness of at least 10 nm, optionally at least 20 nm, and optionally at least 50 nm. In an embodiment, the sacrificial layer 72 is deposited such that it has a thickness of at most 100 nm, and optionally at most 80 nm. In an embodiment, the thickness of the sacrificial layer 72 when it is deposited is in the range of 50 to 80 nm. Later in the process after the substrate 71 is etched, part of the sacrificial layer 72 may also be etched away. This may leave the sacrificial layer 72 having a thickness of in the range of 20 to 50 nm where it is adjacent to the etched-away substrate. Such a thickness helps to reduce the development of roughness during the removal of the sacrificial layer 72. Such a thickness helps to allow for higher precision of duration of the etching process for etching away the sacrificial layer 72.
  • the sacrificial layer 72 stays amorphous after annealing.
  • additional roughening can be reduced or avoided. Such roughening could otherwise happen during ion beam etching (described in more detail later) due to slight differences in etching rates for different crystalline orientations and for grain boundaries compared to grains.
  • the method comprises etching away part of the substrate 71 so as to expose a part of the sacrificial layer 72.
  • the substrate 71 is etched away using a wet etching technique.
  • an etchant such as TMAH can be used.
  • the remaining material of the mask layer 73 protects the edges of the substrate 71 from being etched away. This means that the edges of the substrate 71 remain to form the bulk of the frame 81.
  • the materials for the substrate 71, the sacrificial layer 72 and the etchant are selected such that the etching rate is higher for the substrate 71 than for the sacrificial layer 72. It may be that some of the sacrificial layer 72 is etched away towards the end of the etching process. For example, about 30 nm of the sacrificial layer 72 may be etched away. The etching process reveals the exposed surface 75 of the sacrificial layer 72. The sacrificial layer 72 then serves as a substrate for the pellicle core layer deposition and is removed afterwards by ion beam etch.
  • the method comprises depositing a core layer 76 onto the sacrificial layer 72.
  • the core layer 76 forms a core part of the membrane 40 of the pellicle 80 once made.
  • the core layer 76 covers the entire upper surface of the sacrificial layer 72.
  • the core layer 76 is deposited homogeneously over the sacrificial layer 72.
  • the core layer 76 is deposited by sputtering, for example magnetron sputtering. However, other techniques may be used so as to deposit the core layer 76 substantially homogeneously.
  • the thickness of the core layer 76 can be selected depending on how transmissive the membrane 40 is required to be to EUV radiation.
  • the core layer 76 has a thickness of at least 10 nm, optionally at least 20 nm, and optionally at least 30 nm. This reduces the possibility of the membrane 40 of the pellicle 80 rupturing.
  • the core layer 76 has a thickness of at most 100 nm, optionally at most 50 nm, and optionally at most 40 nm. This increases the proportion of EUV radiation transmitted by the membrane 40 of the pellicle 80.
  • the core layer 76 has a thickness of 35 nm.
  • the core layer 76 is formed of ZrSU. At a thickness of 35 nm, a core layer of ZrSU transmits about 90% of incident EUV radiation.
  • the membrane 40 comprises at least one emissive layer.
  • An emissive layer can be provided at either side or at both sides of the core layer 76.
  • An emissive layer is configured to increase emissivity of the membrane 40. This helps to keep the temperature of the membrane lower than it otherwise would be during use of the pellicle 80. By keeping the temperature of the membrane 40 lower, damage of the membrane 40 during use of the pellicle 80 can be reduced.
  • One or more emissive layers can be deposited at the top side of the core layer 76 immediately after deposition of the core layer 76 (i.e. before annealing or removing the sacrificial layer 72).
  • the membrane 40 comprises one or more cap layers.
  • a cap layer can reduce outgassing from the membrane 40 during use of the pellicle 80.
  • Such a cap layer can protect the core layer 76 from contamination (e.g. oxidation).
  • Such a cap layer can be provided at either side or at both sides of the core layer 76.
  • One or more cap layers can be deposited on the top side of the core layer 76 immediately after the core layer 76 is deposited (i.e. before annealing or removing the sacrificial layer 72).
  • the method comprises annealing the core layer 76.
  • the annealing of the core layer 76 increases the residual stress of the core layer 76.
  • Thermal annealing increases thermal stability of the membrane 40.
  • Thermal annealing increases relaxation of any compressive stress in the core layer 76.
  • the annealing of the core layer 76 increases the residual stress of the core layer 76.
  • an average stress in the core layer 76 and the sacrificial layer 72 is tensile. This avoids wrinkles in the membrane 40. Otherwise, when the membrane 40 cools down and flattens, wrinkles can result in undesirable stress concentrations.
  • the residual stress in the core layer 76 after annealing is tensile. This avoids wrinkles in the core layer 76 of the membrane 40 in the pellicle 80 once made.
  • the sacrificial layer 76 has a tensile stress that is high enough to compensate for any compressive stress in the core layer 76 when the core layer 76 is deposited on the sacrificial layer 72.
  • the tensile stress in the sacrificial layer 72 counteracts any stress of the core layer 76 during and after annealing.
  • the sacrificial layer 72 after the etching of the substrate 71, has a residual tensile stress of at least 500 MPa, and optionally at least 800 MPa.
  • the annealing is performed at a temperature that is higher than the temperatures which the membrane 40 is expected to reach during use of the pellicle 80.
  • the operational temperature of the membrane 40 depends on how transmissive it is, how emissive it is and the power of the EUV radiation incident on it.
  • the annealing step can be performed after deposition of the core layer 76.
  • the thermal annealing is performed during deposition of the core layer 76.
  • the core layer 76 can be deposited at high temperatures, i.e. high enough to perform the annealing.
  • the method comprises etching away part of the sacrificial layer 72 so as to expose a part of the core layer 76. As shown in Figure 9, a lower surface 77 of the core layer 76 is exposed after the sacrificial layer 72 has been etched away.
  • the core layer 76 is deposited after the etching of the substrate 71.
  • An embodiment of the invention is expected to achieve a stable membrane 40 of the pellicle 80 more reliably.
  • the core layer 76 is not present when the substrate 71 is etched. This avoids the possibility of part of the core layer 76 being undesirably etched away in the process of etching away the substrate 71.
  • the chemical etching of the substrate 71 can take a relatively long time. By not depositing the core layer 76 until after the substrate 71 has been etched, it is not necessary to provide additional layers to protect the core layer 76 during the etching process.
  • the sacrificial layer 72 is etched by a dry etching technique.
  • the back side of the sacrificial layer 72 is physically etched.
  • the dry etching technique comprises bombarding the sacrificial layer 72 with chemically inert material.
  • ion beam etching may be used as the technique to remove the sacrificial layer 72.
  • a chemical wet etch process is hard to stop suddenly because of liquid remaining on the wafer. In contrast, a dry etching technique can be stopped more suddenly.
  • An embodiment of the invention is expected to achieve greater accuracy of thickness of the layers in the pellicle 80.
  • an embodiment of the invention is expected to achieve a reduction in defects of the pellicle 80.
  • the sacrificial layer 72, the core layer 76 and the etchant for etching the sacrificial layer 72 are selected such that the rate at which the sacrificial layer 72 is etched away using the etchant is at least half the rate at which the core layer 76 would be etched away using the etchant. In an embodiment, the rate at which the sacrificial layer 72 is etched away using the etchant is at most twice the rate at which the core layer 76 would be etched away using the etchant.
  • the etching technique for etching away the sacrificial layer 72 is not particularly selective for different materials. This is because the etching technique relies on a purely physical process, rather than a chemical process. In an embodiment, the ions used in the ion beam etching are not reactive.
  • etching rates for the sacrificial layer 72 and the core layer 76 are similar to each other. However, they may not be exactly the same.
  • the etching rate for the core layer 76 may be up to 10% or up to 20 % higher or up to 10% or up to 20% lower and the etching rate for the sacrificial layer 72.
  • the sacrificial layer 72 can be homogeneously removed.
  • the use of a physical etching technique reduces the risk of non- homogeneous removal of material from the membrane 40.
  • Ion beam etching has low selectivity between different materials, unless the ion energy is close to the sputter threshold.
  • the sputter threshold is the amount of energy that the ions are required to have in order to remove the material. Hence, if the ions were to have an energy that is above the sputter threshold but below the sputter threshold for a second material, then the ion beam etching would be selective.
  • the ions used have an energy that is above the sputter threshold for both the sacrificial layer 72 and the core layer 76.
  • the sputter threshold for a material depends on the bond strength within the material and the difference in mass between the ions used in the ion beam etching and the particles in the layer of material.
  • Figure 13 schematically shows a cross-section of the core layer 76 on the sacrificial layer 72.
  • the core layer 76 is deposited on the sacrificial layer 72, some of the core layer 76 can diffuse into the sacrificial layer 72. This results in incursions 92 of the core layer material into the sacrificial layer 72.
  • material can diffuse from the sacrificial layer 72 into the core layer 76. This can produce spurs 91 of sacrificial layer material into the core layer 76.
  • the diffusion of the sacrificial layer 72 and the core layer 76 into each other can be encouraged by the thermal annealing.
  • Figure 14 shows a cross-sectional view of the core layer 76 after the sacrificial layer 72 has been etched away according to an embodiment of the invention.
  • a dry etching technique such as ion beam etching can be used to physically remove the sacrificial layer 72.
  • the technique is not selective between the sacrificial layer material and the core layer material. This means that the sacrificial layer 72 is etched away together with the incursions 92 of core layer material in the sacrificial layer 72. Meanwhile, the spurs 91 of sacrificial layer material in the core layer 76 remain after the etching.
  • the remaining core layer 76 has a relatively uniform thickness.
  • Figure 15 schematically shows the core layer 76 when a chemical etching technique is used to etch away the sacrificial layer 72.
  • the chemical etching technique etches away the sacrificial layer material at a greater rate than the core layer material.
  • the sacrificial layer material that formed the spurs 91 is etched away, leaving depressions 93 in the remaining core layer 76.
  • the core layer material that formed the incursions 92 is not significantly etched away. This leaves protrusions 94 at the surface of the core layer 76.
  • the thickness of the core layer 76 is less uniform compared to the core layer shown in Figure 14.
  • the depressions 93 can reduce the structural stability of the core layer 76 of the membrane 40.
  • the process for etching away the sacrificial layer 72 is stopped by timing.
  • the dry etching technique does not locally remove material from the pellicle, for example oxide in grain boundaries, or phases of layers consisting of multiple phases (i.e. MoSiN).
  • the etching of the sacrificial layer 72 does not weaken the pellicle by creating roughness and opening grain boundaries on the pellicle surface as much as a chemical etching technique.
  • the dry etching can in some case roughen the surface. If the sacrificial layer is amorphous, then the dry etching may not roughen the surface.
  • one or more emissive layers and/or one or more cap layers can be deposited before the annealing step.
  • such emissive layers and or cap layers can be deposited after the thermal annealing (but before removal of the sacrificial layer 72) or after removal of the sacrificial layer 72.
  • all processing steps from depositing of the core layer 76 through to the etching of the sacrificial layer 72 inclusive are performed in the same vacuum chamber.
  • the deposition of the core layer 76, the annealing step and the removal of the sacrificial layer 72 are performed in the same chamber. If any emissive layers and/or cap layers are deposited, then these can also be deposited in the same vacuum chamber.
  • An embodiment of the invention is expected to simplify the process of manufacturing the pellicle 80.
  • part of the sacrificial layer 72 remains in the frame 81 between the substrate 71 and the core layer 76.
  • this part of the sacrificial layer 72 has a thickness of at least 10 nm, optionally at least 20 nm and optionally at least 50 nm. In an embodiment, this part of the sacrificial layer has a thickness of at most 100 nm, and optionally at most 80 nm.
  • the frame comprises, in this order, a mask layer 73, a substrate 81, a sacrificial layer 72 and a core layer 76.
  • LPCVD S13N4 layer is deposited at 700C on Si wafer. Wafers are then patterned on the backside to form 3x3mm 2 or lxlmm 2 windows. Si is then etched in 90C 25% TMAH solution for 13h, until freestanding S13N4 membranes are released. Upon release remaining thickness of S13N4 is ⁇ 30nm, residual tensile stress ⁇ 900MPa. Uniformity of remaining S13N4 layer over 10cm wafer is +-lnm. [0090] 2) Core layer deposition.
  • ZrSB 30nm thick ZrSi ⁇ ZrShN * is deposited by magnetron sputtering in Ar (ZrSB) or Ar+N2 (ZrSCN * ) from compound ZrSU target or by co-sputtering from Zr and Si targets.
  • Percentage of nitrogen in ZrSUN x films measured by XPS depth profile is -30%.
  • Upon deposition stress values are -400MPa for ZrSB layer, and -300MPa for ZrSUN x (-30% N in the film).
  • Membranes are annealed ex-situ at 650C in nitrogen flow. Upon annealing
  • ZrSi 2 films show polycrystalline ZrSU phase in XRD scans, while ZrSUN x films (30% N) appear to be XRD amorphous. Stress in the layers upon annealing: S13N4 900MPa, ZrSh 500MPa, ZrShN 400MPa.
  • Achieved accuracy of etched thickness control is ⁇ lnm for 30nm of S13N4 removed (however, for array of small pellicles on a 10cm wafer, due to non- uniformity of the ion beam caused by its size and the inhomogeneity of the S13N4 layer, difference of -2-3 nm in remaining pellicle thickness exists between different membranes over the 10cm wafer).
  • Ion beam treatment with noble gas ions can be used for removing native oxide from existing pellicles.
  • this etching step is directly followed by deposition of a protective cap layer without breaking vacuum, the pellicle core is fully protected against oxidation.
  • This treatment is better compared to present state-of-the-art where native oxide is removed by exposure to a HF solution, followed by rinsing in water and handling in ambient. The latter treatment typically leads to regrowth of about 0.2 nm of native oxide.
  • An inhomogeneous thickness of the pellicle core can lead to inhomogeneous
  • pellicle capping layers of e.g. Ru may reflect too much EUV.
  • One of the strategies to reduce EUV reflectivity of a capped pellicle is to adjust the thickness of the core and the cap layers such that the reflected EUV radiation from the cap layers on front and backside of the pellicle interfere destructively. With current state-of-the-art p-Si core pellicle, made by CVD methods, this anti-reflection strategy is hampered by a too large
  • the thickness of the core can be reduced to a proper thickness for achieving optimum destructive interference between reflected EUV from the cap layers on the front and backside of the pellicle.
  • Steps of a comparative method for making a pellicle are described below with reference to Figures 10 to 12.
  • the sacrificial layer 72 and the mask layer 73 are deposited on either side of the substrate 71.
  • the core layer 76 and an upper sacrificial layer 78 are deposited on top of the stack. This is done before any etching step.
  • the mask is then formed by etching away part of the mask layer 73. This is analogous to the step described above in relation to Figure 6.
  • the substrate 71 is then etched away to form the frame 81 and expose part of the sacrificial layer 72.
  • the substrate 71 is etched after the core layer 76 has been deposited. This is why the upper sacrificial layer 78 is required in order to protect the core layer 76.
  • the substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist), a metrology tool and/or an inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

L'invention concerne un procédé de fabrication d'une pellicule pour lithographie EUV, le procédé comprenant : le dépôt d'une couche sacrificielle sur un substrat ; la gravure d'une partie du substrat de manière à exposer une partie de la couche sacrificielle ; le dépôt d'une couche centrale sur la couche sacrificielle, la couche centrale formant une partie centrale d'une membrane de la pellicule une fois celle-ci réalisée ; et la gravure d'une partie de la couche sacrificielle de manière à exposer une partie de la couche centrale, la couche centrale étant déposée après la gravure du substrat.
PCT/EP2020/057959 2019-04-12 2020-03-23 Pellicule pour lithographie euv WO2020207774A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202080027740.8A CN113646697A (zh) 2019-04-12 2020-03-23 用于euv光刻的表膜

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP19168871 2019-04-12
EP19168871.2 2019-04-12

Publications (1)

Publication Number Publication Date
WO2020207774A1 true WO2020207774A1 (fr) 2020-10-15

Family

ID=66175198

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2020/057959 WO2020207774A1 (fr) 2019-04-12 2020-03-23 Pellicule pour lithographie euv

Country Status (3)

Country Link
CN (1) CN113646697A (fr)
NL (1) NL2025186B1 (fr)
WO (1) WO2020207774A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024056548A1 (fr) * 2022-09-12 2024-03-21 Asml Netherlands B.V. Pellicule et procédés de formation de pellicule destinée à être utilisée dans un appareil lithographique

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110065278A1 (en) * 2009-09-14 2011-03-17 Hynix Semiconductor Inc. Method for fabricating pellicle of euv mask
WO2016079051A2 (fr) 2014-11-17 2016-05-26 Asml Netherlands B.V. Ensemble masque
WO2017186486A1 (fr) * 2016-04-25 2017-11-02 Asml Netherlands B.V. Membrane pour lithographie euv
US20180239240A1 (en) * 2015-09-02 2018-08-23 Asml Netherlands B.V. Method for manufacturing a membrane assembly
EP3418424A1 (fr) * 2016-02-19 2018-12-26 Air Water Inc. Substrat semi-conducteur composite, film formant pellicule et procédé de fabrication de substrat semi-conducteur composite

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110065278A1 (en) * 2009-09-14 2011-03-17 Hynix Semiconductor Inc. Method for fabricating pellicle of euv mask
WO2016079051A2 (fr) 2014-11-17 2016-05-26 Asml Netherlands B.V. Ensemble masque
US20180239240A1 (en) * 2015-09-02 2018-08-23 Asml Netherlands B.V. Method for manufacturing a membrane assembly
EP3418424A1 (fr) * 2016-02-19 2018-12-26 Air Water Inc. Substrat semi-conducteur composite, film formant pellicule et procédé de fabrication de substrat semi-conducteur composite
WO2017186486A1 (fr) * 2016-04-25 2017-11-02 Asml Netherlands B.V. Membrane pour lithographie euv

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2024056548A1 (fr) * 2022-09-12 2024-03-21 Asml Netherlands B.V. Pellicule et procédés de formation de pellicule destinée à être utilisée dans un appareil lithographique

Also Published As

Publication number Publication date
NL2025186B1 (en) 2021-02-23
NL2025186A (en) 2020-10-15
CN113646697A (zh) 2021-11-12

Similar Documents

Publication Publication Date Title
EP3345053B1 (fr) Procédé de fabrication d'un ensemble membrane
US11624980B2 (en) Method for manufacturing a membrane assembly
EP3391151B1 (fr) Procédé de fabrication d'ensemble membrane pour lithographie euv, ensemble membrane, appareil lithographique et procédé de fabrication de dispositif
CA3003070C (fr) Procede de fabrication d'un ensemble membrane
NL2025186B1 (en) Pellicle for euv lithography
KR102696704B1 (ko) 멤브레인 조립체를 제조하는 방법
WO2023193995A1 (fr) Pellicule pour lithographie euv
KR20240129083A (ko) 멤브레인 조립체를 제조하는 방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 20712574

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 20712574

Country of ref document: EP

Kind code of ref document: A1