WO2020152524A1 - Semiconductor device and method for producing semiconductor device - Google Patents

Semiconductor device and method for producing semiconductor device Download PDF

Info

Publication number
WO2020152524A1
WO2020152524A1 PCT/IB2019/060012 IB2019060012W WO2020152524A1 WO 2020152524 A1 WO2020152524 A1 WO 2020152524A1 IB 2019060012 W IB2019060012 W IB 2019060012W WO 2020152524 A1 WO2020152524 A1 WO 2020152524A1
Authority
WO
WIPO (PCT)
Prior art keywords
insulator
oxide
conductor
transistor
semiconductor device
Prior art date
Application number
PCT/IB2019/060012
Other languages
French (fr)
Japanese (ja)
Inventor
山崎舜平
佐藤優一
種村和幸
恵木勇司
Original Assignee
株式会社半導体エネルギー研究所
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 株式会社半導体エネルギー研究所 filed Critical 株式会社半導体エネルギー研究所
Publication of WO2020152524A1 publication Critical patent/WO2020152524A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/70Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates the floating gate being an electrode shared by two or more components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass

Definitions

  • One embodiment of the present invention relates to a transistor, a semiconductor device, and an electronic device. Further, one embodiment of the present invention relates to a method for manufacturing a semiconductor device. Further, one embodiment of the present invention relates to a semiconductor wafer and a module.
  • a semiconductor device refers to all devices that can function by utilizing semiconductor characteristics.
  • a semiconductor circuit such as a transistor, a semiconductor circuit, an arithmetic device, and a memory device are one mode of the semiconductor device.
  • a display device (a liquid crystal display device, a light-emitting display device, or the like), a projection device, a lighting device, an electro-optical device, a power storage device, a storage device, a semiconductor circuit, an imaging device, an electronic device, or the like has a semiconductor device.
  • one embodiment of the present invention is not limited to the above technical field.
  • One embodiment of the invention disclosed in this specification and the like relates to an object, a method, or a manufacturing method. Further, one embodiment of the present invention relates to a process, a machine, a manufacture, or a composition (composition of matter).
  • CMOS complementary metal-oxide-semiconductor
  • IC integrated circuit
  • image display device also simply referred to as a display device.
  • Silicon-based semiconductor materials are widely known as semiconductor thin films applicable to transistors, but oxide semiconductors are attracting attention as other materials.
  • Non-Patent Document 1 a CAAC (c-axis aligned crystalline) structure and an nc (nanocrystalline) structure, which are neither single crystal nor amorphous, have been found (see Non-Patent Document 1 and Non-Patent Document 2).
  • Non-Patent Document 1 and Non-Patent Document 2 disclose a technique for manufacturing a transistor using an oxide semiconductor having a CAAC structure.
  • One object of one embodiment of the present invention is to provide a semiconductor device with high on-state current. Another object of one embodiment of the present invention is to provide a semiconductor device in which variations in transistor characteristics are small. Another object of one embodiment of the present invention is to provide a highly reliable semiconductor device. Another object of one embodiment of the present invention is to provide a semiconductor device having favorable electric characteristics. Another object of one embodiment of the present invention is to provide a semiconductor device which can be miniaturized or highly integrated. Another object of one embodiment of the present invention is to provide a semiconductor device with low power consumption.
  • One embodiment of the present invention is a semiconductor device including a transistor, which includes a first conductor, a first insulator over the first conductor, and a first insulator over the first insulator. Between the oxide, the second conductor, and the third conductor on the first oxide, and on the first oxide, and between the second conductor and the third conductor. A second oxide, a second insulator over the second oxide, and a fourth conductor over the second insulator; and a first region in a region overlapping with the fourth conductor. Top surface of the second oxide is lower than bottom surfaces of the second conductor and the third conductor, and the top surface of the second oxide in a region overlapping with the fourth conductor and the second conductor or the third conductor. Difference from the bottom surface of the conductor is smaller than the film thickness of the second oxide in the region overlapping with the fourth conductor.
  • Another embodiment of the present invention is a semiconductor device including a transistor, the transistor including a first conductor, a first insulator over the first conductor, and a first insulator over the first insulator.
  • First oxide, a second conductor on the first oxide, and a third conductor, and a first oxide on the second conductor, and a third conductor A second oxide on the second oxide, a second insulator on the second oxide, and a fourth conductor on the second insulator, and overlaps with the fourth conductor.
  • the top surface of the first oxide in the region is lower than the bottom surfaces of the second conductor and the third conductor, and the top surface of the first oxide in the region overlapping with the fourth conductor and the second conductor.
  • the difference between the body and the bottom surface of the third conductor is 1 nm or more and 7 nm or less, and the thickness of the second oxide in a region overlapping with the fourth conductor is 1 nm or more and 5 nm or less.
  • the second oxide preferably contains indium.
  • the second oxide contains indium, an element M (M is gallium, aluminum, yttrium, or tin) and zinc, and the second oxide contains a main component. It is preferable that the atomic ratio of indium to the metallic element that is is larger than the sum of the atomic ratio of element M to the metallic element that is the main component and the atomic ratio of zinc to the metallic element that is the main component. ..
  • the first oxide contains indium, the element M (M is gallium, aluminum, yttrium, or tin) and zinc, and the second oxide contains the main component. It is preferable that the atomic ratio of indium to the metal element that is is larger than the atomic ratio of indium to the metal element that is the main component in the first oxide.
  • the second insulator has a structure in which the first insulating layer and the second insulating layer are sequentially stacked, and the first insulating layer contains silicon and the second insulating layer It is preferable that the insulating layer of (1) has one or both of hafnium and zirconium.
  • the semiconductor device has a region where the first insulator and the second insulator are in contact with each other in a cross-sectional view in the channel width direction of the transistor.
  • a semiconductor device with high on-state current can be provided. Further, according to one embodiment of the present invention, a semiconductor device with less variation in transistor characteristics can be provided. Further, according to one embodiment of the present invention, a highly reliable semiconductor device can be provided. Further, according to one embodiment of the present invention, a semiconductor device having favorable electric characteristics can be provided. Further, according to one embodiment of the present invention, a semiconductor device which can be miniaturized or highly integrated can be provided. Further, according to one embodiment of the present invention, a semiconductor device with low power consumption can be provided.
  • FIG. 1A is a top view of a semiconductor device which is one embodiment of the present invention.
  • 1B to 1D are cross-sectional views of a semiconductor device which is one embodiment of the present invention.
  • 2A to 2C are cross-sectional views of a semiconductor device which is one embodiment of the present invention.
  • FIG. 3A is a diagram illustrating classification of crystal structures of IGZO.
  • FIG. 3B is a diagram illustrating an XRD spectrum of quartz glass.
  • FIG. 3C is a diagram illustrating an XRD spectrum of crystalline IGZO.
  • FIG. 4A is a top view of a semiconductor device which is one embodiment of the present invention.
  • 4B to 4D are cross-sectional views of the semiconductor device which is one embodiment of the present invention.
  • FIG. 5A is a top view of a semiconductor device which is one embodiment of the present invention.
  • 5B to 5D are cross-sectional views of the semiconductor device which is one embodiment of the present invention.
  • FIG. 6A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 6B to 6D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • FIG. 7A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 7B to 7D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • FIG. 8A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 8B to 8D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • FIG. 9A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 9B to 9D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • FIG. 10A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 10B to 10D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 11A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 11B to 11D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • FIG. 12A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 12B to 12D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • FIG. 13A is a top view illustrating a manufacturing method of a semiconductor device which is one embodiment of the present invention.
  • 13B to 13D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 14A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 14B to 14D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 15A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 15B to 15D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
  • 16A and 16B are cross-sectional views of a semiconductor device according to one embodiment of the present invention.
  • FIG. 17 is a cross-sectional view illustrating the structure of the memory device according to one embodiment of the present invention.
  • FIG. 18 is a cross-sectional view illustrating the structure of the memory device according to one embodiment of the present invention.
  • FIG. 19 is a cross-sectional view illustrating the structure of the memory device according to one embodiment of the present invention.
  • 20 is a cross-sectional view of a semiconductor device according to one embodiment of the present invention.
  • 21A and 21B are cross-sectional views of a semiconductor device according to one embodiment of the present invention.
  • 22 is a cross-sectional view of a semiconductor device according to one embodiment of the present invention.
  • FIG. 23 is a cross-sectional view of a semiconductor device according to one embodiment of the present invention.
  • 24A is a block diagram illustrating a structural example of a memory device according to one embodiment of the present invention.
  • 24B is a schematic diagram illustrating a configuration example of the memory device according to one embodiment of the present invention.
  • 25A to 25H are circuit diagrams each illustrating a structural example of a memory device according to one embodiment of the present invention.
  • FIG. 26 is a diagram showing various storage devices layer by layer.
  • FIG. 27A is a block diagram of a semiconductor device according to one embodiment of the present invention.
  • FIG. 27B is a schematic diagram of a semiconductor device according to one embodiment of the present invention.
  • 28A and 28B are diagrams illustrating an example of an electronic component.
  • 29A to 29E are schematic views of a memory device according to one embodiment of the present invention.
  • 30A to 30H are diagrams illustrating electronic devices according to one embodiment of the present invention.
  • the size, the layer thickness, or the region may be exaggerated for clarity. Therefore, it is not necessarily limited to that scale.
  • the drawings schematically show ideal examples, and are not limited to the shapes or values shown in the drawings.
  • a layer, a resist mask, or the like may be unintentionally reduced due to a process such as etching, but this may not be reflected in the drawing for easy understanding.
  • the same reference numerals are commonly used in different drawings for the same portions or portions having similar functions, and repeated description thereof may be omitted.
  • the hatch patterns may be the same and may not be given a reference numeral in particular.
  • top views also referred to as “plan views”
  • perspective views description of some components may be omitted.
  • description of some hidden lines may be omitted.
  • the ordinal numbers given as the first, second, etc. are used for convenience and do not indicate the process order or the stacking order. Therefore, for example, “first” can be replaced with “second” or “third” as appropriate.
  • the ordinal numbers described in this specification and the like may be different from the ordinal numbers used to specify one embodiment of the present invention.
  • X and Y are connected, the case where X and Y are electrically connected and the case where X and Y function
  • the case where they are electrically connected and the case where X and Y are directly connected are disclosed in this specification and the like. Therefore, it is not limited to a predetermined connection relation, for example, the connection relation shown in the drawing or the text, and other than the connection relation shown in the drawing or the text is also disclosed in the drawing or the text.
  • X and Y are objects (for example, devices, elements, circuits, wirings, electrodes, terminals, conductive films, layers, etc.).
  • a transistor is an element having at least three terminals including a gate, a drain, and a source. And a region (hereinafter also referred to as a channel formation region) in which a channel is formed between the drain (drain terminal, drain region or drain electrode) and the source (source terminal, source region or source electrode), A current can flow between the source and the drain via the channel formation region.
  • a channel formation region refers to a region in which a current mainly flows.
  • the functions of the source and drain may be switched when adopting transistors of different polarities or when the direction of current changes during circuit operation. Therefore, in this specification and the like, the terms source and drain can be interchanged in some cases.
  • the channel length means, for example, in a top view of a transistor, a region where a semiconductor (or a portion where current flows in the semiconductor when the transistor is on) and a gate electrode overlap with each other, or a source in a channel formation region.
  • the channel length does not necessarily have the same value in all regions. That is, the channel length of one transistor may not be set to one value. Therefore, in this specification, the channel length is any one value, the maximum value, the minimum value, or the average value in the channel formation region.
  • the channel width is, for example, in a top view of a transistor, in a channel length direction in a region where a semiconductor (or a portion where current flows in the semiconductor when the transistor is on) and a gate electrode overlap with each other or a channel formation region. Refers to the length of the channel formation region in the vertical direction. Note that in one transistor, the channel width does not necessarily have the same value in all regions. That is, the channel width of one transistor may not be set to one value. Therefore, in this specification, the channel width is any one value, the maximum value, the minimum value, or the average value in the channel formation region.
  • a channel width in a region where a channel is actually formed (hereinafter also referred to as an “effective channel width”) and a channel width shown in a top view of the transistor. (Hereinafter, also referred to as “apparent channel width”).
  • the effective channel width becomes larger than the apparent channel width, and the effect thereof may not be negligible.
  • the proportion of the channel formation region formed on the side surface of the semiconductor may be large. In that case, the effective channel width is larger than the apparent channel width.
  • channel width when simply described as channel width, it may indicate an apparent channel width.
  • channel width when simply described as a channel width, it may indicate an effective channel width. Note that the channel length, channel width, effective channel width, apparent channel width, and the like can be determined by analyzing a cross-sectional TEM image or the like.
  • the impurities of the semiconductor refer to, for example, components other than the main constituents of the semiconductor.
  • an element whose concentration is less than 0.1 atomic% can be said to be an impurity.
  • the inclusion of impurities may cause, for example, an increase in the defect level density of the semiconductor and a decrease in crystallinity.
  • examples of impurities that change the characteristics of the semiconductor include a Group 1 element, a Group 2 element, a Group 13 element, a Group 14 element, a Group 15 element, and an oxide semiconductor.
  • transition metals other than the main component such as hydrogen, lithium, sodium, silicon, boron, phosphorus, carbon, and nitrogen. Water may also function as an impurity.
  • oxygen vacancies may be referred to as V 2 O ) may be formed in the oxide semiconductor due to the mixture of impurities.
  • silicon oxynitride has a higher oxygen content than nitrogen as its composition. Further, silicon oxynitride has a composition that contains more nitrogen than oxygen.
  • the term “insulator” can be restated as an insulating film or an insulating layer.
  • the term “conductor” can be referred to as a conductive film or a conductive layer.
  • the term “semiconductor” can be restated as a semiconductor film or a semiconductor layer.
  • parallel means a state in which two straight lines are arranged at an angle of ⁇ 10 degrees to 10 degrees. Therefore, a case of -5 degrees or more and 5 degrees or less is also included.
  • substantially parallel means a state in which two straight lines are arranged at an angle of ⁇ 30 degrees or more and 30 degrees or less.
  • vertical means a state in which two straight lines are arranged at an angle of 80 degrees or more and 100 degrees or less. Therefore, the case of 85 degrees or more and 95 degrees or less is also included.
  • generally vertical means a state in which two straight lines are arranged at an angle of 60 degrees or more and 120 degrees or less.
  • a metal oxide is a metal oxide in a broad sense. Metal oxides are classified into oxide insulators, oxide conductors (including transparent oxide conductors), oxide semiconductors (Oxide Semiconductor or simply OS), and the like. For example, when a metal oxide is used for a semiconductor layer of a transistor, the metal oxide may be referred to as an oxide semiconductor. That is, when the term “OS transistor” is used, it can be referred to as a transistor including a metal oxide or an oxide semiconductor.
  • normally-off means that when a potential is not applied to the gate or a ground potential is applied to the gate, the drain current per 1 ⁇ m of the channel width flowing in the transistor is 1 ⁇ 10 ⁇ at room temperature. It means 20 A or less, 1 ⁇ 10 ⁇ 18 A or less at 85° C., or 1 ⁇ 10 ⁇ 16 A or less at 125° C.
  • FIG. 1A to 1D are a top view and a cross-sectional view of a semiconductor device including a transistor 200.
  • FIG. 1A is a top view of the semiconductor device.
  • 1B to 1D are cross-sectional views of the semiconductor device.
  • FIG. 1B is a cross-sectional view of a portion indicated by dashed-dotted line A1-A2 in FIG. 1A and also a cross-sectional view of the transistor 200 in the channel length direction.
  • 1C is a cross-sectional view of a portion indicated by dashed-dotted line A3-A4 in FIG. 1A and is also a cross-sectional view of the transistor 200 in the channel width direction.
  • FIG. 1D is a cross-sectional view of a portion indicated by an alternate long and short dash line of A5-A6 in FIG. 1A. In the top view of FIG. 1A, some elements are omitted for the sake of clarity.
  • a semiconductor device of one embodiment of the present invention includes an insulator 211 over a substrate (not shown), an insulator 212 over the insulator 211, an insulator 214 over the insulator 212, and a transistor 200 over the insulator 214. And an insulator 280 over the transistor 200, an insulator 282 over the insulator 280, an insulator 283 over the insulator 282, and an insulator 284 over the insulator 283.
  • the insulator 211, the insulator 212, the insulator 214, the insulator 280, the insulator 282, the insulator 283, and the insulator 284 function as an interlayer film.
  • the conductor 240 (the conductor 240a and the conductor 240b) which is electrically connected to the transistor 200 and serves as a plug is included.
  • the insulator 241 (the insulator 241a and the insulator 241b) is provided in contact with the side surface of the conductor 240 which functions as a plug.
  • a conductor 246 (a conductor 246a and a conductor 246b) which is electrically connected to the conductor 240 and serves as a wiring is provided over the insulator 284 and the conductor 240.
  • An insulator 286 is provided over the conductor 246 and the insulator 284.
  • the insulator 241a is provided in contact with the inner walls of the openings of the insulator 272, the insulator 273, the insulator 280, the insulator 282, the insulator 283, and the insulator 284, and the conductor 240a is provided in contact with the side surface of the insulator 241a.
  • the first conductor is provided, and the second conductor of the conductor 240a is further provided inside.
  • the insulator 241b is provided in contact with the inner walls of the openings of the insulator 272, the insulator 273, the insulator 280, the insulator 282, the insulator 283, and the insulator 284, and the conductor is provided in contact with the side surface of the insulator 241b.
  • the first conductor of 240b is provided, and the second conductor of the conductor 240b is provided further inside.
  • the height of the upper surface of the conductor 240 and the height of the upper surface of the insulator 284 in a region overlapping with the conductor 246 can be approximately the same.
  • the transistor 200 has a structure in which the first conductor of the conductor 240 and the second conductor of the conductor 240 are stacked, the present invention is not limited to this.
  • the conductor 240 may have a single-layer structure or a stacked structure including three or more layers. When the structure has a laminated structure, an ordinal number may be given in order of formation to distinguish them.
  • the transistor 200 includes an insulator 216 over an insulator 214, an insulator 214, or a conductor 205 (a conductor 205a and a conductor 205) provided so as to be embedded in the insulator 216.
  • the insulator 272 is in contact with the side surface of the body 242b and the top surface of the conductor 242b, and the insulator 273 over the insulator 272.
  • the oxide 230c is in contact with the side surface of the conductor 242a and the side surface of the conductor 242b, respectively.
  • the upper surface of the conductor 260 is arranged so as to substantially match the upper surfaces of the insulator 250 and the oxide 230c.
  • the insulator 282 is in contact with the top surfaces of the conductor 260, the insulator 250, the oxide 230c, and the insulator 280, respectively.
  • An opening reaching the oxide 230b is provided in the insulator 280, the insulator 273, and the insulator 272.
  • the oxide 230c, the insulator 250, and the conductor 260 are arranged in the opening. Further, in the channel length direction of the transistor 200, the conductor 260, the insulator 250, and the oxide 230c are provided between the conductor 242a and the conductor 242b.
  • the insulator 250 has a region overlapping with a side surface of the conductor 260 and a region overlapping with a bottom surface of the conductor 260.
  • the oxide 230c has a region in contact with the oxide 230b, a region overlapping with a side surface of the conductor 260 with the insulator 250 interposed therebetween, and a region overlapping with a bottom surface of the conductor 260 with the insulator 250 interposed therebetween.
  • the oxide 230 is disposed over the insulator 224, the oxide 230b, the oxide 230b, the oxide 230b, the oxide 230b, and the oxide 230b. And the oxide 230c in contact therewith.
  • the oxide 230a under the oxide 230b, diffusion of impurities into the oxide 230b from a structure formed below the oxide 230a can be suppressed.
  • the oxide 230c over the oxide 230b, diffusion of impurities into the oxide 230b from a structure formed above the oxide 230c can be suppressed.
  • the oxide 230 has a structure in which three layers of the oxide 230a, the oxide 230b, and the oxide 230c are stacked; however, the present invention is not limited to this.
  • a single layer of the oxide 230b, a two-layer structure of the oxide 230a and the oxide 230b, a two-layer structure of the oxide 230b and the oxide 230c, or a stacked structure of four or more layers may be provided.
  • Each of the object 230a, the oxide 230b, and the oxide 230c may have a laminated structure.
  • the conductor 260 functions as a first gate (also referred to as a top gate) electrode, and the conductor 205 functions as a second gate (also referred to as a back gate) electrode.
  • the insulator 250 functions as a first gate insulator, and the insulator 222 and the insulator 224 function as a second gate insulator.
  • the conductor 242a functions as one of a source and a drain, and the conductor 242b functions as the other of a source and a drain.
  • the oxide 230 functions as a channel formation region.
  • a metal oxide functioning as a semiconductor (hereinafter also referred to as an oxide semiconductor) is preferably used for the oxide 230 including the channel formation region (the oxide 230a, the oxide 230b, and the oxide 230c). ..
  • the metal oxide that functions as a semiconductor preferably has a band gap of 2 eV or more, more preferably 2.5 eV or more. By using a metal oxide having a wide band gap in this manner, off-state current of the transistor can be reduced.
  • a transistor using a metal oxide in a channel formation region has a very small leak current in a non-conduction state, so that a semiconductor device with low power consumption can be provided. Since the metal oxide can be formed by a sputtering method or the like, it can be used for a transistor included in a highly integrated semiconductor device.
  • an oxide semiconductor When an oxide semiconductor is used for a channel formation region of a transistor, it is preferable to use an i-type (intrinsic) or substantially i-type oxide semiconductor having a low carrier concentration.
  • an oxide semiconductor having a low carrier concentration in a channel formation region of a transistor By using an oxide semiconductor having a low carrier concentration in a channel formation region of a transistor, off-state current of the transistor can be suppressed low and reliability of the transistor can be improved.
  • the oxide is present between the oxide 230b and the conductive layer 242b or is oxidized.
  • a low resistance region may be partially formed in the vicinity of the surface of the object 230b. That is, the element may serve as an impurity in the oxide semiconductor.
  • impurities or impurities hydrogen, nitrogen, metal elements, or the like
  • that enter oxygen vacancies function as donors in the low-resistance region, and the carrier concentration might increase.
  • the transistor is likely to have normally-on characteristics (a characteristic that a channel exists and current flows in the transistor even if voltage is not applied to the gate electrode).
  • the above impurities are removed, a low resistance region near the surface of the oxide 230b is reduced, and generation of a parasitic channel is suppressed.
  • the groove portion in the oxide 230b the effective channel length becomes longer than the channel length of the transistor in plan view, which might lead to reduction in on-state current and field-effect mobility of the transistor.
  • a groove be provided in the oxide 230b and the oxide 230c serving as a main carrier path be embedded in the oxide 230b in a cross-sectional view in the channel length direction of the transistor.
  • the oxide 230c is arranged so as to cover the inner wall (side wall and bottom surface) of the groove. Further, the film thickness of the oxide 230c is preferably about the same as the depth of the groove.
  • a channel is formed in the oxide 230c, and an effective channel length can be approximately equal to the channel length of the transistor in plan view.
  • the on-current and field effect mobility of the transistor can be increased. Therefore, a semiconductor device with a large on-current can be provided.
  • the above impurities can be removed, and a semiconductor device with less variation in transistor characteristics and favorable reliability can be provided.
  • FIG. 2A is an enlarged cross-sectional view of the transistor 200 shown in FIG. 1B and the vicinity thereof.
  • the depth of the groove provided in the oxide 230b is D1 in the cross-sectional view of the transistor in the channel length direction. Note that the depth D1 is also a difference between the top surface of the oxide 230b in a region overlapping with the conductor 242a or the conductor 242b and the top surface of the oxide 230b in a region overlapping with the conductor 260.
  • the depth D1 is typically greater than 0 nm and 10 nm or less, preferably 1 nm or more and 7 nm or less, and more preferably 2 nm or more and 5 nm or less.
  • the thickness (film thickness) of the oxide 230c in a region overlapping with the conductor 260 is D2 in a cross-sectional view in the channel length direction of the transistor.
  • the thickness D2 is typically 0.5 nm or more and 7 nm or less, preferably 1 nm or more and 5 nm or less, and more preferably 2 nm or more and 4 nm or less.
  • the insulating functioning as the first gate insulator is obtained.
  • the channel formation region formed between the source and the drain has a concave or U-shaped shape.
  • the channel formation region formed between the source and the drain has a flat shape.
  • the top surface of the oxide 230c in a region overlapping with the conductor 260 may be higher than the bottom surface of the conductor 242a or the conductor 242b.
  • the insulator 250 may be arranged so as to cover the inner wall of the groove via the oxide 230c depending on the depth of the groove. Further, the conductor 260 may be arranged so as to fill the groove portion with the oxide 230c and the insulator 250 interposed therebetween.
  • the side wall of the groove may be substantially aligned with the side wall of the opening.
  • a curved surface may be provided between a side surface of the oxide 230b and an upper surface of the oxide 230b. That is, the edge of the side surface and the edge of the upper surface may be curved (hereinafter, also referred to as round shape).
  • the radius of curvature on the curved surface is preferably larger than 0 nm and smaller than the film thickness of the oxide 230b in the region overlapping with the conductor 242, or smaller than half the length of the region without the curved surface.
  • the radius of curvature on the curved surface is greater than 0 nm and 20 nm or less, preferably 1 nm or more and 15 nm or less, and more preferably 2 nm or more and 10 nm or less.
  • an In-M-Zn oxide containing indium, element M, and zinc (the element M is aluminum, gallium, yttrium, tin, copper, vanadium, beryllium, boron, titanium, iron, nickel, germanium).
  • the oxide 230 an In—Ga oxide, an In—Zn oxide, or an indium oxide may be used.
  • the oxide 230 preferably has a laminated structure of a plurality of oxide layers having different chemical compositions.
  • the atomic ratio of the element M to the metal element serving as the main component of the metal oxide used for the oxide 230b corresponds to that of the element M to the metal element serving as the main component. It is preferably larger than the atomic number ratio.
  • the atomic ratio of the element M to In is preferably higher than the atomic ratio of the element M to In in the metal oxide used for the oxide 230b.
  • the atomic ratio of In to the element M is preferably higher than the atomic ratio of In to the element M in the metal oxide used for the oxide 230a.
  • the atomic ratio of indium to the metal element which is a main component in the oxide 230c is the number of indium atoms to the metal element which is a main component in the oxide 230b. It is preferably larger than the ratio.
  • the atomic ratio of indium to the metal element which is the main component is made higher than the atomic ratio of indium to the metal element which is the main component in the oxide 230b, so that the oxide 230c becomes a carrier.
  • the bottom of the conduction band of the oxide 230c be farther from the vacuum level than the bottoms of the conduction bands of the oxides 230a and 230b.
  • the electron affinity of the oxide 230c is preferably higher than the electron affinity of the oxide 230a and the oxide 230b.
  • the main path of carriers is the oxide 230c.
  • Vsh shift voltage measured by a +GBT (Gate Bias Temperature) stress test of the transistor.
  • ⁇ Vsh may shift in the negative direction over time. Further, ⁇ Vsh may exhibit a behavior that it does not fluctuate in the ⁇ direction (for example, the negative direction) but fluctuates in both the negative direction and the positive direction. In this specification and the like, the above-mentioned behavior may be referred to as a jagged behavior of ⁇ Vsh in the +GBT stress test.
  • ⁇ Vsh is reduced, jagged behavior of ⁇ Vsh is suppressed, and reliability of the transistor is improved. It is possible to improve the sex.
  • the oxide 230b and the oxide 230c preferably have crystallinity.
  • a CAAC-OS c-axis aligned crystalline oxide semiconductor
  • An oxide having crystallinity such as CAAC-OS has few impurities and defects (such as oxygen vacancies) and has a high crystallinity and a dense structure. Therefore, extraction of oxygen from the oxide 230b by the source electrode or the drain electrode can be suppressed. Accordingly, extraction of oxygen from the oxide 230b can be reduced even when heat treatment is performed, so that the transistor 200 is stable against a high temperature (so-called thermal budget) in a manufacturing process.
  • CAAC-OS As the oxide 230c, it is preferable to use CAAC-OS as the oxide 230c, and it is preferable that a c-axis of a crystal included in the oxide 230c is oriented substantially perpendicular to a formation surface or an upper surface of the oxide 230c.
  • the CAAC-OS has a property of easily moving oxygen in a direction perpendicular to the c-axis. Therefore, the oxygen contained in the oxide 230c can be efficiently supplied to the oxide 230b.
  • the crystallinity of the oxide 230c may be low.
  • the indium oxide film and the In-M-Zn oxide film having a low content of the element M and zinc may become a polycrystalline film by increasing the crystallinity.
  • the polycrystalline film has a crystal grain boundary, and the crystal grain boundary serves as a defect level and may serve as a carrier trap or a carrier generation source. Therefore, a transistor including a polycrystalline In-M-Zn oxide has large variation in electric characteristics and may have low reliability.
  • the lower end of the conduction band changes gently at the junction of the oxide 230a, the oxide 230b, and the oxide 230c.
  • the bottoms of the conduction bands at the junctions of the oxide 230a, the oxide 230b, and the oxide 230c are continuously changed or continuously joined.
  • the oxide 230a and the oxide 230b and the oxide 230b and the oxide 230c have a common element as a main component in addition to oxygen, whereby a mixed layer with low defect level density can be formed. ..
  • the oxide 230b is an In-M-Zn oxide
  • the oxide 230a and the oxide 230c are In-M-Zn oxide, M-Zn oxide, oxide of element M, and In-Zn oxide.
  • indium oxide or the like may be used.
  • a metal oxide having a composition in the vicinity thereof may be used.
  • a metal oxide having a composition may be used as the oxide 230b.
  • a metal oxide or indium oxide having a composition may be used.
  • the composition in the vicinity includes a range of ⁇ 30% of a desired atomic number ratio. Further, it is preferable to use gallium as the element M.
  • the atomic ratio described above is not limited to the atomic ratio of the formed metal oxide and the atomic ratio of a sputtering target used for forming the metal oxide. May be
  • the oxide 230a, the oxide 230b, and the oxide 230c having the above structure, the density of defect states at the interface between the oxide 230a and the oxide 230b and the interface between the oxide 230b and the oxide 230c is low. can do. Therefore, the influence of interface scattering on carrier conduction is reduced, and the transistor 200 can have high on-state current and high frequency characteristics.
  • the oxide 230c may have a laminated structure of two or more layers.
  • the oxide 230c may include the oxide 230c1 and the oxide 230c2 disposed over the oxide 230c1.
  • the oxide 230c2 preferably contains at least one of the metal elements constituting the metal oxide used for the oxide 230c1, and more preferably contains all the metal elements.
  • an In-M-Zn oxide, an In-Zn oxide, or an indium oxide is used as the oxide 230c1
  • an In-M-Zn oxide, an M-Zn oxide, or the element M is used as the oxide 230c2. It is preferable to use the oxide of. Accordingly, the density of defect states at the interface between the oxide 230c1 and the oxide 230c2 can be reduced.
  • the bottom of the conduction band of the oxide 230c2 is closer to the vacuum level than the bottom of the conduction band of the oxide 230c1.
  • the electron affinity of the oxide 230c2 is preferably smaller than that of the oxide 230c1.
  • the oxide 230c2 is preferably a metal oxide that can be used for the oxide 230a or the oxide 230b. At this time, the main path of carriers is the oxide 230c.
  • the oxide 230c2 is preferably a metal oxide that suppresses diffusion or permeation of oxygen as compared with the oxide 230c1.
  • oxygen contained in the insulator 280 can be prevented from diffusing into the insulator 250. Therefore, the oxygen can be efficiently supplied to the oxide 230b through the oxide 230c1.
  • the atomic ratio of In to the metal element serving as the main component is smaller than the atomic ratio of In to the metal element serving as the main component in the metal oxide used for the oxide 230c1.
  • In can be suppressed from diffusing to the insulator 250 side.
  • the insulator 250 functions as a gate insulator; therefore, when In is mixed in the insulator 250 or the like, the characteristics of the transistor are deteriorated. Therefore, by providing the oxide 230c2 between the oxide 230c1 and the insulator 250, a highly reliable semiconductor device can be provided.
  • the insulator 211, the insulator 212, the insulator 214, the insulator 272, the insulator 273, the insulator 282, the insulator 283, the insulator 284, and the insulator 286 contain impurities such as water and hydrogen from the substrate side. Alternatively, it preferably functions as a barrier insulating film which suppresses diffusion from above the transistor 200 into the transistor 200. Therefore, the insulator 211, the insulator 212, the insulator 214, the insulator 272, the insulator 273, the insulator 282, the insulator 283, the insulator 284, and the insulator 286 are hydrogen atoms, hydrogen molecules, water molecules, and nitrogen.
  • an insulating material having a function of suppressing diffusion of impurities such as atoms, nitrogen molecules, nitric oxide molecules (N 2 O, NO, NO 2, etc.), and copper atoms (the above impurities are difficult to permeate).
  • impurities such as atoms, nitrogen molecules, nitric oxide molecules (N 2 O, NO, NO 2, etc.), and copper atoms (the above impurities are difficult to permeate).
  • an insulating material having a function of suppressing diffusion of oxygen eg, at least one of oxygen atoms and oxygen molecules
  • silicon nitride or the like is used for the insulator 211, the insulator 212, the insulator 283, and the insulator 284, and aluminum oxide or the like is used for the insulator 214, the insulator 272, the insulator 273, and the insulator 282.
  • impurities such as water and hydrogen can be suppressed from diffusing from the substrate side to the transistor 200 side through the insulator 211, the insulator 212, and the insulator 214.
  • oxygen contained in the insulator 224 or the like can be suppressed from diffusing to the substrate side through the insulator 211, the insulator 212, and the insulator 214.
  • the transistor 200 is insulated from the insulator 211, the insulator 212, the insulator 214, the insulator 272, the insulator 273, the insulator 282, and the insulator which have a function of suppressing diffusion of impurities such as water and hydrogen, and oxygen. It is preferable that the structure surrounds the body 283 and the insulator 284.
  • the resistivity of the insulator 211, the insulator 284, and the insulator 286 it may be preferable to reduce the resistivity of the insulator 211, the insulator 284, and the insulator 286.
  • the resistivity of the insulator 211, the insulator 284, and the insulator 286 is preferably 1 ⁇ 10 10 ⁇ cm or more and 1 ⁇ 10 15 ⁇ cm or less.
  • the insulator 211 or the insulator 212 may not necessarily be provided, and the insulator 283 or the insulator 284 may not necessarily be provided.
  • the insulator 212 and the insulator 284 are formed by a chemical vapor deposition (CVD Chemical Deposition) method using a compound gas that does not contain hydrogen atoms or has a small hydrogen atom content.
  • CVD Chemical Deposition chemical vapor deposition
  • the insulators 216 and 280 preferably have a lower dielectric constant than the insulator 214.
  • a material having a low dielectric constant as the interlayer film, it is possible to reduce the parasitic capacitance generated between the wirings.
  • silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide added with fluorine, silicon oxide added with carbon, silicon oxide added with carbon and nitrogen, empty Silicon oxide having holes may be used as appropriate.
  • the conductor 205 may function as the second gate electrode.
  • the threshold voltage (Vth) of the transistor 200 can be controlled by changing the potential applied to the conductor 205 independently of the potential applied to the conductor 260, without changing the potential.
  • Vth of the transistor 200 can be further increased and off-state current can be reduced. Therefore, when a negative potential is applied to the conductor 205, the drain current when the potential applied to the conductor 260 is 0 V can be smaller than when no potential is applied.
  • the conductor 205 is arranged so as to overlap with the oxide 230 and the conductor 260. Further, the conductor 205 is preferably provided by being embedded in the insulator 214 or the insulator 216.
  • the conductor 205 is preferably provided larger than the size of a region of the oxide 230 which does not overlap with the conductor 242a and the conductor 242b.
  • the conductor 205 is preferably extended also in a region outside the end portion of the oxide 230 which intersects with the channel width direction. That is, it is preferable that the conductor 205 and the conductor 260 overlap with each other with the insulator provided outside the side surface of the oxide 230 in the channel width direction.
  • the electric field of the conductor 260 functioning as the first gate electrode and the electric field of the conductor 205 functioning as the second gate electrode electrically surrounds the channel formation region of the oxide 230.
  • a structure of a transistor in which a channel formation region is electrically surrounded by an electric field of a first gate and a second gate is referred to as a surrounded channel (S-channel) structure.
  • a transistor having an S-channel structure refers to a transistor structure in which a channel formation region is electrically surrounded by electric fields of one and the other of a pair of gate electrodes.
  • the side surface and the periphery of the oxide 230 which is in contact with the conductors 242a and 242b functioning as a source electrode and a drain electrode are i-type like the channel formation region. It has characteristics.
  • the side surface and the periphery of the oxide 230 which is in contact with the conductor 242a and the conductor 242b is in contact with the insulator 280 and thus can be i-type like the channel formation region.
  • type I can be treated as the same as high-purity intrinsic which will be described later.
  • the S-channel structure disclosed in this specification and the like is different from the Fin-type structure and the planar-type structure. By adopting the S-channel structure, resistance to a short channel effect can be increased, that is, a transistor in which a short channel effect is hard to occur can be obtained.
  • the conductor 205 is extended so that it also functions as a wiring.
  • the present invention is not limited to this, and a conductor functioning as a wiring may be provided below the conductor 205. Further, it is not always necessary to provide one conductor 205 for each transistor. For example, the conductor 205 may be shared by a plurality of transistors.
  • the conductor 205 has a structure in which the conductor 205a and the conductor 205b are stacked; however, the present invention is not limited to this.
  • the conductor 205 may have a single-layer structure or a stacked structure including three or more layers.
  • an ordinal number may be given in order of formation to distinguish them.
  • the conductor 205a has a function of suppressing diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitric oxide molecules (N 2 O, NO, NO 2, etc.), and copper atoms. It is preferable to use a conductive material that has. Alternatively, a conductive material having a function of suppressing diffusion of oxygen (eg, at least one of oxygen atoms and oxygen molecules) is preferably used.
  • the conductor 205a By using a conductive material having a function of suppressing diffusion of oxygen for the conductor 205a, it is possible to prevent the conductivity of the conductor 205b from being reduced by oxidation.
  • a conductive material having a function of suppressing diffusion of oxygen for example, tantalum, tantalum nitride, ruthenium, ruthenium oxide, or the like is preferably used. Therefore, the conductor 205a may be a single layer or a stacked layer of the above conductive material.
  • the conductor 205a may be a stack of tantalum, tantalum nitride, ruthenium, or ruthenium oxide and titanium or titanium nitride.
  • the conductor 205b is illustrated as a single layer, it may have a laminated structure, for example, a laminate of titanium or titanium nitride and the conductive material.
  • the insulator 222 and the insulator 224 function as a gate insulator.
  • the insulator 222 preferably has a function of suppressing diffusion of hydrogen (for example, at least one of hydrogen atoms and hydrogen molecules).
  • the insulator 222 preferably has a function of suppressing diffusion of oxygen (eg, at least one of oxygen atoms and oxygen molecules).
  • the insulator 222 preferably has a function of suppressing diffusion of one or both of hydrogen and oxygen as compared with the insulator 224.
  • an insulator containing an oxide of one or both of aluminum and hafnium, which are insulating materials, may be used.
  • aluminum oxide, hafnium oxide, an oxide containing aluminum and hafnium (hafnium aluminate), or the like is preferably used.
  • the insulator 222 releases oxygen from the oxide 230 to the substrate side and diffuses impurities such as hydrogen from the peripheral portion of the transistor 200 to the oxide 230. Function as a layer that suppresses Therefore, by providing the insulator 222, diffusion of impurities such as hydrogen into the inside of the transistor 200 and generation of oxygen vacancies in the oxide 230 can be suppressed.
  • the conductor 205 can be prevented from reacting with the insulator 224 and oxygen contained in the oxide 230.
  • aluminum oxide, bismuth oxide, germanium oxide, niobium oxide, silicon oxide, titanium oxide, tungsten oxide, yttrium oxide, or zirconium oxide may be added to the above insulator.
  • these insulators may be nitrided.
  • the insulator 222 may be formed by stacking silicon oxide, silicon oxynitride, or silicon nitride on these insulators.
  • the insulator 222 is made of, for example, aluminum oxide, hafnium oxide, tantalum oxide, zirconium oxide, lead zirconate titanate (PZT), strontium titanate (SrTiO 3 ), (Ba,Sr)TiO 3 (BST), or the like.
  • An insulator including a so-called high-k material may be used in a single layer or a stacked layer. As transistors become finer and more highly integrated, thinning of the gate insulator may cause problems such as leakage current. By using a high-k material for the insulator functioning as a gate insulator, it is possible to reduce the gate potential during transistor operation while maintaining the physical film thickness.
  • the insulator 224 in contact with the oxide 230 desorb oxygen by heating.
  • the insulator 224 may be formed using silicon oxide, silicon oxynitride, or the like as appropriate.
  • an oxide material from which part of oxygen is released by heating that is, an insulator material having an excess oxygen region is preferably used.
  • An oxide film that desorbs oxygen by heating means that the amount of desorbed oxygen molecules is 1.0 ⁇ 10 18 molecules/cm 3 or more, preferably 1.0 ⁇ 10 19 molecules, in TDS (Thermal Desorption Spectroscopy) analysis. /Cm 3 or more, more preferably 2.0 ⁇ 10 19 molecules/cm 3 or more, or 3.0 ⁇ 10 20 molecules/cm 3 or more.
  • the surface temperature of the film during the TDS analysis is preferably 100° C. or higher and 700° C. or lower, or 100° C. or higher and 400° C. or lower.
  • one or more treatments of heat treatment, microwave treatment, and RF treatment may be performed by contacting the oxide 230 with the insulator having the excess oxygen region.
  • water or hydrogen in the oxide 230 can be removed.
  • a reaction bond defects that contains hydrogen to an oxygen vacancy (V O H) is cut occurs, a reaction occurs that when other words "V O H ⁇ V O + H", dehydrogenation Can be converted.
  • Part of the hydrogen generated at this time may be combined with oxygen and converted into H 2 O, which is removed from the oxide 230 or the insulator in the vicinity of the oxide 230.
  • part of hydrogen may be diffused or captured (also referred to as gettering) in the conductor 242.
  • the microwave treatment it is preferable to use, for example, a device having a power source for generating high-density plasma or a device having a power source for applying RF to the substrate side.
  • a gas containing oxygen and using high-density plasma high-density oxygen radicals can be generated, and by applying RF to the substrate side, oxygen radicals generated by high-density plasma can be generated.
  • the pressure may be 133 Pa or higher, preferably 200 Pa or higher, more preferably 400 Pa or higher.
  • oxygen and argon are used, and the oxygen flow rate ratio (O 2 /(O 2 +Ar)) is 50% or less, preferably 10% or more 30 % Or less is recommended.
  • heat treatment is preferably performed with the surface of the oxide 230 exposed.
  • the heat treatment may be performed at 100 °C to 450 °C inclusive, more preferably 350 °C to 400 °C inclusive, for example.
  • the heat treatment is performed in an atmosphere of nitrogen gas or an inert gas, or an atmosphere containing an oxidizing gas in an amount of 10 ppm or higher, 1% or higher, or 10% or higher.
  • the heat treatment is preferably performed in an oxygen atmosphere. Accordingly, oxygen can be supplied to the oxide 230 to reduce oxygen vacancies (V 2 O 3 ).
  • the heat treatment may be performed under reduced pressure.
  • the heat treatment may be performed in an atmosphere containing an oxidizing gas in an amount of 10 ppm or higher, 1% or higher, or 10% or higher in order to supplement desorbed oxygen after the heat treatment is performed in a nitrogen gas or inert gas atmosphere.
  • heat treatment may be performed in an atmosphere containing an oxidizing gas at 10 ppm or higher, 1% or higher, or 10% or higher, and then heat treatment may be continuously performed in a nitrogen gas or inert gas atmosphere.
  • the insulator 222 and the insulator 224 may have a laminated structure of two or more layers.
  • the laminated structure is not limited to the same material, and may be a laminated structure made of different materials.
  • the conductor 242 (the conductor 242a and the conductor 242b) is provided on the oxide 230b.
  • the conductor 242a and the conductor 242b each function as a source electrode or a drain electrode of the transistor 200.
  • a nitride containing tantalum for example, a nitride containing tantalum, a nitride containing titanium, a nitride containing molybdenum, a nitride containing tungsten, a nitride containing tantalum and aluminum
  • a nitride containing titanium and aluminum it is preferable to use a nitride containing titanium and aluminum.
  • nitride containing tantalum is particularly preferable.
  • ruthenium oxide, ruthenium nitride, an oxide containing strontium and ruthenium, an oxide containing lanthanum and nickel, or the like may be used. These materials are preferable because they are conductive materials that are difficult to oxidize or materials that maintain conductivity even when absorbing oxygen.
  • oxygen in the oxide 230b or the oxide 230c may diffuse into the conductor 242 and the conductor 242 may be oxidized. Oxidation of the conductor 242 is likely to reduce the conductivity of the conductor 242. Note that diffusion of oxygen in the oxide 230b or the oxide 230c to the conductor 242 can be restated as absorption of the oxygen in the oxide 230b or the oxide 230c by the conductor 242.
  • Oxygen in the oxide 230b or the oxide 230c diffuses into the conductor 242a and the conductor 242b, so that the conductor 242a and the oxide 230b are separated from each other and the conductor 242b and the oxide 230b are separated from each other.
  • a layer may be formed between the conductor 242a and the oxide 230c and between the conductor 242b and the oxide 230c. Since the layer contains more oxygen than the conductor 242a or the conductor 242b, it is presumed that the layer has an insulating property.
  • the three-layer structure of the conductor 242a or the conductor 242b, the layer, and the oxide 230b or the oxide 230c can be regarded as a three-layer structure including metal-insulator-semiconductor, and MIS (Metal). It can be regarded as a diode junction structure mainly including a -Insulator-Semiconductor structure or a MIS structure.
  • hydrogen contained in the oxide 230b, the oxide 230c, or the like might diffuse into the conductor 242a or the conductor 242b.
  • hydrogen contained in the oxide 230b, the oxide 230c, or the like easily diffuses into the conductor 242a or the conductor 242b, and the diffused hydrogen is diffused. May combine with nitrogen contained in the conductor 242a or the conductor 242b. That is, hydrogen contained in the oxide 230b, the oxide 230c, or the like might be absorbed by the conductor 240a or the conductor 242b.
  • a curved surface between the side surface of the conductor 242 and the upper surface of the conductor 242. That is, the side end and the upper end may be curved.
  • the curved surface has, for example, a radius of curvature of 3 nm or more and 10 nm or less, preferably 5 nm or more and 6 nm or less at the end portion of the conductor 242.
  • the insulator 272 is provided in contact with the top surface of the conductor 242 and preferably functions as a barrier layer. With such a structure, absorption of excess oxygen in the insulator 280 by the conductor 242 can be suppressed. Further, by suppressing the oxidation of the conductor 242, an increase in contact resistance between the transistor 200 and the wiring can be suppressed. Therefore, the transistor 200 can have favorable electrical characteristics and reliability.
  • the insulator 272 has a function of suppressing diffusion of oxygen.
  • the insulator 272 preferably has a function of suppressing diffusion of oxygen as compared with the insulator 280.
  • an insulator containing an oxide of one or both of aluminum and hafnium may be formed.
  • an insulator containing aluminum nitride may be used.
  • oxygen can be supplied to the insulator 224 when the insulator 272 is formed. Since the insulator 224 is sealed by the insulator 272 and the insulator 273, oxygen supplied to the insulator 224 can be prevented from diffusing outward and can be efficiently supplied to the oxide 230. .. Further, hydrogen in the insulator 224 may be absorbed by the insulator 273, which is preferable.
  • the insulator 272 and the insulator 273 may not be provided, and an insulator functioning as a barrier layer may be provided between the top surface of the conductor 242 and the insulator 280.
  • an insulator functioning as a barrier layer may be provided between the top surface of the conductor 242 and the insulator 280.
  • the insulator has a function of suppressing diffusion of oxygen.
  • the insulator preferably has a function of suppressing diffusion of oxygen as compared with the insulator 280.
  • an insulator containing an oxide of one or both of aluminum and hafnium may be formed.
  • an aluminum oxide film by an atomic layer deposition (ALD: Atomic Layer Deposition) method.
  • ALD Atomic Layer Deposition
  • a dense film can be formed in which defects such as cracks and pinholes are reduced or which has a uniform thickness.
  • an insulator containing aluminum nitride may be used.
  • the insulator 250 functions as a gate insulator.
  • the insulator 250 is preferably provided in contact with at least part of the oxide 230c.
  • silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, fluorine-added silicon oxide, carbon-added silicon oxide, carbon-nitrogen-added silicon oxide, vacant silicon oxide, or the like is used.
  • silicon oxide and silicon oxynitride are preferable because they are stable to heat.
  • the insulator 250 is preferably formed using an insulator from which oxygen is released by heating.
  • an insulator from which oxygen is released by heating As the insulator 250 in contact with at least part of the oxide 230c, oxygen is effectively supplied to the channel formation region of the oxide 230b and the oxide 230b is removed. Oxygen deficiency in the channel formation region can be reduced. Therefore, it is possible to provide a transistor which suppresses variation in electric characteristics, has stable electric characteristics, and has improved reliability.
  • the concentration of impurities such as water and hydrogen in the insulator 250 be reduced.
  • the thickness of the insulator 250 is preferably 1 nm or more and 20 nm or less.
  • the insulator 250 is illustrated as a single layer in FIGS. 1B and 1C, it may have a laminated structure of two or more layers.
  • the lower layer of the insulator 250 is formed using an insulator from which oxygen is released by heating, and the upper layer of the insulator 250 has a function of suppressing diffusion of oxygen. It is preferable to use an insulator that has. With such a structure, diffusion of oxygen contained in the lower layer of the insulator 250 to the conductor 260 can be suppressed. That is, a decrease in the amount of oxygen supplied to the oxide 230 can be suppressed.
  • the lower layer of the insulator 250 can be provided using a material that can be used for the insulator 250 described above, and the upper layer of the insulator 250 can be provided using a material similar to that of the insulator 222.
  • an insulating material which is a high-k material with a high relative dielectric constant may be used for the upper layer of the insulator 250.
  • the gate insulator has a stacked structure of a lower layer of the insulator 250 and an upper layer of the insulator 250, a stacked structure having high heat stability and a high relative dielectric constant can be obtained. Therefore, the gate potential applied during the operation of the transistor can be reduced while maintaining the physical film thickness of the gate insulator. Further, it is possible to reduce the equivalent oxide film thickness (EOT) of the insulator that functions as the gate insulator.
  • EOT equivalent oxide film thickness
  • a metal oxide that can be used as the object or the oxide 230 can be used.
  • a metal oxide may be provided between the insulator 250 and the conductor 260.
  • the metal oxide preferably suppresses diffusion of oxygen from the insulator 250 to the conductor 260.
  • oxygen diffusion from the insulator 250 to the conductor 260 is suppressed. That is, a decrease in the amount of oxygen supplied to the oxide 230 can be suppressed.
  • oxidation of the conductor 260 due to oxygen in the insulator 250 can be suppressed.
  • the metal oxide preferably has a function as a part of the first gate electrode.
  • a metal oxide that can be used as the oxide 230 can be used as the above metal oxide.
  • the electric resistance value of the metal oxide can be reduced to form a conductor. This can be called an OC (Oxide Conductor) electrode.
  • the on-current of the transistor 200 can be improved without weakening the influence of the electric field from the conductor 260.
  • the leakage current between the conductor 260 and the oxide 230 can be maintained. Can be suppressed.
  • the physical distance between the conductor 260 and the oxide 230 and the electric field strength applied from the conductor 260 to the oxide 230 can be reduced. It can be easily adjusted appropriately.
  • the conductor 260 functions as the first gate electrode of the transistor 200.
  • the conductor 260 preferably includes a conductor 260a and a conductor 260b provided over the conductor 260a.
  • the conductor 260a is preferably arranged so as to surround the bottom surface and the side surface of the conductor 260b.
  • the top surface of the conductor 260 is substantially aligned with the top surface of the insulator 250 and the top surface of the oxide 230c.
  • the conductor 260 is illustrated as a two-layer structure of the conductor 260a and the conductor 260b, but may have a single-layer structure or a stacked structure of three or more layers.
  • a conductive material having a function of suppressing diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitric oxide molecules, and copper atoms.
  • a conductive material having a function of suppressing diffusion of oxygen eg, at least one of oxygen atoms and oxygen molecules is preferably used.
  • the conductor 260a has a function of suppressing diffusion of oxygen, it is possible to prevent the conductivity of the conductor 260b from being reduced due to the oxygen contained in the insulator 250 from oxidizing the conductor 260b.
  • a conductive material having a function of suppressing diffusion of oxygen for example, tantalum, tantalum nitride, ruthenium, ruthenium oxide, or the like is preferably used.
  • the conductor 260 also functions as a wiring, it is preferable to use a conductor having high conductivity.
  • the conductor 260b can be formed using a conductive material containing tungsten, copper, or aluminum as its main component.
  • the conductor 260b may have a stacked structure, for example, a stacked structure of titanium or titanium nitride and the above conductive material.
  • the conductor 260 is formed in a self-aligned manner so as to fill the opening formed in the insulator 280 or the like.
  • the conductor 260 can be reliably arranged in the region between the conductor 242a and the conductor 242b without alignment.
  • the bottom surface of the region of the conductor 260 where the conductor 260 and the oxide 230b do not overlap is preferably lower than the bottom surface of the oxide 230b.
  • the conductor 260 functioning as a gate electrode covers the side surface and the upper surface of the channel formation region of the oxide 230b with the insulator 250 or the like interposed therebetween, whereby the electric field of the conductor 260 is changed to the channel formation region of the oxide 230b. It becomes easy to act on the whole. Therefore, the on-state current of the transistor 200 can be increased and the frequency characteristics can be improved.
  • the insulator 280 is provided on the insulator 224, the oxide 230, the conductor 242, and the insulator 273. Further, the upper surface of the insulator 280 may be flattened.
  • the insulator 280 functioning as an interlayer film preferably has a low dielectric constant.
  • the insulator 280 is preferably provided using a material similar to that of the insulator 216, for example.
  • silicon oxide and silicon oxynitride are preferable because they are thermally stable.
  • a material such as silicon oxide, silicon oxynitride, or silicon oxide having pores is preferable because a region containing oxygen which is released by heating can be easily formed.
  • the concentration of impurities such as water and hydrogen in the insulator 280 be reduced.
  • the insulator 280 preferably has a low hydrogen concentration and has an excess oxygen region or excess oxygen, and may be provided using a material similar to that of the insulator 216, for example.
  • the insulator 280 may have a structure in which the above materials are stacked, for example, a stacked structure of silicon oxide formed by a sputtering method and silicon oxynitride formed thereover by a CVD method. do it. Further, silicon nitride may be further stacked thereover.
  • the insulator 282 or the insulator 283 preferably functions as a barrier insulating film which suppresses diffusion of impurities such as water and hydrogen from above into the insulator 280. Further, the insulator 282 or the insulator 283 preferably functions as a barrier insulating film which suppresses permeation of oxygen.
  • an insulator such as aluminum oxide, silicon nitride, or silicon nitride oxide may be used, for example.
  • aluminum oxide having a high blocking property with respect to oxygen may be used as the insulator 282
  • silicon nitride having a high blocking property with respect to hydrogen may be used as the insulator 283.
  • the conductor 240a and the conductor 240b it is preferable to use a conductive material containing tungsten, copper, or aluminum as a main component.
  • the conductor 240a and the conductor 240b may have a stacked structure.
  • the insulator 284, the insulator 283, the insulator 282, the insulator 280, the insulator 273, and the conductor in contact with the insulator 272 contain impurities such as water and hydrogen. It is preferable to use a conductive material having a function of suppressing transmission. For example, it is preferable to use tantalum, tantalum nitride, titanium, titanium nitride, ruthenium, ruthenium oxide, or the like. In addition, the conductive material having a function of suppressing permeation of impurities such as water and hydrogen may be used as a single layer or a stacked layer.
  • oxygen added to the insulator 280 can be prevented from being absorbed by the conductors 240a and 240b.
  • impurities such as water and hydrogen contained in a layer above the insulator 284 can be prevented from entering the oxide 230 through the conductors 240a and 240b.
  • an insulator such as silicon nitride, aluminum oxide, or silicon nitride oxide may be used. Since the insulator 241a and the insulator 241b are provided in contact with the insulator 273 and the insulator 272, impurities such as water and hydrogen contained in the insulator 280 and the like are transferred to the oxide 230 through the conductor 240a and the conductor 240b. Mixing can be suppressed.
  • silicon nitride is preferable because it has a high blocking property against hydrogen.
  • oxygen contained in the insulator 280 can be prevented from being absorbed by the conductor 240a and the conductor 240b.
  • the conductors 246 (the conductors 246a and 246b) which function as wirings may be provided in contact with the top surfaces of the conductors 240a and 240b.
  • the conductor 246 is preferably formed using a conductive material containing tungsten, copper, or aluminum as its main component.
  • the conductor may have a laminated structure, for example, a laminate of titanium or titanium nitride and the above conductive material. Note that the conductor may be formed so as to be embedded in the opening provided in the insulator.
  • the insulator 286 is provided on the conductor 246 and the insulator 284. Accordingly, the top surface of the conductor 246 and the side surface of the conductor 246 are in contact with the insulator 286, and the bottom surface of the conductor 246 is in contact with the insulator 284. That is, the conductor 246 can be configured to be surrounded by the insulator 284 and the insulator 286. With such a structure, permeation of oxygen from the outside can be suppressed and oxidation of the conductor 246 can be prevented. In addition, impurities such as water and hydrogen can be prevented from diffusing from the conductor 246 to the outside, which is preferable.
  • ⁇ substrate As a substrate for forming the transistor 200, for example, an insulator substrate, a semiconductor substrate, or a conductor substrate may be used.
  • the insulating substrate include a glass substrate, a quartz substrate, a sapphire substrate, a stabilized zirconia substrate (such as a yttria-stabilized zirconia substrate), and a resin substrate.
  • the semiconductor substrate include a semiconductor substrate made of silicon and germanium, a compound semiconductor substrate made of silicon carbide, silicon germanium, gallium arsenide, indium phosphide, zinc oxide, and gallium oxide.
  • a semiconductor substrate having an insulating region inside the above-described semiconductor substrate for example, an SOI (Silicon On Insulator) substrate.
  • the conductor substrate include a graphite substrate, a metal substrate, an alloy substrate, and a conductive resin substrate.
  • a substrate including a metal nitride, a substrate including a metal oxide, or the like can be given.
  • a substrate in which a conductor or a semiconductor is provided on an insulator substrate a substrate in which a conductor or an insulator is provided in a semiconductor substrate, a substrate in which a semiconductor or an insulator is provided on a conductor substrate, and the like.
  • a substrate provided with an element may be used.
  • the elements provided on the substrate include a capacitance element, a resistance element, a switch element, a light emitting element, a storage element, and the like.
  • the insulator examples include an insulating oxide, a nitride, an oxynitride, a nitrided oxide, a metal oxide, a metal oxynitride, and a metal nitride oxide.
  • the gate insulator may cause problems such as leakage current.
  • a high-k material for the insulator functioning as a gate insulator it is possible to reduce the voltage during transistor operation while maintaining the physical film thickness.
  • a material having a low relative dielectric constant for the insulator functioning as the interlayer film it is possible to reduce the parasitic capacitance generated between the wirings. Therefore, the material may be selected depending on the function of the insulator.
  • gallium oxide, hafnium oxide, zirconium oxide, oxides containing aluminum and hafnium, oxynitrides containing aluminum and hafnium, oxides containing silicon and hafnium, silicon and hafnium, are used. And the like, or a nitride containing silicon and hafnium.
  • silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide added with fluorine, silicon oxide added with carbon, silicon oxide added with carbon and nitrogen, empty Silicon oxide having holes, resin, or the like is used as the insulator having a low relative dielectric constant.
  • a transistor using a metal oxide can have stable electrical characteristics by being surrounded by an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen.
  • an insulator having a function of suppressing the permeation of impurities such as hydrogen and oxygen for example, boron, carbon, nitrogen, oxygen, fluorine, magnesium, aluminum, silicon, phosphorus, chlorine, argon, gallium, germanium, yttrium, zirconium.
  • the insulator containing lanthanum, lanthanum, neodymium, hafnium, or tantalum may be used as a single layer or as a stacked layer.
  • an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen
  • a metal oxide such as tantalum oxide, a metal nitride such as aluminum nitride, silicon nitride oxide, or silicon nitride can be used.
  • the insulator functioning as a gate insulator is preferably an insulator having a region containing oxygen which is released by heating.
  • the structure in which silicon oxide or silicon oxynitride having a region containing oxygen which is released by heating is in contact with the oxide 230, oxygen vacancies in the oxide 230 can be compensated.
  • ⁇ conductor aluminum, chromium, copper, silver, gold, platinum, tantalum, nickel, titanium, molybdenum, tungsten, hafnium, vanadium, niobium, manganese, magnesium, zirconium, beryllium, indium, ruthenium, iridium, strontium, lanthanum. It is preferable to use a metal element selected from the above, an alloy containing the above metal element as a component, an alloy in which the above metal elements are combined, and the like.
  • tantalum nitride, titanium nitride, tungsten, nitride containing titanium and aluminum, nitride containing tantalum and aluminum, ruthenium oxide, ruthenium nitride, oxide containing strontium and ruthenium, oxide containing lanthanum and nickel, and the like are used. It is preferable. Further, tantalum nitride, titanium nitride, nitride containing titanium and aluminum, nitride containing tantalum and aluminum, ruthenium oxide, ruthenium nitride, oxide containing strontium and ruthenium, and oxide containing lanthanum and nickel are difficult to oxidize.
  • a conductive material or a material that maintains conductivity even when absorbing oxygen is preferable.
  • a semiconductor having high electric conductivity which is typified by polycrystalline silicon containing an impurity element such as phosphorus, or silicide such as nickel silicide may be used.
  • a plurality of conductive layers formed of the above materials may be laminated and used.
  • a stacked structure in which the above-described material containing a metal element and a conductive material containing oxygen are combined may be used.
  • a stacked structure in which the above-described material containing a metal element and a conductive material containing nitrogen are combined may be used.
  • a stacked structure in which the above-described material containing a metal element, a conductive material containing oxygen, and a conductive material containing nitrogen are combined may be used.
  • a stacked structure in which a material containing the above metal element and a conductive material containing oxygen are combined is used for a conductor functioning as a gate electrode.
  • a conductive material containing oxygen may be provided on the channel formation region side.
  • a conductive material containing oxygen and a metal element contained in a metal oxide in which a channel is formed as a conductor functioning as a gate electrode.
  • a conductive material containing the above metal element and nitrogen may be used.
  • a conductive material containing nitrogen such as titanium nitride or tantalum nitride may be used.
  • indium tin oxide, indium oxide containing tungsten oxide, indium zinc oxide containing tungsten oxide, indium oxide containing titanium oxide, indium tin oxide containing titanium oxide, indium zinc oxide, and silicon were added.
  • Indium tin oxide may be used.
  • indium gallium zinc oxide containing nitrogen may be used.
  • metal oxide As the oxide 230, a metal oxide (oxide semiconductor) which functions as a semiconductor is preferably used.
  • the metal oxide applicable to the oxide 230 according to the present invention will be described below.
  • the metal oxide preferably contains at least indium or zinc. In particular, it is preferable to contain indium and zinc. In addition to these, it is preferable that aluminum, gallium, yttrium, tin, and the like are contained. Further, one or more selected from boron, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium and the like may be contained.
  • the metal oxide is an In-M-Zn oxide containing indium, the element M, and zinc.
  • the element M is aluminum, gallium, yttrium, or tin.
  • Other elements applicable to the element M include boron, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten and magnesium.
  • the element M it may be acceptable to combine a plurality of the aforementioned elements.
  • metal oxides containing nitrogen may be collectively referred to as metal oxides. Further, the metal oxide containing nitrogen may be referred to as a metal oxynitride.
  • the oxide semiconductor (metal oxide) is classified into a single crystal oxide semiconductor and a non-single crystal oxide semiconductor other than the single crystal oxide semiconductor.
  • a non-single-crystal oxide semiconductor for example, a CAAC-OS, a polycrystalline oxide semiconductor, an nc-OS (nanocrystalline oxide semiconductor), a pseudo-amorphous oxide semiconductor (a-like OS: amorphous-like oxide semiconductor), And an amorphous oxide semiconductor.
  • CAAC-OS has a crystal structure having c-axis orientation and a plurality of nanocrystals connected in the ab plane direction and having strain.
  • the strain refers to a portion in which the orientation of the lattice arrangement is changed between a region where the lattice arrangement is uniform and another region where the lattice arrangement is uniform in the region where the plurality of nanocrystals are connected.
  • Nanocrystals are basically hexagonal, but they are not limited to regular hexagons and may be non-regular hexagons.
  • the strain may have a lattice arrangement such as a pentagon and a heptagon. Note that in the CAAC-OS, it is difficult to confirm a clear crystal grain boundary (grain boundary) even in the vicinity of strain. That is, it is found that the distortion of the lattice arrangement suppresses the formation of crystal grain boundaries. This is because the CAAC-OS can tolerate strain due to the fact that the arrangement of oxygen atoms is not dense in the ab plane direction, the bond distance between atoms changes due to substitution with a metal element, or the like. This is because.
  • the CAAC-OS is a layered crystal in which a layer containing indium and oxygen (hereinafter, an In layer) and a layer containing elements M, zinc, and oxygen (hereinafter, a (M,Zn) layer) are stacked. It tends to have a structure (also called a layered structure). Note that indium and the element M can be replaced with each other, and when the element M of the (M,Zn) layer is replaced with indium, it can be expressed as an (In,M,Zn) layer. When the indium in the In layer is replaced with the element M, it can be expressed as an (In,M) layer.
  • CAAC-OS is a metal oxide with high crystallinity.
  • the CAAC-OS since it is difficult to confirm a clear crystal grain boundary, it can be said that a decrease in electron mobility due to the crystal grain boundary does not easily occur.
  • the crystallinity of a metal oxide may be reduced due to entry of impurities, generation of defects, and the like; therefore, the CAAC-OS can be referred to as a metal oxide with few impurities or defects (such as oxygen vacancies). Therefore, the metal oxide having CAAC-OS has stable physical properties. Therefore, the metal oxide containing CAAC-OS is highly heat resistant and highly reliable.
  • Nc-OS has a periodic atomic arrangement in a minute region (for example, a region of 1 nm or more and 10 nm or less, particularly a region of 1 nm or more and 3 nm or less). Moreover, in the nc-OS, no regularity is found in the crystal orientation between different nanocrystals. Therefore, no orientation is seen in the entire film. Therefore, the nc-OS may be indistinguishable from the a-like OS or the amorphous oxide semiconductor depending on the analysis method.
  • In-Ga-Zn oxide which is a kind of metal oxide containing indium, gallium, and zinc, may have a stable structure by using the above-described nanocrystal. is there.
  • IGZO tends to have difficulty in crystal growth in the atmosphere, and thus a smaller crystal (for example, the above-mentioned nanocrystal) is used than a large crystal (here, a crystal of several mm or a crystal of several cm).
  • a large crystal here, a crystal of several mm or a crystal of several cm.
  • it may be structurally stable.
  • the a-like OS is a metal oxide having a structure between the nc-OS and the amorphous oxide semiconductor.
  • the a-like OS has a void or a low density region. That is, the crystallinity of the a-like OS is lower than that of the nc-OS and the CAAC-OS.
  • Oxide semiconductors have various structures, and each has different characteristics.
  • the oxide semiconductor of one embodiment of the present invention may include two or more of an amorphous oxide semiconductor, a polycrystalline oxide semiconductor, an a-like OS, an nc-OS, and a CAAC-OS.
  • CAC Cloud-Aligned Composite
  • CAC-OS has a conductive function in a part of the material, an insulating function in a part of the material, and a semiconductor function in the whole material. Note that when the CAC-OS is used for an active layer of a transistor, a conductive function is a function of allowing electrons (or holes) serving as carriers to flow, and an insulating function is a function of not allowing electrons serving as carriers to flow. is there.
  • the CAC-OS can be provided with a switching function (On/Off function) by causing the conductive function and the insulating function to act in a complementary manner. By separating each function in the CAC-OS, both functions can be maximized.
  • the CAC-OS has a conductive area and an insulating area.
  • the conductive region has the above-mentioned conductive function
  • the insulating region has the above-mentioned insulating function.
  • the conductive region and the insulating region may be separated at the nanoparticle level.
  • the conductive region and the insulating region may be unevenly distributed in the material.
  • the conductive region may be observed by blurring the periphery and connecting in a cloud shape.
  • the conductive region and the insulating region may be dispersed in the material in a size of 0.5 nm or more and 10 nm or less, preferably 0.5 nm or more and 3 nm or less.
  • CAC-OS is composed of components having different band gaps.
  • it is composed of a CAC-OS, a component having a wide gap due to the insulating region, and a component having a narrow gap due to the conductive region.
  • the carrier when the carrier flows, the carrier mainly flows in the component having the narrow gap.
  • the component having the narrow gap acts complementarily to the component having the wide gap, and the carrier also flows in the component having the wide gap in conjunction with the component having the narrow gap. Therefore, when the above CAC-OS is used for a channel formation region of a transistor, a high current drivability, that is, a large on-current and a high field-effect mobility can be obtained when the transistor is on.
  • the CAC-OS can also be referred to as a matrix composite material or a metal matrix composite material.
  • FIG. 3A is a diagram illustrating classification of crystal structures of oxide semiconductors, typically IGZO (a metal oxide containing In, Ga, and Zn).
  • IGZO a metal oxide containing In, Ga, and Zn
  • IGZO is roughly classified into Amorphous, Crystalline, and Crystal. Moreover, completeness amorphous is included in Amorphous. In addition, CAAC, nc, and CAC are included in Crystalline. In addition, single crystal and poly crystal are included in Crystal.
  • the structure in the thick frame shown in FIG. 3A belongs to the New crystalline phase.
  • the structure is in the boundary region between Amorphous and Crystal. That is, it can be said that the energy-unstable Amorphous and Crystalline are completely different structures.
  • the crystal structure of the film or substrate can be evaluated by using an X-ray diffraction (XRD: X-Ray Diffraction) spectrum.
  • XRD X-ray diffraction
  • FIGS. 3B and 3C X-ray diffraction spectra of quartz glass and IGZO having a crystal structure classified into Crystalline (also referred to as crystalline IGZO) are shown in FIGS. 3B and 3C.
  • FIG. 3B is an XRD spectrum of quartz glass
  • FIG. 3C is an XRD spectrum of crystalline IGZO.
  • the crystalline IGZO shown in FIG. 3C has a thickness of 500 nm.
  • the peak of the XRD spectrum of quartz glass is almost symmetrical.
  • the peak of the XRD spectrum is asymmetric.
  • the left-right asymmetry of the XRD spectrum peaks clearly indicates the presence of crystals. In other words, unless the peak of the XRD spectrum is symmetrical, it cannot be said to be Amorphous.
  • the transistor When impurities are mixed in the oxide semiconductor, defect levels or oxygen vacancies may be formed. Therefore, when impurities are mixed in the channel formation region of the oxide semiconductor, the electrical characteristics of the transistor including the oxide semiconductor are likely to change and reliability may be deteriorated. If the channel formation region contains oxygen vacancies, the transistor is likely to have normally-on characteristics (a characteristic that a channel exists and current flows in the transistor even if voltage is not applied to the gate electrode).
  • Transistors using metal oxides tend to have normally-on characteristics because their electrical characteristics fluctuate due to impurities and oxygen vacancies in the metal oxides.
  • the transistor is driven in a state where excess amount of oxygen exceeds an appropriate amount in the metal oxide, the valence of excess oxygen atoms is changed and the electrical characteristics of the transistor are changed. , Reliability may deteriorate.
  • the transistor it is preferable to use a metal oxide having a low carrier concentration in the channel formation region.
  • the concentration of impurities in the metal oxide may be lowered and the density of defect states may be lowered.
  • low impurity concentration and low defect level density are referred to as high-purity intrinsic or substantially high-purity intrinsic. Note that in this specification and the like, the case where the carrier concentration of the metal oxide in the channel formation region is 1 ⁇ 10 16 cm ⁇ 3 or less is defined as substantially high-purity intrinsic.
  • the carrier concentration of the metal oxide in the channel formation region is preferably 1 ⁇ 10 18 cm ⁇ 3 or less, more preferably 1 ⁇ 10 17 cm ⁇ 3 or less, and 1 ⁇ 10 16 cm ⁇ 3. It is more preferably the following or less, still more preferably less than 1 ⁇ 10 13 cm ⁇ 3 , further preferably less than 1 ⁇ 10 12 cm ⁇ 3 .
  • the lower limit of the carrier concentration of the metal oxide in the channel formation region is not particularly limited, but can be set to, for example, 1 ⁇ 10 ⁇ 9 cm ⁇ 3 .
  • the impurities in the metal oxide include, for example, hydrogen, nitrogen, alkali metals, alkaline earth metals, iron, nickel, silicon and the like.
  • hydrogen contained in a metal oxide reacts with oxygen bonded to a metal atom to be water, which may cause oxygen vacancies in the metal oxide. If the channel formation region in the metal oxide contains oxygen vacancies, the transistor might have normally-on characteristics. Further, when containing the hydrogen to oxygen vacancies in the metal oxide, there is a case where oxygen vacancies and hydrogen combine to form a V O H. Defects containing hydrogen to an oxygen vacancy (V O H) serves as a donor, sometimes electrons serving as carriers are generated.
  • part of hydrogen may be bonded to oxygen which is bonded to a metal atom to generate an electron which is a carrier. Therefore, a transistor including a metal oxide containing a large amount of hydrogen is likely to have normally-on characteristics. Further, hydrogen in the metal oxide easily moves due to stress such as heat and an electric field; therefore, when a large amount of hydrogen is contained in the metal oxide, reliability of the transistor might be deteriorated.
  • the highly purified intrinsic or substantially highly purified intrinsic it is preferable that the highly purified intrinsic or substantially highly purified intrinsic.
  • the V O H to obtain a sufficiently reduced metal oxide, to remove moisture in the metal oxide, the impurities such as hydrogen (dehydration, may be described as dehydrogenation.)
  • the metal oxide impurities is sufficiently reduced such V O H By using the channel formation region of the transistor, it is possible to have stable electrical characteristics.
  • Defects containing hydrogen to an oxygen vacancy can function as a donor of the metal oxide.
  • the metal oxide may be evaluated not by the donor concentration but by the carrier concentration. Therefore, in this specification and the like, the carrier concentration which is assumed to be a state where no electric field is applied may be used as the parameter of the metal oxide, instead of the donor concentration. That is, the “carrier concentration” described in this specification and the like can be called the “donor concentration” in some cases. Further, the “carrier concentration” described in this specification and the like can be restated as the “carrier density”.
  • the hydrogen concentration obtained by secondary ion mass spectroscopy is less than 1 ⁇ 10 20 atoms/cm 3 , preferably 1 ⁇ 10 19 atoms/cm 3. It is less than 3 , more preferably less than 5 ⁇ 10 18 atoms/cm 3 , and even more preferably less than 1 ⁇ 10 18 atoms/cm 3 .
  • the above defect levels may include trap levels.
  • the charge trapped in the trap level of the metal oxide takes a long time to disappear and may behave as if it were a fixed charge. Therefore, a transistor including a metal oxide having a high trap level density in a channel formation region may have unstable electrical characteristics.
  • the crystallinity of the channel formation region may be lowered, and the crystallinity of the oxide provided in contact with the channel formation region may be lowered.
  • the stability or reliability of the transistor tends to be deteriorated.
  • the crystallinity of the oxide provided in contact with the channel formation region is low, an interface state is formed, which might deteriorate the stability or reliability of the transistor.
  • Impurities include hydrogen, nitrogen, alkali metals, alkaline earth metals, iron, nickel, silicon and the like.
  • the concentration of the impurity obtained by SIMS is 1 ⁇ 10 18 atoms/cm 3 or less, preferably 2 ⁇ 10 16 atoms/cm 3 or less.
  • the concentration of the impurity obtained by element analysis using energy scattering X-ray spectroscopy is 1.0 atomic%.
  • the concentration ratio of the impurity to the element M in the channel formation region of the oxide semiconductor and the vicinity thereof is less than 0.10, preferably 0.05. Less than Here, the concentration of the element M used when calculating the concentration ratio may be the concentration in the same region as the region in which the concentration of the impurities is calculated, or may be the concentration in the oxide semiconductor.
  • the trap level density may be low.
  • the oxide semiconductor may have low resistance.
  • the electrical characteristics are likely to fluctuate, and the reliability may deteriorate.
  • silicon has a larger binding energy with oxygen than indium and zinc.
  • oxygen contained in the oxide semiconductor is taken away by the silicon, so that oxygen near the indium or zinc is generated. Defects may be formed.
  • a leakage current (parasitic channel) between a source electrode and a drain electrode of the transistor is generated in the low resistance region.
  • parasitic channel defective characteristics of the transistor are likely to occur such as normally-on of the transistor, increase of leak current, and variation (shift) of threshold voltage due to stress application.
  • the parasitic channel varies from transistor to transistor, resulting in variations in transistor characteristics.
  • the impurities and oxygen vacancies in the channel formation region of the oxide semiconductor and the vicinity thereof be reduced as much as possible.
  • the semiconductor material that can be used for the oxide 230 is not limited to the above metal oxide.
  • a semiconductor material having a band gap (a semiconductor material that is not a zero-gap semiconductor) may be used.
  • a semiconductor of a simple element such as silicon, a compound semiconductor such as gallium arsenide, a layered substance functioning as a semiconductor (also referred to as an atomic layer substance, a two-dimensional material, or the like) is preferably used as a semiconductor material.
  • the layered substance is a general term for a group of materials having a layered crystal structure.
  • the layered crystal structure is a structure in which layers formed by a covalent bond or an ionic bond are stacked via a bond weaker than the covalent bond or the ionic bond, such as Van der Waals force.
  • the layered material has high electric conductivity in the unit layer, that is, two-dimensional electric conductivity.
  • Layered substances include graphene, silicene, chalcogenides, etc.
  • a chalcogenide is a compound containing chalcogen.
  • Chalcogen is a general term for elements belonging to Group 16 and includes oxygen, sulfur, selenium, tellurium, polonium, and livermolium.
  • Examples of chalcogenides include transition metal chalcogenides and group 13 chalcogenides.
  • a transition metal chalcogenide that functions as a semiconductor is preferably used.
  • Specific examples of the transition metal chalcogenide applicable as the oxide 230 include molybdenum sulfide (typically MoS 2 ), molybdenum selenide (typically MoSe 2 ), molybdenum tellurium (typically MoTe 2 ).
  • Tungsten sulfide typically WS 2
  • tungsten selenide typically WSe 2
  • tungsten tellurium typically WTe 2
  • hafnium sulfide typically HfS 2
  • hafnium selenide typically HfS 2
  • hafnium selenide typically ZrS 2
  • zirconium selenide typically ZrSe 2
  • FIGS. 4A and 5A are top views of the semiconductor device.
  • FIGS. 4B and 5B are cross-sectional views corresponding to the portion indicated by the alternate long and short dash line A1-A2 in FIGS. 4A and 5A, respectively.
  • FIGS. 4C and 5C are cross-sectional views corresponding to the portions indicated by dashed-dotted line A3-A4 in FIGS. 4A and 5A, respectively.
  • FIGS. 4D and 5D are cross-sectional views corresponding to the portions indicated by dashed-dotted line A5-A6 in FIGS. 4A and 5A, respectively.
  • FIGS. 4A and 5A In the top views of FIGS. 4A and 5A, some elements have been omitted for clarity.
  • FIGS. 4A to 4D and FIGS. 5A to 5D structures having the same functions as those of the structure of the semiconductor device shown in ⁇ Structure example of semiconductor device> are denoted by the same reference numerals. Also in this item, as the constituent material of the semiconductor device, the materials described in detail in ⁇ Structure example of semiconductor device> can be used.
  • the semiconductor device shown in FIGS. 4A to 4D is a modification of the semiconductor device shown in FIGS. 1A to 1D.
  • the semiconductor device illustrated in FIGS. 4A to 4D is different from the semiconductor devices illustrated in FIGS. 1A to 1D in that the semiconductor device includes the oxide 243 (the oxide 243a and the oxide 243b).
  • the shape of the oxide 230c is different.
  • the oxide 243 (oxide 243a and oxide 243b) preferably has a function of suppressing permeation of oxygen.
  • the oxide 243 having a function of suppressing permeation of oxygen between the conductor 242 functioning as a source electrode or a drain electrode and the oxide 230b, electrical conductivity between the conductor 242 and the oxide 230b can be obtained. It is preferable because the resistance is reduced. With such a structure, electric characteristics of the transistor 200 and reliability of the transistor 200 can be improved.
  • a metal oxide containing the element M may be used.
  • the element M is preferably aluminum, gallium, yttrium, or tin.
  • the oxide 243 preferably has a higher concentration of the element M than the oxide 230b.
  • gallium oxide may be used as the oxide 243.
  • a metal oxide such as an In-M-Zn oxide may be used.
  • the atomic ratio of the element M to In is preferably higher than the atomic ratio of the element M to In in the metal oxide used for the oxide 230b.
  • the thickness of the oxide 243 is preferably 0.5 nm or more and 5 nm or less, more preferably 1 nm or more and 3 nm or less, and further preferably 1 nm or more and 2 nm or less. Further, the oxide 243 preferably has crystallinity. When the oxide 243 has crystallinity, release of oxygen in the oxide 230 can be preferably suppressed. For example, if the oxide 243 has a hexagonal crystal structure or the like, release of oxygen in the oxide 230 can be suppressed in some cases.
  • the oxide 230c may be provided for each transistor 200. That is, the oxide 230c of the transistor 200 and the oxide 230c of the transistor 200 adjacent to the transistor 200 do not need to be in contact with each other. Further, the oxide 230c of the transistor 200 and the oxide 230c of the transistor 200 adjacent to the transistor 200 may be separated from each other. In other words, the oxide 230c may not be provided between the transistor 200 and the transistor 200 adjacent to the transistor 200.
  • the oxides 230c are independently provided in the transistor 200. Therefore, a parasitic transistor is suppressed between the transistor 200 and the transistor 200 adjacent to the transistor 200, and a leakage path is suppressed between the transistor 200 and the transistor 200 adjacent to the transistor 200. can do. Therefore, it is possible to provide a semiconductor device having good electric characteristics and capable of being miniaturized or highly integrated.
  • L 1 is larger than 0 nm.
  • the value of the ratio of L 1 (L 1 / L 2) for L 2 is preferably greater than 0 less than 1, more preferably 0.1 to 0.9, more preferably 0.2 to 0.8 Is.
  • L 2 may be a distance between the side end portion of the oxide 230b of the transistor 200 and the side end portion of the oxide 230b of the transistor 200 which is adjacent to the transistor 200 and face each other.
  • oxides 230c is a transistor 200, the positional deviation of the arrangement that are not regions between the transistors 200 adjacent to the transistor 200 Even if it occurs, the oxide 230c of the transistor 200 and the oxide 230c of the transistor 200 adjacent to the transistor 200 can be separated from each other.
  • the transistor 200 by increasing the ratio of L 1 to the above L 2 (L 1 / L 2 ), the transistor 200, even by narrowing the interval between the transistor 200 adjacent to the transistor 200, the width of the minimum feature size This can be ensured, and further miniaturization or higher integration of the semiconductor device can be achieved.
  • each of the conductor 260 and the insulator 250 may be commonly used between the adjacent transistors 200. That is, the conductor 260 of the transistor 200 has a region provided so as to be continuous with the conductor 260 of the transistor 200 which is adjacent to the transistor 200. In addition, the insulator 250 of the transistor 200 has a region which is provided so as to be continuous with the insulator 250 of the transistor 200 which is adjacent to the transistor 200.
  • the insulator 250 has a region in contact with the insulator 224 between the transistor 200 and the transistor 200 adjacent to the transistor 200.
  • the oxide 230c has a stacked-layer structure of the oxide 230c1 and the oxide 230c2, the oxide 230c1 and the oxide 230c2 of the transistor 200 are the same as the oxide 230c1 and the oxide 230c2 of the transistor 200 adjacent to the transistor 200.
  • the oxide 230c1 of the transistor 200 may be separated from the oxide 230c1 of the transistor 200 adjacent to the transistor 200, and the oxide 230c2 of the transistor 200 may be separated from the oxide 230c2 of the transistor 200.
  • the region may be continuous with the 200 oxide 230c2.
  • the oxide 230c2 has a region in contact with the insulator 224 between the transistor 200 and the transistor 200 adjacent to the transistor 200.
  • the semiconductor device shown in FIGS. 5A to 5D is a modification of the semiconductor device shown in FIGS. 4A to 4D.
  • the semiconductor device illustrated in FIGS. 5A to 5D is different from the semiconductor devices illustrated in FIGS. 4A to 4D in shapes of an insulator 283 and an insulator 284.
  • a difference is that the oxide 230d, the insulator 274, and the insulator 287 are included.
  • the insulator 212, the insulator 214, the insulator 216, the insulator 222, the insulator 224, the insulator 272, the insulator 273, the insulator 280, and the insulator 282 are patterned.
  • the insulator 287 is provided in contact with the side surfaces of the insulator 212, the insulator 214, the insulator 216, the insulator 222, the insulator 224, the insulator 272, the insulator 273, the insulator 280, and the insulator 282.
  • the insulator 283 and the insulator 284 are the insulator 212, the insulator 214, the insulator 216, the insulator 222, the insulator 224, the insulator 272, the insulator 273, the insulator 280, the insulator 282, and the insulator.
  • the structure covers the body 287. That is, the insulator 283 is in contact with the top surface of the insulator 282, the top surface and side surfaces of the insulator 287, and the top surface of the insulator 211, and the insulator 284 is in contact with the top surface and side surfaces of the insulator 283.
  • the insulator 212, the insulator 214, the insulator 216, the insulator 222, the insulator 224, the insulator 272, the insulator 273, the insulator 280, the insulator 282, and the insulator 287 including the oxide 230 and the like. are isolated from the outside by the insulator 283, the insulator 284, and the insulator 211.
  • the transistor 200 is arranged in a region sealed with the insulator 283 and the insulator 284 and the insulator 211.
  • the insulator 212, the insulator 214, the insulator 287, and the insulator 282 are formed using a material having a function of capturing hydrogen and fixing hydrogen, and the insulator 211, the insulator 283, and the insulator 284. Is preferably formed using a material having a function of suppressing diffusion of hydrogen and oxygen.
  • aluminum oxide can be used for the insulator 212, the insulator 214, the insulator 287, and the insulator 282.
  • silicon nitride can be used for the insulator 211, the insulator 283, and the insulator 284.
  • the transistor 200 illustrated in FIGS. 5A to 5D has a structure in which the insulator 211, the insulator 283, and the insulator 284 are provided as a single layer, the present invention is not limited to this.
  • the insulator 211, the insulator 283, and the insulator 284 may each have a stacked structure of two or more layers.
  • the insulator 274 functions as an interlayer film.
  • the insulator 274 preferably has a lower dielectric constant than the insulator 214.
  • the insulator 274 can be provided using, for example, a material similar to that of the insulator 280.
  • the transistor 200 illustrated in FIGS. 5A to 5D includes the oxide 230d between the oxide 230c and the insulator 250.
  • the oxide 230d can be provided using, for example, the same material as the oxide 230c2.
  • the oxide 230c of the transistor 200 and the oxide 230c of the transistor 200 adjacent to the transistor 200 are separated from each other, and the oxide 230d of the transistor 200 is separated from the oxide 230c of the transistor 200. It may have a region continuous with 200 oxide 230d. At this time, the oxide 230d has a region in contact with the insulator 224 between the transistor 200 and the transistor 200 adjacent to the transistor 200.
  • 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, and 15A show top views.
  • 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B, 14B, and 15B are shown in FIGS. 6A, 7A, 8A, 9A, and 10A, respectively.
  • 11A, FIG. 12A, FIG. 13A, FIG. 14A, and FIG. 15A are cross-sectional views corresponding to the portion indicated by the dashed-dotted line A1-A2 in FIG. 15A, and are also cross-sectional views in the channel length direction of the transistor 200.
  • FIGS. 6A, 7C, 8C, 9C, 10C, 11C, 12C, 13C, 14C, and 15C are shown in FIGS. 6A, 7A, 8A, 9A, and 10A, respectively.
  • 11A, FIG. 12A, FIG. 13A, FIG. 14A, and FIG. 15A are cross-sectional views corresponding to the portion indicated by dashed-dotted line A3-A4 in FIG. 15A, and are also cross-sectional views in the channel width direction of the transistor 200.
  • 6D, 7D, 8D, 9D, 10D, 11D, 12D, 13D, 14D, and 15D are shown in FIGS. 6A, 7A, 8A, 9A, and 10A, respectively.
  • FIG. 11A It is sectional drawing of the site
  • FIGS. 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, and 15A In the top views of FIGS. 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, and 15A, some elements are omitted for clarity. I am
  • the insulator 211 can be formed by a sputtering method, a CVD method, a molecular beam epitaxy (MBE: Molecular Beam Epitaxy) method, a pulsed laser deposition (PLD: Pulsed Laser Deposition) method, an ALD method, or the like.
  • the CVD method can be classified into a plasma CVD method using plasma (PECVD: Plasma Enhanced CVD) method, a thermal CVD method using heat (TCVD: Thermal CVD) method, an optical CVD method using light (Photo CVD) method, and the like. .. Further, it can be divided into a metal CVD (MCVD: Metal CVD) method and a metal organic CVD (MOCVD: Metal Organic CVD) method depending on the raw material gas used.
  • PECVD Plasma Enhanced CVD
  • TCVD Thermal CVD
  • Photo CVD optical CVD method using light
  • MCVD Metal CVD
  • MOCVD Metal Organic CVD
  • the plasma CVD method can obtain a high quality film at a relatively low temperature.
  • the thermal CVD method is a film forming method which can reduce plasma damage to an object to be processed because plasma is not used.
  • a wiring, an electrode, an element (a transistor, a capacitor, or the like) included in a semiconductor device might be charged up by receiving electric charge from plasma. At this time, the accumulated charges may destroy wirings, electrodes, elements, and the like included in the semiconductor device.
  • a thermal CVD method that does not use plasma, such plasma damage does not occur, so that the yield of semiconductor devices can be increased.
  • the thermal CVD method since plasma damage does not occur during film formation, a film with few defects can be obtained.
  • a thermal ALD (Thermal ALD) method in which the reaction of the precursor and the reactant is performed only with thermal energy, a PEALD (Plasma Enhanced ALD) method using a plasma-excited reactant, and the like can be used.
  • the ALD method utilizes the self-controllability, which is a property of atoms, and can deposit atoms one by one, so that it is possible to form an extremely thin film and to form a film with a high aspect ratio. It is possible to form a film with few defects such as holes, form a film with excellent coverage, and form a film at a low temperature.
  • the PEALD (Plasma Enhanced ALD) method it is sometimes preferable that the film can be formed at a lower temperature by using plasma.
  • some precursors used in the ALD method include impurities such as carbon. Therefore, a film formed by the ALD method may contain a large amount of impurities such as carbon as compared with a film formed by another film formation method.
  • the impurities can be quantified by using X-ray photoelectron spectroscopy (XPS: X-ray Photoelectron Spectroscopy).
  • the CVD method and the ALD method are film forming methods in which a film is formed by a reaction on the surface of an object to be processed, unlike the film forming method in which particles emitted from a target or the like are deposited. Therefore, the film forming method is not easily affected by the shape of the object to be processed and has a good step coverage.
  • the ALD method since the ALD method has excellent step coverage and excellent thickness uniformity, it is suitable for coating the surface of the opening having a high aspect ratio.
  • the ALD method has a relatively low film forming rate, it may be preferable to use it in combination with another film forming method such as a CVD method having a high film forming rate.
  • the composition of the obtained film can be controlled by the flow rate ratio of the source gas.
  • a film having an arbitrary composition can be formed depending on the flow rate ratio of the source gas.
  • a film whose composition is continuously changed can be formed by changing the flow rate ratio of the source gas during film formation.
  • silicon nitride is formed as the insulator 211 by a CVD method.
  • the insulator 212 is formed over the insulator 211.
  • the insulator 212 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • a silicon nitride film is formed as the insulator 212 by a sputtering method.
  • the insulator 214 is formed over the insulator 212.
  • the insulator 214 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • aluminum oxide is used as the insulator 214.
  • the hydrogen concentration of the insulator 212 is preferably lower than the hydrogen concentration of the insulator 211, and the hydrogen concentration of the insulator 214 is preferably lower than the hydrogen concentration of the insulator 212.
  • silicon nitride As the insulator 212 by a sputtering method, silicon nitride having a lower hydrogen concentration than the insulator 211 that deposits silicon nitride by a CVD method can be formed.
  • the insulator 214 is aluminum oxide, the hydrogen concentration can be lower than that of the insulator 212.
  • a film near the transistor 200 preferably has relatively low hydrogen concentration, and a film having relatively high hydrogen concentration is remote from the transistor 200. It is preferable to arrange them.
  • the insulator 216 is formed over the insulator 214.
  • the insulator 216 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • silicon oxide or silicon oxynitride is used as the insulator 216.
  • the insulator 216 is preferably formed by a film formation method using a gas in which hydrogen atoms are reduced or removed. Accordingly, the hydrogen concentration of the insulator 216 can be reduced.
  • an opening reaching the insulator 214 is formed in the insulator 216.
  • the openings include, for example, grooves and slits.
  • the area where the opening is formed may be referred to as an opening.
  • the openings may be formed by wet etching, but dry etching is preferable for fine processing.
  • As the insulator 214 it is preferable to select an insulator that functions as an etching stopper film when the insulator 216 is etched to form a groove. For example, when silicon oxide or silicon oxynitride is used for the insulator 216 which forms the groove, silicon nitride, aluminum oxide, or hafnium oxide is preferably used for the insulator 214.
  • a capacitively coupled plasma (CCP) etching device having parallel plate electrodes can be used as a dry etching device.
  • the capacitively coupled plasma etching apparatus having the parallel plate type electrodes may be configured to apply a high frequency voltage to one of the parallel plate type electrodes.
  • a plurality of different high frequency voltages may be applied to one of the parallel plate electrodes.
  • the high frequency voltage of the same frequency may be applied to each of the parallel plate electrodes.
  • a configuration may be adopted in which high frequency voltages having different frequencies are applied to the parallel plate electrodes.
  • a dry etching apparatus having a high density plasma source can be used.
  • an inductively coupled plasma (ICP: Inductively Coupled Plasma) etching apparatus can be used as a dry etching apparatus having a high-density plasma source.
  • a conductive film to be the conductor 205a is formed.
  • the conductive film preferably contains a conductor having a function of suppressing permeation of oxygen.
  • a conductor having a function of suppressing permeation of oxygen for example, tantalum nitride, tungsten nitride, titanium nitride, or the like can be used.
  • a stacked film of a conductor having a function of suppressing permeation of oxygen and tantalum, tungsten, titanium, molybdenum, aluminum, copper, or a molybdenum-tungsten alloy can be used.
  • the conductive film can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • the conductive film to be the conductor 205a has a multi-layer structure.
  • tantalum nitride is deposited by a sputtering method, and titanium nitride is laminated on the tantalum nitride.
  • a conductive film to be the conductor 205b is formed.
  • the conductive film can be formed by a plating method, a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • a low-resistance conductive material such as copper is formed as the conductive film.
  • CMP treatment is performed to remove part of the conductive film to be the conductor 205a and the conductive film to be the conductor 205b, so that the insulator 216 is exposed.
  • the conductors 205a and 205b remain only in the openings. Accordingly, the conductor 205 whose top surface is flat can be formed (see FIGS. 6A to 6C).
  • the insulator 216 may be partly removed by the CMP treatment.
  • the conductor 205 is formed so as to be embedded in the opening of the insulator 216 in the above, the present embodiment is not limited to this.
  • the conductor 205 is formed over the insulator 214, the insulator 216 is formed over the conductor 205, and the insulator 216 is subjected to CMP treatment, whereby part of the insulator 216 is removed and the conductor 216 is removed.
  • the surface of 205 may be exposed.
  • the insulator 222 is formed over the insulator 216 and the conductor 205.
  • an insulator containing one or both oxides of aluminum and hafnium may be formed.
  • the insulator containing one or both oxides of aluminum and hafnium it is preferable to use aluminum oxide, hafnium oxide, an oxide containing aluminum and hafnium (hafnium aluminate), or the like.
  • An insulator containing an oxide of one or both of aluminum and hafnium has a barrier property against oxygen, hydrogen, and water.
  • the insulator 222 has a barrier property against hydrogen and water, hydrogen and water contained in the structure provided around the transistor 200 are suppressed from diffusing into the inside of the transistor 200 through the insulator 222. The generation of oxygen vacancies in the oxide 230 can be suppressed.
  • the insulator 222 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • the heat treatment may be performed at 250 °C to 650 °C inclusive, preferably 300 °C to 500 °C inclusive, and more preferably 320 °C to 450 °C inclusive.
  • the heat treatment is performed in an atmosphere of nitrogen gas or an inert gas, or an atmosphere containing an oxidizing gas in an amount of 10 ppm or higher, 1% or higher, or 10% or higher.
  • the heat treatment may be performed under reduced pressure.
  • the heat treatment is performed in an atmosphere of nitrogen gas or an inert gas, and then in an atmosphere containing an oxidizing gas at 10 ppm or more, 1% or more, or 10% or more in order to supplement desorbed oxygen. May be.
  • the treatment is performed in a nitrogen atmosphere at a temperature of 400° C. for one hour, and then continuously in an oxygen atmosphere at a temperature of 400° C. for one hour. Perform processing.
  • impurities such as water and hydrogen contained in the insulator 222 can be removed. Further, the heat treatment can be performed at a timing after the insulator 224 is formed.
  • the insulator 224 is formed over the insulator 222.
  • the insulator 224 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • silicon oxide or silicon oxynitride is formed by a CVD method.
  • the insulator 224 is preferably formed by a film formation method using a gas in which hydrogen atoms are reduced or removed. Accordingly, the hydrogen concentration of the insulator 224 can be reduced. Since the insulator 224 becomes the insulator 224 that is in contact with the oxide 230a in a later step, it is preferable that the hydrogen concentration be reduced in this manner.
  • plasma treatment containing oxygen may be performed under reduced pressure.
  • the plasma treatment containing oxygen it is preferable to use an apparatus having a power source for generating high-density plasma using microwaves, for example.
  • the substrate side may have a power source for applying RF (Radio Frequency).
  • RF Radio Frequency
  • high-density plasma high-density oxygen radicals can be generated, and by applying RF to the substrate side, oxygen radicals generated by high-density plasma can be efficiently introduced into the insulator 224. it can.
  • plasma treatment containing an inert gas may be performed using this apparatus, and then plasma treatment containing oxygen may be performed to supplement desorbed oxygen.
  • impurities such as water and hydrogen contained in the insulator 224 can be removed by selecting appropriate conditions for the plasma treatment. In that case, heat treatment may not be performed.
  • CMP treatment may be performed until the insulator 224 is reached.
  • the surface of the insulator 224 can be planarized and smoothed.
  • the end point of the CMP process can be easily detected.
  • part of the insulator 224 may be polished by the CMP treatment to reduce the thickness of the insulator 224, the thickness may be adjusted when the insulator 224 is formed.
  • oxygen can be added to the insulator 224 by depositing aluminum oxide over the insulator 224 by a sputtering method, which is preferable.
  • an oxide film 230A and an oxide film 230B are sequentially formed over the insulator 224 (see FIGS. 6A to 6D).
  • the oxide film 230A and the oxide film 230B are preferably formed continuously without being exposed to the atmospheric environment. By forming the film without exposing it to the atmosphere, impurities or moisture from the atmospheric environment can be prevented from adhering to the oxide film 230A and the oxide film 230B, and the vicinity of the interface between the oxide film 230A and the oxide film 230B can be prevented. Can be kept clean.
  • the oxide film 230A and the oxide film 230B can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • the oxide film 230A and the oxide film 230B are formed by a sputtering method
  • oxygen or a mixed gas of oxygen and a rare gas is used as a sputtering gas.
  • excess oxygen in the formed oxide film can be increased.
  • the above oxide film is formed by the sputtering method
  • the above In-M-Zn oxide target or the like can be used.
  • part of oxygen contained in the sputtering gas may be supplied to the insulator 224 when the oxide film 230A is formed. Therefore, the proportion of oxygen contained in the sputtering gas may be 70% or higher, preferably 80% or higher, more preferably 100%.
  • the oxide film 230B is formed by a sputtering method
  • the proportion of oxygen contained in the sputtering gas is greater than 30% and 100% or less, preferably 70% or more and 100% or less
  • oxygen-excessive oxidation is performed.
  • a physical semiconductor is formed.
  • a transistor including an oxygen-excess type oxide semiconductor in a channel formation region has relatively high reliability.
  • one embodiment of the present invention is not limited to this.
  • the oxide film 230B is formed by a sputtering method, if the proportion of oxygen contained in the sputtering gas is 1% to 30% inclusive, preferably 5% to 20% inclusive, an oxygen-deficient oxide semiconductor is formed. It A transistor including an oxygen-deficient oxide semiconductor in a channel formation region can have relatively high field-effect mobility. Further, by forming the film while heating the substrate, the crystallinity of the oxide film can be improved.
  • an oxide film 243A is formed on the oxide film 230B (see FIGS. 6A to 6D).
  • the oxide film 243A can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • the oxide film 243A preferably has an atomic ratio of Ga to In that is larger than an atomic ratio of Ga to In of the oxide film 230B.
  • the insulator 222, the insulator 224, the oxide film 230A, the oxide film 230B, and the oxide film 243A be formed without being exposed to the air.
  • a multi-chamber deposition apparatus may be used.
  • heat treatment may be performed.
  • the heat treatment conditions described above can be used for the heat treatment.
  • impurities such as water and hydrogen in the oxide film 230A, the oxide film 230B, and the oxide film 243A can be removed.
  • a treatment at a temperature of 400° C. for 1 hour is continuously performed in an oxygen atmosphere.
  • a conductive film 242A is formed over the oxide film 243A (see FIGS. 6A to 6D).
  • the conductive film 242A can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • heat treatment may be performed before the formation of the conductive film 242A.
  • the heat treatment may be performed under reduced pressure, and the conductive film 242A may be continuously formed without being exposed to the air.
  • moisture and hydrogen adsorbed on the surface of the oxide film 243A or the like is removed, and the moisture concentration and hydrogen concentration in the oxide film 230A, the oxide film 230B, and the oxide film 243A are further reduced. be able to.
  • the temperature of the heat treatment is preferably 100°C or higher and 400°C or lower. In this embodiment mode, the temperature of the heat treatment is 200° C.
  • the oxide film 230A, the oxide film 230B, the oxide film 243A, and the conductive film 242A are processed into an island shape by a lithography method to form the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B. Are formed (see FIGS. 7A to 7D). Further, a dry etching method or a wet etching method can be used for the processing. Processing by the dry etching method is suitable for fine processing. Further, the oxide film 230A, the oxide film 230B, the oxide film 243A, and the conductive film 242A may be processed under different conditions. Note that in this step, the thickness of a region of the insulator 224 which does not overlap with the oxide 230a may be thin.
  • the resist is exposed through a mask.
  • the exposed area is removed or left with a developing solution to form a resist mask.
  • the conductor, the semiconductor, the insulator, and the like can be processed into a desired shape by etching through the resist mask.
  • the resist mask may be formed by exposing the resist using KrF excimer laser light, ArF excimer laser light, EUV (Extreme Ultraviolet) light, or the like.
  • an immersion technique may be used in which a liquid (for example, water) is filled between the substrate and the projection lens to perform exposure.
  • an electron beam or an ion beam may be used instead of the above-mentioned light.
  • the resist mask can be removed by performing dry etching treatment such as ashing, performing wet etching treatment, performing wet etching treatment after dry etching treatment, or performing dry etching treatment after wet etching treatment.
  • a hard mask made of an insulator or a conductor may be used instead of the resist mask.
  • an insulating film or a conductive film serving as a hard mask material is formed over the conductive film 242A, a resist mask is formed thereover, and the hard mask material is etched to form a hard mask having a desired shape. can do.
  • the etching of the conductive film 242A may be performed after removing the resist mask, or may be performed with the resist mask left. In the latter case, the resist mask may disappear during etching. After etching the conductive film 242A, the hard mask may be removed by etching.
  • the material of the hard mask does not affect the post-process or can be used in the post-process, it is not always necessary to remove the hard mask.
  • the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B are formed so that at least part of them overlaps with the conductor 205.
  • the side surfaces of the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B are preferably substantially perpendicular to the top surface of the insulator 222. Since the side surfaces of the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B are substantially perpendicular to the top surface of the insulator 222, the area and the size of the transistor 200 can be reduced when the plurality of transistors 200 are provided. Densification is possible.
  • the angle between the side surface of the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B and the top surface of the insulator 222 may be low.
  • the angle formed by the side surfaces of the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B and the upper surface of the insulator 222 is preferably greater than or equal to 60 degrees and less than 70 degrees.
  • a curved surface is provided between the side surface of the conductive layer 242B and the upper surface of the conductive layer 242B. That is, it is preferable that the end of the side surface and the end of the upper surface are curved.
  • the curved surface has, for example, a radius of curvature of 3 nm or more and 10 nm or less, preferably 5 nm or more and 6 nm or less at the end portion of the conductive layer 242B.
  • an insulator 272 is formed over the insulator 224, the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B (see FIGS. 8B to 8D).
  • the insulator 272 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • a film of aluminum oxide is formed as the insulator 272 by a sputtering method. By forming an aluminum oxide film by a sputtering method, oxygen can be injected into the insulator 224.
  • the insulator 273 is formed over the insulator 272.
  • the insulator 273 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • silicon nitride is formed by a sputtering method (see FIGS. 8B to 8D).
  • an insulating film to be the insulator 280 is formed over the insulator 273.
  • the insulating film can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • a silicon oxide film may be formed by a sputtering method and a silicon oxide film may be formed thereover by a PEALD method or a thermal ALD method.
  • the insulating film is preferably formed by a film formation method using a gas in which hydrogen atoms are reduced or removed. Accordingly, the hydrogen concentration of the insulator 280 can be reduced. Note that heat treatment may be performed before the formation of the insulating film.
  • the heat treatment may be performed under reduced pressure, and the insulating film may be continuously formed without being exposed to the air.
  • moisture and hydrogen adsorbed on the surface of the insulator 273 and the like are removed, and the moisture concentration in the oxide 230a, the oxide 230b, the oxide layer 243B, and the insulator 224 and The hydrogen concentration can be reduced.
  • the heat treatment conditions described above can be used.
  • CMP treatment is performed on the insulating film to form an insulator 280 having a flat upper surface (see FIGS. 8B to 8D).
  • aluminum oxide may be formed over the insulator 280 by, for example, a sputtering method, and CMP treatment may be performed until the insulator 280 is reached.
  • microwave treatment may be performed.
  • the microwave treatment is preferably performed in an atmosphere containing oxygen and under reduced pressure.
  • the electric field insulator 280 by microwave, oxides 230b, given such an oxide 230a, oxides 230b, and an oxygen deficient V O H in the oxide 230a and (V O) It can be divided into hydrogen (H).
  • H hydrogen
  • a part of the hydrogen separated may be combined with oxygen contained in the insulator 280 to be removed as a water molecule.
  • part of hydrogen may be gettered to the conductor 242 through the insulator 272 and the insulator 273.
  • the heat treatment may be performed while maintaining the reduced pressure state after the microwave treatment. By performing such treatment, hydrogen in the insulator 280, the oxide 230b, and the oxide 230a can be efficiently removed.
  • the heat treatment temperature is preferably 300° C. or higher and 500° C. or lower.
  • the film quality of the insulator 280 can be modified, so that diffusion of hydrogen, water, impurities, and the like can be suppressed. Therefore, hydrogen, water, impurities, and the like can be prevented from diffusing into the oxide 230 through the insulator 280 by a post-process after the formation of the insulator 280, a heat treatment, or the like.
  • part of the insulator 280, part of the insulator 273, part of the insulator 272, part of the conductive layer 242B, and part of the oxide layer 243B are processed to reach the oxide 230b.
  • the opening is preferably formed so as to overlap with the conductor 205.
  • the conductor 242a, the conductor 242b, the oxide 243a, and the oxide 243b are formed by forming the opening (see FIGS. 9A to 9D).
  • the upper portion of the oxide 230b may be slightly removed when forming the opening.
  • a groove is formed in the oxide 230b by removing part of the oxide 230b. Depending on the depth of the groove, the groove may be formed in the step of forming the opening or in a step different from the step of forming the opening.
  • part of the insulator 280, part of the insulator 273, part of the insulator 272, part of the conductive layer 242B, part of the oxide layer 243B, and part of the oxide 230b are dry.
  • An etching method or a wet etching method can be used. Processing by the dry etching method is suitable for fine processing. Further, the processing may be performed under different conditions. For example, part of the insulator 280 is processed by a dry etching method, part of the insulator 273 is processed by a wet etching method, part of the insulator 272 is processed by a dry etching method, and one of the oxide layers 243B is processed.
  • the portion, a part of the conductive layer 242B, and a part of the oxide 230b may be processed by a dry etching method. Further, part of the oxide layer 243B and part of the conductive layer 242B may be processed under different conditions from part of the oxide 230b.
  • impurities caused by the etching gas or the like may adhere to the surface of the oxide 230a or the oxide 230b or diffuse into the surface.
  • impurities include fluorine and chlorine.
  • the cleaning method include wet cleaning using a cleaning liquid, plasma treatment using plasma, cleaning by heat treatment, and the like, and the above cleaning may be performed in appropriate combination.
  • cleaning treatment may be performed using an aqueous solution of ammonia water, oxalic acid, phosphoric acid, hydrofluoric acid, etc. diluted with carbonated water or pure water, pure water, or carbonated water. Further, ultrasonic cleaning using these aqueous solutions, pure water, or carbonated water may be performed. In addition, these washings may be combined appropriately.
  • the film thickness of the insulator 224 may be thin in a region which overlaps with the opening and does not overlap with the oxide 230b.
  • an oxide film 230C is formed (see FIGS. 10A to 10D).
  • Heat treatment may be performed before the oxide film 230C is formed, and the heat treatment is preferably performed under reduced pressure and the oxide film 230C is continuously formed without being exposed to the air. Further, the heat treatment is preferably performed in an atmosphere containing oxygen. By performing such treatment, moisture and hydrogen adsorbed on the surface of the oxide 230b or the like can be removed, and the moisture concentration and the hydrogen concentration in the oxide 230a and the oxide 230b can be further reduced.
  • the temperature of the heat treatment is preferably 100°C or higher and 400°C or lower. In this embodiment mode, the temperature of the heat treatment is 200° C.
  • the oxide film 230C includes at least the inner wall of the groove formed in the oxide 230b, part of the side surface of the oxide 243, part of the side surface of the conductor 242, part of the side surface of the insulator 272, and the insulator 273. Is preferably provided so as to be in contact with a part of the side surface of the insulator 280 and a part of the side surface of the insulator 280. Since the conductor 242 is surrounded by the oxide 243, the insulator 272, the insulator 273, and the oxide film 230C, the decrease in conductivity due to the oxidation of the conductor 242 in the subsequent steps can be suppressed.
  • the oxide film 230C can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • the oxide film 230C may be formed by a film formation method similar to that of the oxide film 230A or the oxide film 230B depending on the characteristics required for the oxide 230c.
  • an oxide target of In:Ga:Zn 5:1:3 [atomic ratio]
  • the oxide film 230C may be a laminated layer.
  • part of oxygen contained in the sputtering gas may be supplied to the oxide 230a and the oxide 230b.
  • part of oxygen contained in the sputtering gas may be supplied to the insulator 280 when the oxide film 230C is formed. Therefore, the proportion of oxygen contained in the sputtering gas of the oxide film 230C may be 70% or higher, preferably 80% or higher, more preferably 100%.
  • a mask is formed on the oxide film 230C by a lithography method. Note that a hard mask or a resist mask may be used as the mask.
  • part of the oxide film 230C is selectively removed using the mask.
  • part of the oxide film 230C may be removed by a wet etching method or the like. Through this step, part of the oxide film 230C located between the transistors 200 adjacent in the channel width direction can be removed.
  • the surface of the insulator 224 and the surface of the insulator 280 are exposed in the region where part of the oxide film 230C is removed by the above process. At this time, the thickness of the insulator 224 and the thickness of the insulator 280 in the region may be thin. Further, the insulator 224 in the region may be removed and the surface of the insulator 222 may be exposed.
  • the step of forming the mask may also serve as the step of removing a part of the oxide film 230C.
  • the mask is removed (see FIGS. 11A, 11C and 11D). Note that the mask may be removed by an etching method or the like.
  • the insulating film 250A is formed (see FIGS. 12A to 12D).
  • Heat treatment may be performed before the formation of the insulating film 250A, and the heat treatment may be performed under reduced pressure and the insulating film 250A may be continuously formed without being exposed to the air. Further, the heat treatment is preferably performed in an atmosphere containing oxygen. By performing such a treatment, moisture and hydrogen adsorbed on the surface of the oxide film 230C or the like are removed, and moisture concentration and hydrogen concentration in the oxide 230a, the oxide 230b, and the oxide film 230C are further reduced. be able to.
  • the temperature of the heat treatment is preferably 100°C or higher and 400°C or lower.
  • the insulating film 250A can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • the insulating film 250A is preferably formed by a film forming method using a gas in which hydrogen atoms are reduced or removed. Accordingly, the hydrogen concentration of the insulating film 250A can be reduced. Since the insulating film 250A becomes the insulator 250 that is in contact with the oxide 230c in a later step, it is preferable that the hydrogen concentration be reduced in this manner.
  • the insulating film which is a lower layer of the insulator 250 and the insulating film which is an upper layer of the insulator 250 may be formed successively without being exposed to an atmospheric environment. preferable.
  • the film without exposing to the atmosphere it is possible to prevent impurities or moisture from the atmospheric environment from adhering to the insulating film which is the lower layer of the insulator 250 and the insulating film which is the upper layer of the insulator 250.
  • the vicinity of the interface between the insulating film which is the lower layer of the insulator 250 and the insulating film which is the upper layer of the insulator 250 can be kept clean.
  • microwave treatment may be performed in an atmosphere containing oxygen and under reduced pressure.
  • an electric field due to microwaves is applied to the insulating film 250A, the oxide film 230C, the oxide 230b, the oxide 230a, and the like, so that V in the oxide film 230C, the oxide 230b, and the oxide 230a is reduced.
  • OH can be divided into V 2 O and hydrogen.
  • part of the hydrogen which is separated may be combined with oxygen and converted into H 2 O, which is removed from the insulating film 250A, the oxide film 230C, the oxide 230b, and the oxide 230a.
  • part of hydrogen may be gettered to the conductor 242 (the conductor 242a and the conductor 242b).
  • the microwave treatment By thus performing the microwave treatment, the hydrogen concentration in the insulating film 250A, the oxide film 230C, the oxide 230b, and the oxide 230a can be reduced.
  • oxygen is supplied to V O that may exist after the V O H in the oxide 230a, the oxide 230b, and V O H in the oxide film 230C is divided into V O and hydrogen, so that V O is restored.
  • the heat treatment may be performed while maintaining the reduced pressure state after the microwave treatment.
  • hydrogen in the insulating film 250A, the oxide film 230C, the oxide 230b, and the oxide 230a can be efficiently removed.
  • part of hydrogen may be gettered to the conductor 242 (the conductor 242a and the conductor 242b).
  • the step of performing heat treatment may be repeated a plurality of times while maintaining the reduced pressure state after the microwave treatment. By repeating the heat treatment, hydrogen in the insulating film 250A, the oxide film 230C, the oxide 230b, and the oxide 230a can be removed more efficiently.
  • the heat treatment temperature is preferably higher than or equal to 300 °C and lower than or equal to 500 °C.
  • the quality of the insulating film 250A is modified, so that diffusion of hydrogen, water, impurities, and the like can be suppressed. Therefore, hydrogen, water, impurities, or the like diffuse into the oxide 230b, the oxide 230a, or the like through the insulator 250 by a post-process such as formation of a conductive film to be the conductor 260 or a post-treatment such as heat treatment. Can be suppressed.
  • a conductive film 260A and a conductive film 260B are sequentially formed (see FIGS. 13A to 13D).
  • the conductive films 260A and 260B can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • the conductive film 260A is formed by an ALD method
  • the conductive film 260B is formed by a CVD method.
  • the oxide film 230C, the insulating film 250A, the conductive film 260A, and the conductive film 260B are polished by CMP treatment until the insulator 280 is exposed.
  • the body 260a and the conductor 260b) are formed (see FIGS. 14A to 14D). Accordingly, the oxide 230c is arranged so as to cover the opening reaching the oxide 230b and the inner wall (side wall and bottom surface) of the groove portion of the oxide 230b.
  • the insulator 250 is arranged so as to cover the opening and the inner wall of the groove via the oxide 230c.
  • the conductor 260 is arranged so as to fill the opening and the groove portion with the oxide 230c and the insulator 250 interposed therebetween.
  • heat treatment may be performed.
  • the treatment is performed at a temperature of 400° C. for one hour in a nitrogen atmosphere.
  • moisture concentration and hydrogen concentration in the insulator 250 and the insulator 280 can be reduced.
  • the insulator 282 may be continuously formed without being exposed to the air.
  • an insulator 282 is formed over the oxide 230c, the insulator 250, the conductor 260, and the insulator 280 (see FIGS. 15B to 15D).
  • the insulator 282 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • an aluminum oxide film is preferably formed by a sputtering method.
  • oxygen can be added to the insulator 280 while forming the film. At this time, it is preferable to form the insulator 282 while heating the substrate.
  • oxygen contained in the insulator 280 can be prevented from being absorbed by the conductor 260 in heat treatment performed later, which is preferable. ..
  • the insulator 283 is formed over the insulator 282 (see FIGS. 15B to 15D).
  • the insulator 283 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • silicon nitride or silicon nitride oxide is preferably formed.
  • the insulator 283 may have a multi-layer structure. For example, a silicon nitride film may be formed by a sputtering method, and a silicon nitride film may be formed by a CVD method over the silicon nitride.
  • heat treatment may be performed.
  • the treatment is performed at a temperature of 400° C. for one hour in a nitrogen atmosphere.
  • oxygen added by forming the insulator 282 can be diffused into the insulator 280 and further supplied to the oxide 230a and the oxide 230b through the oxide 230c.
  • the heat treatment is not limited to after the insulator 283 is formed, and may be performed after the insulator 282 is formed.
  • the insulator 284 may be formed over the insulator 283.
  • the insulator 284 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • a silicon nitride film is preferably formed by a sputtering method.
  • openings are formed in the insulator 272, the insulator 273, the insulator 280, the insulator 282, the insulator 283, and the insulator 284 to reach the conductor 242a and the conductor 242b.
  • the opening may be formed by using a lithography method.
  • an insulating film to be the insulator 241 (the insulator 241a and the insulator 241b) is formed, and the insulating film is anisotropically etched to form the insulator 241.
  • the insulating film can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • an insulating film having a function of suppressing permeation of oxygen is preferably used.
  • a dry etching method may be used as the anisotropic etching of the insulating film that becomes the insulator 241.
  • a dry etching method may be used.
  • permeation of oxygen from the outside can be suppressed and oxidation of the conductor 240a and the conductor 240b which are formed next can be prevented.
  • impurities such as water and hydrogen can be prevented from diffusing outside from the conductor 240a and the conductor 240b.
  • the conductive film preferably has a stacked-layer structure including a conductor having a function of suppressing permeation of impurities such as water and hydrogen.
  • a stacked layer of tantalum nitride, titanium nitride, or the like and tungsten, molybdenum, copper, or the like can be used.
  • the conductive film can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • CMP treatment is performed to remove part of the conductive films to be the conductors 240a and 240b and expose the insulator 284.
  • the conductor 240a and the conductor 240b whose top surfaces are flat can be formed by leaving the conductive film only in the openings (see FIGS. 4A to 4D).
  • part of the insulator 284 may be removed by the CMP treatment.
  • the conductive film can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
  • the conductive film to be the conductor 246 is processed by a lithography method to form the conductor 246a in contact with the top surface of the conductor 240a and the conductor 246b in contact with the top surface of the conductor 240b (see FIGS. 4A to 4D). ).
  • the insulator 284 in a region where the conductor 246a and the conductor 246b do not overlap with the insulator 284 may be removed.
  • an insulator 286 is formed over the conductor 246 and the insulator 284 (see FIGS. 4A to 4D).
  • the insulator 286 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. Further, the insulator 286 may have a multi-layer structure. For example, a silicon nitride film may be formed by a sputtering method, and a silicon nitride film may be formed by a CVD method over the silicon nitride.
  • a semiconductor device including the transistor 200 illustrated in FIGS. 4A to 4D can be manufactured.
  • the transistor 200 can be manufactured by using the method for manufacturing the semiconductor device described in this embodiment.
  • a transistor 200 according to one embodiment of the present invention which is different from the above-described ⁇ Structural example of semiconductor device> and ⁇ Modified example of semiconductor device> described above with reference to FIGS. 16A and 16B, is included.
  • An example of a semiconductor device will be described. Note that in the semiconductor device illustrated in FIGS. 16A and 16B, a structure having the same function as the structure of the semiconductor device (see FIGS. 4A to 4D) illustrated in ⁇ Modification 1 of semiconductor device>> is The same symbols are added. Note that in this item, as the constituent material of the transistor 200, the materials described in detail in ⁇ Structure example of semiconductor device> and ⁇ Modification example of semiconductor device> can be used.
  • transistors 200_1 to 200_n show a structure in which a plurality of transistors (transistors 200_1 to 200_n) are collectively sealed with an insulator 283 and an insulator 211. Note that although the transistors 200_1 to 200_n appear to be aligned in the channel length direction in FIGS. 16A and 16B, the invention is not limited thereto.
  • the transistors 200_1 to 200_n may be arranged in the channel width direction or may be arranged in matrix. Further, they may be arranged without regularity depending on the design.
  • a portion where the insulator 283 and the insulator 211 are in contact with each other (hereinafter, may be referred to as a sealing portion 265) is formed. ..
  • the sealing portion 265 is formed so as to surround a plurality of transistors (also referred to as a transistor group). With such a structure, a plurality of transistors can be wrapped with the insulator 283 and the insulator 211. Therefore, a plurality of transistor groups surrounded by the sealing portion 265 are provided on the substrate.
  • a dicing line (may be referred to as a scribe line, a dividing line, or a cutting line) may be provided so as to overlap the sealing portion 265. Since the substrate is divided in the dicing line, the transistor group surrounded by the sealing portion 265 is taken out as one chip.
  • FIG. 16A shows an example in which a plurality of transistors (transistors 200_1 to 200_n) are enclosed by one sealing portion 265, the present invention is not limited to this.
  • a plurality of transistors may be surrounded by a plurality of sealing portions.
  • the plurality of transistors are surrounded by the sealing portion 265a and further surrounded by the outer sealing portion 265b.
  • transistors 200_1 to 200_n By thus surrounding a plurality of transistors (transistors 200_1 to 200_n) with a plurality of sealing portions, a portion where the insulator 283 and the insulator 211 are in contact with each other is increased; thus, the insulator 283 and the insulator 211 are separated from each other.
  • the adhesiveness can be further improved. Thereby, a plurality of transistors can be sealed more reliably.
  • the dicing line may be provided so as to overlap the sealing portion 265a or the sealing portion 265b, or the dicing line may be provided between the sealing portion 265a and the sealing portion 265b.
  • a semiconductor device with high on-state current can be provided. Further, according to one embodiment of the present invention, a semiconductor device with less variation in transistor characteristics can be provided. Further, according to one embodiment of the present invention, a highly reliable semiconductor device can be provided. Further, according to one embodiment of the present invention, a semiconductor device having favorable electric characteristics can be provided. Further, according to one embodiment of the present invention, a semiconductor device which can be miniaturized or highly integrated can be provided. Further, according to one embodiment of the present invention, a semiconductor device with low power consumption can be provided.
  • FIG. 17 illustrates an example of a semiconductor device (memory device) according to one embodiment of the present invention.
  • the transistor 200 is provided above the transistor 300 and the capacitor 100 is provided above the transistor 300 and the transistor 200. Note that the transistor 200 described in any of the above embodiments can be used as the transistor 200.
  • the transistor 200 is a transistor in which a channel is formed in a semiconductor layer including an oxide semiconductor. Since the off-state current of the transistor 200 is small, the stored content can be held for a long time by using the transistor 200 in a memory device. That is, the refresh operation is not required or the frequency of the refresh operation is extremely low, so that the power consumption of the memory device can be sufficiently reduced.
  • the wiring 1001 is electrically connected to the source of the transistor 300 and the wiring 1002 is electrically connected to the drain of the transistor 300.
  • the wiring 1003 is electrically connected to one of a source and a drain of the transistor 200, the wiring 1004 is electrically connected to a first gate of the transistor 200, and the wiring 1006 is electrically connected to a second gate of the transistor 200. It is connected to the.
  • the gate of the transistor 300 and the other of the source and the drain of the transistor 200 are electrically connected to one of the electrodes of the capacitor 100 and the wiring 1005 is electrically connected to the other of the electrodes of the capacitor 100. ..
  • the memory devices shown in FIG. 17 can be arranged in a matrix to form a memory cell array.
  • the transistor 300 is provided over the substrate 311 and includes a conductor 316 which functions as a gate, an insulator 315 which functions as a gate insulator, a semiconductor region 313 which is a part of the substrate 311, and a low region which functions as a source region or a drain region. It has a resistance region 314a and a low resistance region 314b.
  • the transistor 300 may be either a p-channel type or an n-channel type.
  • a semiconductor region 313 (a part of the substrate 311) in which a channel is formed has a convex shape. Further, the side surface and the upper surface of the semiconductor region 313 are provided so as to cover the conductor 316 with the insulator 315 interposed therebetween. Note that the conductor 316 may be formed using a material whose work function is adjusted. Such a transistor 300 is also called a FIN-type transistor because it uses a convex portion of a semiconductor substrate. Note that an insulator which functions as a mask for forming the protrusion may be provided in contact with the top of the protrusion. Further, although the case where a part of the semiconductor substrate is processed to form the convex portion is shown here, the SOI substrate may be processed to form a semiconductor film having a convex shape.
  • transistor 300 illustrated in FIG. 17 is an example, and the structure is not limited thereto, and an appropriate transistor may be used depending on a circuit configuration or a driving method.
  • the capacitor 100 is provided above the transistor 200.
  • the capacitor 100 includes a conductor 110 that functions as a first electrode, a conductor 120 that functions as a second electrode, and an insulator 130 that functions as a dielectric.
  • the insulator 130 is preferably an insulator that can be used as the insulator 286 described in the above embodiment.
  • the conductor 112 provided on the conductor 246 and the conductor 110 can be formed at the same time.
  • the conductor 112 has a function as a plug or a wiring which is electrically connected to the capacitor 100, the transistor 200, or the transistor 300.
  • the conductor 112 and the conductor 110 each have a single-layer structure in FIG. 17, the structure is not limited to this and may have a stacked structure of two or more layers.
  • a conductor having a barrier property and a conductor having high adhesion to the conductor having high conductivity may be formed between the conductor having barrier property and the conductor having high conductivity.
  • the insulator 130 is, for example, silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, aluminum oxide, aluminum oxynitride, aluminum nitride oxide, aluminum nitride, hafnium oxide, hafnium oxynitride, hafnium nitride oxide, or hafnium nitride. Etc. may be used, and they can be provided as a laminated layer or a single layer.
  • the capacitor 100 has an insulator having a high dielectric constant (high-k), so that a sufficient capacity can be secured, and an insulator having a large dielectric strength improves the dielectric strength and the capacitance. Electrostatic breakdown of the device 100 can be suppressed.
  • high dielectric constant (high-k) material a material having a high relative dielectric constant
  • gallium oxide, hafnium oxide, zirconium oxide, an oxide containing aluminum and hafnium, an oxynitride containing aluminum and hafnium, silicon For example, an oxide containing hafnium, an oxynitride containing silicon and hafnium, or a nitride containing silicon and hafnium.
  • silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, fluorine-added silicon oxide, carbon-added silicon oxide, carbon and nitrogen are used. Examples thereof include added silicon oxide, silicon oxide having pores, or resin.
  • a wiring layer provided with an interlayer film, a wiring, a plug, and the like may be provided between the structures. Further, a plurality of wiring layers can be provided according to the design.
  • the conductor having a function as a plug or a wiring may have a plurality of structures collectively given the same reference numeral. Further, in this specification and the like, the wiring and the plug electrically connected to the wiring may be integrated. That is, part of the conductor may function as a wiring, and part of the conductor may function as a plug.
  • an insulator 320, an insulator 322, an insulator 324, and an insulator 326 are sequentially stacked as an interlayer film over the transistor 300. Further, the insulator 320, the insulator 322, the insulator 324, and the insulator 326 are embedded with a conductor 328, a conductor 330, and the like which are electrically connected to the capacitor 100 or the transistor 200. Note that the conductor 328 and the conductor 330 function as a plug or a wiring.
  • the insulator functioning as an interlayer film may function as a flattening film that covers the uneven shape below the insulator.
  • the upper surface of the insulator 322 may be planarized by a planarization treatment using a chemical mechanical polishing (CMP) method or the like in order to enhance planarity.
  • CMP chemical mechanical polishing
  • a wiring layer may be provided on the insulator 326 and the conductor 330.
  • an insulator 350, an insulator 352, and an insulator 354 are sequentially stacked and provided.
  • a conductor 356 is formed over the insulator 350, the insulator 352, and the insulator 354.
  • the conductor 356 functions as a plug or a wiring.
  • a conductor 218, a conductor (conductor 205) included in the transistor 200, and the like are embedded in the insulator 210, the insulator 211, the insulator 212, the insulator 214, and the insulator 216.
  • the conductor 218 has a function as a plug or a wiring which is electrically connected to the capacitor 100 or the transistor 300.
  • an insulator 150 is provided over the conductor 120 and the insulator 130.
  • the insulator 217 is provided in contact with the side surface of the conductor 218 functioning as a plug.
  • the insulator 217 is provided in contact with the inner walls of the openings formed in the insulator 210, the insulator 211, the insulator 212, the insulator 214, and the insulator 216. That is, the insulator 217 is provided between the conductor 218 and the insulator 210, the insulator 211, the insulator 212, the insulator 214, and the insulator 216. Since the conductor 205 can be formed in parallel with the conductor 218, the insulator 217 may be formed in contact with the side surface of the conductor 205 in some cases.
  • an insulator such as silicon nitride, aluminum oxide, or silicon nitride oxide may be used. Since the insulator 217 is provided in contact with the insulator 210, the insulator 211, the insulator 212, the insulator 214, and the insulator 222, impurities such as water or hydrogen are transferred from the insulator 210 or the insulator 216, or the like. Mixing into the oxide 230 through the body 218 can be suppressed.
  • silicon nitride is preferable because it has a high blocking property against hydrogen. Further, oxygen contained in the insulator 210 or the insulator 216 can be prevented from being absorbed by the conductor 218.
  • the insulator 217 can be formed by a method similar to that of the insulator 241.
  • a PEALD method may be used to form a silicon nitride film and anisotropic etching may be used to form an opening reaching the conductor 356.
  • the insulators that can be used as the interlayer film include oxides, nitrides, oxynitrides, nitride oxides, metal oxides, metal oxynitrides, metal nitride oxides, etc., which have insulating properties.
  • the material may be selected depending on the function of the insulator.
  • the insulator 150, the insulator 210, the insulator 352, the insulator 354, and the like have insulators with low relative permittivity.
  • the insulator may include silicon nitride oxide, silicon nitride, fluorine-added silicon oxide, carbon-added silicon oxide, carbon-nitrogen-added silicon oxide, silicon oxide having holes, or a resin.
  • the insulator is silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, fluorine-added silicon oxide, carbon-added silicon oxide, carbon-nitrogen-added silicon oxide, or silicon oxide having holes. And a laminated structure of a resin.
  • silicon oxide and silicon oxynitride are thermally stable, by combining with a resin, a laminated structure having thermal stability and a low relative dielectric constant can be obtained.
  • the resin include polyester, polyolefin, polyamide (nylon, aramid, etc.), polyimide, polycarbonate, acrylic and the like.
  • a transistor including an oxide semiconductor can have stable electrical characteristics by being surrounded by an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen. Therefore, for the insulator 214, the insulator 211, the insulator 212, the insulator 350, and the like, an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen may be used.
  • the insulator having a function of suppressing the permeation of impurities such as hydrogen and oxygen for example, boron, carbon, nitrogen, oxygen, fluorine, magnesium, aluminum, silicon, phosphorus, chlorine, argon, gallium, germanium, yttrium, zirconium.
  • the insulator containing lanthanum, lanthanum, neodymium, hafnium, or tantalum may be used as a single layer or as a stacked layer.
  • an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen
  • a metal oxide such as tantalum oxide, silicon nitride oxide, silicon nitride, or the like can be used.
  • Conductors that can be used for wiring and plugs include aluminum, chromium, copper, silver, gold, platinum, tantalum, nickel, titanium, molybdenum, tungsten, hafnium, vanadium, niobium, manganese, magnesium, zirconium, beryllium, indium.
  • a material containing at least one metal element selected from ruthenium, ruthenium, and the like can be used.
  • a semiconductor having high electric conductivity which is typified by polycrystalline silicon containing an impurity element such as phosphorus, or silicide such as nickel silicide may be used.
  • a metal material for example, as the conductor 328, the conductor 330, the conductor 356, the conductor 218, the conductor 112, and the like, a metal material, an alloy material, a metal nitride material, a metal oxide material, or the like formed using any of the above materials.
  • the conductive material of can be used as a single layer or a laminate. It is preferable to use a high melting point material such as tungsten or molybdenum, which has both heat resistance and conductivity, and it is preferable to use tungsten. Alternatively, it is preferably formed of a low resistance conductive material such as aluminum or copper. Wiring resistance can be reduced by using a low-resistance conductive material.
  • an insulator having an excess oxygen region may be provided in the vicinity of the oxide semiconductor.
  • an insulator having a barrier property is preferably provided between the insulator having the excess oxygen region and the conductor provided in the insulator having the excess oxygen region.
  • the insulator 241 is preferably provided between the insulator 240 and the insulator 280 having excess oxygen, and the conductor 240.
  • the insulator 241 is provided in contact with the insulator 222, the insulator 272, the insulator 273, the insulator 282, the insulator 283, and the insulator 284, the insulator 224 and the transistor 200 have barrier properties.
  • a structure for sealing can be formed with the insulator.
  • the excess oxygen contained in the insulator 224 and the insulator 280 can be suppressed from being absorbed by the conductor 240. Further, with the insulator 241, hydrogen, which is an impurity, can be suppressed from diffusing into the transistor 200 through the conductor 240.
  • an insulating material having a function of suppressing diffusion of impurities such as water or hydrogen and oxygen is preferable.
  • silicon nitride silicon nitride oxide, aluminum oxide, hafnium oxide, or the like.
  • silicon nitride is preferable because it has a high blocking property against hydrogen.
  • a metal oxide such as magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconium oxide, lanthanum oxide, neodymium oxide, or tantalum oxide can be used.
  • the transistor 200 is preferably sealed with the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, and the insulator 284. .. With such a structure, hydrogen contained in the insulator 274, the insulator 150, and the like can be prevented from entering the insulator 280 and the like.
  • the conductor 240 penetrates the insulator 284, the insulator 283, and the insulator 282, and the conductor 218 penetrates the insulator 214, the insulator 212, and the insulator 211.
  • the insulator 241 is provided in contact with the conductor 240
  • the insulator 217 is provided in contact with the conductor 218. Accordingly, hydrogen mixed in the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, and the insulator 284 through the conductor 240 and the conductor 218 is reduced. can do.
  • the transistor 200 is more reliably sealed with the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, the insulator 284, the insulator 241, and the insulator 217.
  • impurities such as hydrogen contained in the insulator 274 and the like can be prevented from entering from the outside.
  • the insulator 216, the insulator 224, the insulator 280, the insulator 250, and the insulator 274 are formed by a film formation method using a gas in which hydrogen atoms are reduced or removed as described in the above embodiment. It is preferably formed. Accordingly, the hydrogen concentration of the insulator 216, the insulator 224, the insulator 280, the insulator 250, and the insulator 274 can be reduced.
  • the hydrogen concentration of the silicon-based insulating film near the transistor 200 can be reduced and the hydrogen concentration of the oxide 230 can be reduced.
  • a dicing line (may be referred to as a scribe line, a dividing line, or a cutting line) provided when a plurality of semiconductor devices are taken out in a chip shape by dividing a large-area substrate into semiconductor elements will be described. ..
  • a dividing method for example, first, after forming a groove (dicing line) for dividing a semiconductor element on a substrate, cutting may be performed at the dicing line to divide (divide) into a plurality of semiconductor devices.
  • the insulator 282, the insulator 280, the insulator 273, the insulator 272, the insulator 224, the insulator 222, and the insulator 216 are provided in the vicinity of a region serving as a dicing line which is provided on the outer edge of the memory cell including the plurality of transistors 200. Openings are provided in the insulator 214 and the insulator 212.
  • openings are provided in the insulator 282, the insulator 280, the insulator 273, the insulator 272, the insulator 224, the insulator 222, the insulator 216, the insulator 214, and the insulator 212, the insulator 211, It contacts the insulator 283.
  • openings are provided in the insulator 282, the insulator 280, the insulator 273, the insulator 272, the insulator 224, the insulator 222, the insulator 216, and the insulator 214 so that the insulator 212 and the insulator 283 are in contact with each other. May be.
  • the insulator 212 and the insulator 283 may be formed using the same material and the same method.
  • adhesion can be improved.
  • the transistor 200 can be wrapped with the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, and the insulator 284.
  • At least one of the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, and the insulator 284 has a function of suppressing diffusion of oxygen, hydrogen, and water. Therefore, by dividing the substrate for each circuit region in which the semiconductor element described in this embodiment is formed, even when processed into a plurality of chips, impurities such as hydrogen or water are generated from the side surface direction of the divided substrate. It is possible to prevent the contamination and the diffusion to the transistor 200.
  • the oxide in which the channel in the transistor 200 is formed can be an oxide semiconductor with low density of defect states and stable characteristics. That is, variation in electrical characteristics of the transistor 200 can be suppressed and reliability can be improved.
  • the shape of the capacitor 100 is a planar type, but the memory device described in this embodiment is not limited to this.
  • the shape of the capacitive element 100 may be a cylinder type.
  • the structure of the memory device illustrated in FIG. 18 below the insulator 150 is similar to that of the semiconductor device illustrated in FIG.
  • the capacitor 100 illustrated in FIG. 18 includes the insulator 150 over the insulator 130, the insulator 142 over the insulator 150, and the conductor 115 arranged in the insulator 150 and the opening formed in the insulator 142. And an insulator 145 over the conductor 115 and the insulator 142, a conductor 125 over the insulator 145, and an insulator 152 over the conductor 125 and the insulator 145.
  • at least a part of the conductor 115, the insulator 145, and the conductor 125 is placed in the openings formed in the insulator 150 and the insulator 142.
  • the conductor 115 functions as a lower electrode of the capacitor 100
  • the conductor 125 functions as an upper electrode of the capacitor 100
  • the insulator 145 functions as a dielectric of the capacitor 100.
  • the upper electrode and the lower electrode face each other across the dielectric not only on the bottom surface but also on the side surface.
  • the capacity can be increased. Therefore, the capacitance of the capacitive element 100 can be increased as the depth of the opening is increased.
  • an insulator that can be used for the insulator 280 may be used.
  • the insulator 142 preferably functions as an etching stopper when the opening of the insulator 150 is formed, and an insulator that can be used for the insulator 214 may be used.
  • the shape of the opening formed in the insulator 150 and the insulator 142 as viewed from above may be a quadrangle, a polygonal shape other than the quadrangle, or a shape in which corners are curved in the polygonal shape.
  • the shape may be circular including an ellipse.
  • it is preferable that the area where the opening and the transistor 200 overlap with each other in the top view is large. With such a structure, the area occupied by the semiconductor device including the capacitor 100 and the transistor 200 can be reduced.
  • the conductor 115 is arranged in contact with the openings formed in the insulator 142 and the insulator 150. It is preferable that the top surface of the conductor 115 substantially match the top surface of the insulator 142. Further, the lower surface of the conductor 115 is in contact with the conductor 110 through the opening of the insulator 130.
  • the conductor 115 is preferably formed by an ALD method, a CVD method, or the like. For example, a conductor that can be used as the conductor 205 may be used.
  • the insulator 145 is arranged so as to cover the conductor 115 and the insulator 142.
  • the insulator 145 is preferably formed by an ALD method, a CVD method, or the like.
  • the insulator 145 includes, for example, silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, zirconium oxide, aluminum oxide, aluminum oxynitride, aluminum nitride oxide, aluminum nitride, hafnium oxide, hafnium oxynitride, hafnium nitride oxide, and nitride.
  • Hafnium or the like may be used and can be provided as a stacked layer or a single layer.
  • an insulating film in which zirconium oxide, aluminum oxide, and zirconium oxide are stacked in this order can be used.
  • a material having a large dielectric strength such as silicon oxynitride or a material having a high dielectric constant (high-k) for the insulator 145.
  • a stacked structure of a material having high dielectric strength and a high dielectric constant (high-k) material may be used.
  • high dielectric constant (high-k) material a material having a high relative dielectric constant
  • gallium oxide, hafnium oxide, zirconium oxide, an oxide containing aluminum and hafnium, an oxynitride containing aluminum and hafnium, silicon there are oxides containing hafnium, oxynitrides containing silicon and hafnium, nitrides containing silicon and hafnium, and the like.
  • silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide added with fluorine, silicon oxide added with carbon, silicon oxide added with carbon and nitrogen, and holes are used as materials having high dielectric strength.
  • silicon oxide, resin, and the like are used as materials having high dielectric strength.
  • laminated in the order of silicon nitride was deposited using ALD (SiN x)
  • silicon oxide was deposited using PEALD method (SiO x)
  • silicon nitride was deposited using ALD (SiN x) Insulated film can be used.
  • the conductor 125 is arranged so as to fill the openings formed in the insulator 142 and the insulator 150.
  • the conductor 125 is electrically connected to the wiring 1005 through the conductor 140 and the conductor 153.
  • the conductor 125 is preferably formed by an ALD method, a CVD method, or the like.
  • a conductor that can be used as the conductor 205 may be used.
  • the conductor 153 is provided on the insulator 154 and covered with the insulator 156.
  • a conductor that can be used for the conductor 112 may be used, and for the insulator 156, an insulator that can be used for the insulator 152 may be used.
  • the conductor 153 is in contact with the top surface of the conductor 140 and functions as a terminal of the capacitor 100, the transistor 200, or the transistor 300.
  • FIG. 19 illustrates an example of a memory device using the semiconductor device which is one embodiment of the present invention.
  • the memory device illustrated in FIG. 19 includes a transistor 400 in addition to the semiconductor device including the transistor 200, the transistor 300, and the capacitor 100 illustrated in FIG.
  • the transistor 400 can control the second gate voltage of the transistor 200.
  • the first gate and the second gate of the transistor 400 are diode-connected to the source, and the source of the transistor 400 is connected to the second gate of the transistor 200.
  • the negative potential of the second gate of the transistor 200 is held in this structure, the first gate-source voltage and the second gate-source voltage of the transistor 400 are 0V.
  • the second gate voltage of the transistor 200 can be reduced without supplying power to the transistor 200 and the transistor 400.
  • the negative potential can be maintained for a long time. Accordingly, the memory device including the transistor 200 and the transistor 400 can hold the memory content for a long time.
  • the wiring 1001 is electrically connected to the source of the transistor 300 and the wiring 1002 is electrically connected to the drain of the transistor 300.
  • the wiring 1003 is electrically connected to one of a source and a drain of the transistor 200, the wiring 1004 is electrically connected to a first gate of the transistor 200, and the wiring 1006 is electrically connected to a second gate of the transistor 200. It is connected to the.
  • the gate of the transistor 300 and the other of the source and the drain of the transistor 200 are electrically connected to one of the electrodes of the capacitor 100 and the wiring 1005 is electrically connected to the other of the electrodes of the capacitor 100. ..
  • the wiring 1007 is electrically connected to the source of the transistor 400
  • the wiring 1008 is electrically connected to the first gate of the transistor 400
  • the wiring 1009 is electrically connected to the second gate of the transistor 400
  • the wiring 1010. Are electrically connected to the drain of the transistor 400.
  • the wiring 1006, the wiring 1007, the wiring 1008, and the wiring 1009 are electrically connected.
  • the memory device shown in FIG. 19 can form a memory cell array by arranging the memory device shown in FIG. 17 in a matrix, like the memory device shown in FIG. Note that one transistor 400 can control the second gate voltage of the plurality of transistors 200. Therefore, the transistor 400 may be provided in a smaller number than the transistor 200.
  • the memory device illustrated in FIG. 19 is similar to the memory device illustrated in FIG. 17 except that the transistor 200 and the transistor 400 are replaced by an insulator 211, an insulator 212, an insulator 214, an insulator 287, and an insulator 282. It can be sealed with the insulator 283 and the insulator 284.
  • the transistor 400 is formed in the same layer as the transistor 200 and can be manufactured in parallel.
  • the transistor 400 includes a conductor 460 (a conductor 460a and a conductor 460b) which functions as a first gate, a conductor 405 which functions as a second gate, an insulator 222 which functions as a gate insulating layer, and an insulator. 224, an insulator 450, an oxide 430d having a channel formation region, a conductor 442a, an oxide 443a, an oxide 431a, and an oxide 431b which function as a source, and a conductor 442b and an oxide which function as a drain. 443b, the oxide 432a, and the oxide 432b.
  • a conductor functioning as a plug is provided in contact with the conductors 442a and 442b.
  • the conductor 405 and the conductor 205 are formed in the same layer.
  • the oxide 431a and the oxide 432a and the oxide 230a are formed in the same layer, and the oxide 431b and the oxide 432b and the oxide 230b are formed in the same layer.
  • the conductor 442a, the conductor 442b, and the conductor 242 are formed in the same layer.
  • the oxide 443a, the oxide 443b, and the oxide 243 are formed in the same layer.
  • the oxide 430d and the oxide 230d are formed in the same layer.
  • the insulator 450 and the insulator 250 are formed in the same layer.
  • the conductor 460 and the conductor 260 are formed in the same layer.
  • the oxide 430d can be formed by processing an oxide film to be the oxide 230d.
  • the oxide 430d functioning as an active layer of the transistor 400 has reduced oxygen vacancies and reduced impurities such as hydrogen and water. Accordingly, the threshold voltage of the transistor 400 can be increased, the off-state current can be reduced, and the drain current when the second gate voltage and the first gate voltage are 0 V can be extremely reduced.
  • the oxide film to be the oxide 230c in a region overlapping with the conductor 460 be removed and the oxide film to be the oxide 230d be formed and processed to form the oxide 430d.
  • the oxide film to be the oxide 230c in a region overlapping with the conductor 460 may be removed at a timing at which part of the oxide film 230C is removed by wet etching or the like.
  • FIG. 20 illustrates an example of a semiconductor device (memory device) according to one embodiment of the present invention.
  • FIG. 20 is a cross-sectional view of a semiconductor device having the memory device 290.
  • the memory device 290 illustrated in FIG. 20 includes a capacitor device 292 in addition to the transistor 200 illustrated in FIGS. 4A to 4D. 20 corresponds to a cross-sectional view of the transistor 200 in the channel length direction.
  • the capacitive device 292 includes a conductor 242b, an insulator 272 provided over the conductor 242b, an insulator 273, and a conductor 294 provided over the insulator 273. That is, the capacitance device 292 constitutes a MIM (Metal-Insulator-Metal) capacitance. Note that one of the pair of electrodes included in the capacitor device 292, that is, the conductor 242b can also serve as a source electrode or a drain electrode of the transistor. The dielectric layer included in the capacitor device 292 can also serve as a protective layer provided in the transistor, that is, the insulator 272 and the insulator 273.
  • MIM Metal-Insulator-Metal
  • part of the manufacturing process of the transistor 200 can be used in the manufacturing process of the capacitor device 292, so that the semiconductor device can have high productivity.
  • one of the pair of electrodes included in the capacitor device 292, that is, the conductor 242b also serves as a source electrode or a drain electrode of the transistor 200; thus, the area where the transistor 200 and the capacitor device 292 are provided can be reduced. Is possible.
  • conductor 294 for example, a material that can be used for the conductor 242 may be used.
  • FIGS. 21A, 21B, 22, and 23 a transistor 200 and a capacitor device 292 according to one embodiment of the present invention, which are different from those described above in ⁇ Structure example of memory device>, are described.
  • An example of a semiconductor device having a will be described.
  • a structure having the same function as the structure of the semiconductor device shown in the above embodiment and ⁇ Structure example of memory device> is The same symbols are added. Note that in this item, as the constituent material of the transistor 200 and the capacitor device 292, the material described in detail in the above embodiment and ⁇ Structure example of memory device> can be used.
  • FIG. 21A is a cross-sectional view in the channel length direction of a semiconductor device 600 including the transistor 200a, the transistor 200b, the capacitive device 292a, and the capacitive device 292b.
  • the semiconductor device 600 has a line-symmetrical structure with the dashed-dotted line A3-A4 as the axis of symmetry.
  • the conductor 242c serves as one of a source electrode and a drain electrode of the transistor 200a and one of a source electrode and a drain electrode of the transistor 200b.
  • the conductor 246 which functions as a wiring and the conductor 240 which also functions as a plug also serve as a connection between the transistor 200a and the transistor 200b.
  • the two transistors, the two capacitive devices, and the connection between the wiring and the plug are configured as described above, whereby a semiconductor device which can be miniaturized or highly integrated can be provided.
  • the configuration example of the semiconductor device illustrated in FIGS. 4A to 4D and FIG. 20 can be referred to.
  • the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b are given as examples of the structure of the semiconductor device in the above, the semiconductor device described in this embodiment is not limited to this.
  • the semiconductor device 600 and a semiconductor device having a structure similar to that of the semiconductor device 600 may be connected to each other through a capacitor portion.
  • a semiconductor device including the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b is referred to as a cell.
  • the above description of the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b can be referred to.
  • 21B is a cross-sectional view in which a semiconductor device 600 including the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b, and a cell having a structure similar to that of the semiconductor device 600 are connected to each other through a capacitor portion.
  • the conductor 294b functioning as one electrode of the capacitor device 292b included in the semiconductor device 600 also serves as one electrode of the capacitor device included in the semiconductor device 601 having the same structure as the semiconductor device 600.
  • the conductor 294a which functions as one electrode of the capacitor device 292a included in the semiconductor device 600 is provided on the left side of the semiconductor device 600, that is, in one of the capacitor devices of the semiconductor device which are adjacent to each other in the direction A1 in FIG. 21B. Also serves as an electrode.
  • the right side of the semiconductor device 601, that is, the cell in the A2 direction in FIG. 21B has the same configuration. That is, a cell array (also referred to as a memory device layer) can be formed.
  • the interval between adjacent cells can be reduced, so that the projected area of the cell array can be reduced and high integration can be achieved. Further, by arranging the configuration of the cell array shown in FIG. 21B in a matrix, a matrix cell array can be constructed.
  • the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b with the structure described in this embodiment, the area of the cell is reduced and a semiconductor device including a cell array is downsized or improved. It can be integrated.
  • FIG. 22 shows a cross-sectional view of a structure in which the cell array 610 is laminated in n layers. As shown in FIG. 22, by stacking a plurality of cell arrays (cell arrays 610_1 to 610_n), cells can be integrated and arranged without increasing the area occupied by the cell arrays. That is, a 3D cell array can be configured.
  • FIG. 23 illustrates an example in which the memory unit 470 includes a transistor layer 413 including a transistor 200T and four memory device layers 415 (memory device layers 415_1 to 415_4).
  • Each of the memory device layers 415_1 to 415_4 has a plurality of memory devices 420.
  • the memory device 420 is electrically connected to the memory device 420 included in the different memory device layer 415 and the transistor 200T included in the transistor layer 413 through the conductor 424 and the conductor 205.
  • the memory unit 470 is sealed by the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, and the insulator 284 (hereinafter, referred to as a sealing structure for convenience). ).
  • An insulator 274 is provided around the insulator 284.
  • a conductor 440 is provided in the insulator 274, the insulator 284, the insulator 283, and the insulator 211, and is electrically connected to the element layer 411.
  • an insulator 280 is provided inside the sealing structure.
  • the insulator 280 has a function of releasing oxygen by heating.
  • the insulator 280 has an excess oxygen region.
  • the insulator 211, the insulator 283, and the insulator 284 are preferably materials having a function of high blocking property against hydrogen. Further, the insulator 214, the insulator 282, and the insulator 287 are preferably a material having a function of trapping hydrogen or fixing hydrogen.
  • silicon nitride, silicon nitride oxide, or the like can be given as the material having the function of having a high blocking property against hydrogen.
  • silicon nitride, silicon nitride oxide, or the like can be given as the material having the function of having a high blocking property against hydrogen.
  • the material having a function of capturing hydrogen or fixing hydrogen include aluminum oxide, hafnium oxide, and oxides containing aluminum and hafnium (hafnium aluminate).
  • the barrier property is a function of suppressing diffusion of a corresponding substance (also referred to as low permeability).
  • the corresponding substance has a function of capturing and fixing (also referred to as gettering).
  • a crystal structure of a material used for the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, and the insulator 284 may be used.
  • an amorphous aluminum oxide film is preferably used as a material having a function of capturing hydrogen or fixing hydrogen.
  • Amorphous aluminum oxide may have a larger amount of trapping and fixing hydrogen than aluminum oxide having high crystallinity.
  • excess oxygen in the insulator 280 can be modeled as follows with respect to diffusion of hydrogen in the oxide semiconductor in contact with the insulator 280.
  • Hydrogen existing in the oxide semiconductor diffuses to another structure through the insulator 280 which is in contact with the oxide semiconductor.
  • the hydrogen reacts with excess oxygen in the insulator 280 to form an OH bond, and diffuses in the insulator 280 as OH.
  • a hydrogen atom having an OH bond is an atom in the insulator 282 (e.g., a metal atom, etc.) when reaching a material (typically, the insulator 282) having a function of trapping hydrogen or fixing hydrogen. ), and is trapped or fixed in the insulator 282.
  • the excess oxygen having the OH bond remains in the insulator 280 as excess oxygen. That is, it is highly possible that excess oxygen in the insulator 280 plays a bridging role in the diffusion of hydrogen.
  • the semiconductor device manufacturing process is one of the important factors.
  • the insulator 280 having excess oxygen is formed in the oxide semiconductor, and then the insulator 282 is formed.
  • heat treatment is preferably performed. Specifically, the heat treatment is performed in an atmosphere containing oxygen, an atmosphere containing nitrogen, or a mixed atmosphere of oxygen and nitrogen at a temperature of 350° C. or higher, preferably 400° C. or higher.
  • the heat treatment time is 1 hour or longer, preferably 4 hours or longer, more preferably 8 hours or longer.
  • hydrogen in the oxide semiconductor can diffuse outward through the insulator 280, the insulator 282, and the insulator 287. That is, the absolute amount of hydrogen existing in the oxide semiconductor and in the vicinity of the oxide semiconductor can be reduced.
  • the insulator 283 and the insulator 284 are materials having a function of high blocking property against hydrogen; therefore, hydrogen diffused outward or hydrogen existing outside can be stored inside, specifically, in an oxide semiconductor. Alternatively, it is possible to suppress the entry into the insulator 280 side.
  • the above heat treatment has been described as an example of the structure performed after the insulator 282 is formed; however, the present invention is not limited to this.
  • the above heat treatment may be performed after each of the transistor layer 413 and the memory device layers 415_1 to 415_3.
  • hydrogen is diffused outward by the above heat treatment, hydrogen is diffused above or in the lateral direction of the transistor layer 413.
  • heat treatment is performed after formation of the memory device layers 415_1 to 415_3, hydrogen is diffused upward or laterally.
  • the insulator 211 and the insulator 283 are bonded to each other, whereby the above-described sealing structure is formed.
  • a semiconductor device using an oxide semiconductor with reduced hydrogen concentration can be provided. Therefore, a highly reliable semiconductor device can be provided. Further, according to one embodiment of the present invention, a semiconductor device having favorable electric characteristics can be provided.
  • an OS transistor including an oxide as a semiconductor
  • An OS memory device is a storage device including at least a capacitor and an OS transistor that controls charge and discharge of the capacitor. Since the off-state current of the OS transistor is extremely small, the OS memory device has excellent retention characteristics and can function as a nonvolatile memory.
  • FIG. 24A shows an example of the configuration of the OS memory device.
  • the memory device 1400 includes a peripheral circuit 1411 and a memory cell array 1470.
  • the peripheral circuit 1411 includes a row circuit 1420, a column circuit 1430, an output circuit 1440, and a control logic circuit 1460.
  • the column circuit 1430 has, for example, a column decoder, a precharge circuit, a sense amplifier, a write circuit, and the like.
  • the precharge circuit has a function of precharging the wiring.
  • the sense amplifier has a function of amplifying a data signal read from the memory cell. Note that the wiring is a wiring connected to a memory cell included in the memory cell array 1470 and will be described later in detail.
  • the amplified data signal is output to the outside of the storage device 1400 as the data signal RDATA via the output circuit 1440.
  • the row circuit 1420 has a row decoder, a word line driver circuit, and the like, for example, and can select a row to be accessed.
  • a low power supply voltage (VSS), a high power supply voltage (VDD) for the peripheral circuit 1411, and a high power supply voltage (VIL) for the memory cell array 1470 are externally supplied to the storage device 1400 as power supply voltages. Further, a control signal (CE, WE, RE), an address signal ADDR, and a data signal WDATA are externally input to the memory device 1400.
  • the address signal ADDR is input to the row decoder and the column decoder, and the data signal WDATA is input to the write circuit.
  • the control logic circuit 1460 processes control signals (CE, WE, RE) input from the outside to generate control signals for the row decoder and the column decoder.
  • the control signal CE is a chip enable signal
  • the control signal WE is a write enable signal
  • the control signal RE is a read enable signal.
  • the signal processed by the control logic circuit 1460 is not limited to this, and another control signal may be input as necessary.
  • the memory cell array 1470 has a plurality of memory cells MC and a plurality of wirings arranged in a matrix. Note that the number of wirings connecting the memory cell array 1470 and the row circuit 1420 is determined by the structure of the memory cell MC, the number of memory cells MC in one column, and the like. Further, the number of wirings that connect the memory cell array 1470 and the column circuit 1430 is determined by the configuration of the memory cell MC, the number of memory cells MC in one row, and the like.
  • FIG. 24A shows an example in which the peripheral circuit 1411 and the memory cell array 1470 are formed on the same plane
  • the present embodiment is not limited to this.
  • a memory cell array 1470 may be provided so as to overlap with part of the peripheral circuit 1411.
  • a sense amplifier may be provided so as to overlap under the memory cell array 1470.
  • [DOSRAM] 25A to 25C show examples of circuit configurations of DRAM memory cells.
  • a DRAM including a 1-OS transistor 1-capacitive element memory cell may be referred to as a DOSRAM (Dynamic Oxide Semiconductor Random Access Memory).
  • the memory cell 1471 illustrated in FIG. 25A includes the transistor M1 and the capacitor CA. Note that the transistor M1 has a gate (sometimes referred to as a top gate) and a back gate.
  • the first terminal of the transistor M1 is connected to the first terminal of the capacitor CA, the second terminal of the transistor M1 is connected to the wiring BIL, the gate of the transistor M1 is connected to the wiring WOL, and the back gate of the transistor M1 is connected.
  • the second terminal of the capacitor CA is connected to the wiring CAL.
  • the wiring BIL functions as a bit line
  • the wiring WOL functions as a word line.
  • the wiring CAL functions as a wiring for applying a predetermined potential to the second terminal of the capacitor CA. It is preferable to apply a low-level potential to the wiring CAL at the time of writing and reading data.
  • the wiring BGL functions as a wiring for applying a potential to the back gate of the transistor M1. By applying an arbitrary potential to the wiring BGL, the threshold voltage of the transistor M1 can be increased or decreased.
  • the memory cell 1471 shown in FIG. 25A corresponds to the storage device shown in FIG. That is, the transistor M1 corresponds to the transistor 200 and the capacitor CA corresponds to the capacitor device 292.
  • the memory cell MC is not limited to the memory cell 1471, and the circuit configuration can be changed.
  • the back gate of the transistor M1 may be connected to the wiring WOL instead of the wiring BGL.
  • the memory cell MC may be a memory cell including a transistor having a single-gate structure, that is, a transistor M1 having no back gate, like the memory cell 1473 illustrated in FIG. 25C.
  • the transistor 200 can be used as the transistor M1 and the capacitor 100 can be used as the capacitor CA.
  • the leak current of the transistor M1 can be made extremely small. That is, since the written data can be held for a long time by the transistor M1, the frequency of refreshing the memory cell can be reduced. Alternatively, the refresh operation of the memory cell can be made unnecessary. Further, since the leak current is extremely small, multi-valued data or analog data can be held in the memory cell 1471, the memory cell 1472, and the memory cell 1473.
  • the sense amplifier is provided so as to overlap under the memory cell array 1470 as described above, the bit line can be shortened. As a result, the bit line capacity is reduced and the storage capacity of the memory cell can be reduced.
  • [NOSRAM] 25D to 25G show circuit configuration examples of a gain cell type memory cell having two transistors and one capacitor.
  • the memory cell 1474 illustrated in FIG. 25D includes a transistor M2, a transistor M3, and a capacitor CB.
  • the transistor M2 has a top gate (may be simply referred to as a gate) and a back gate.
  • NOSRAM Nonvolatile Oxide Semiconductor RAM
  • the first terminal of the transistor M2 is connected to the first terminal of the capacitor CB, the second terminal of the transistor M2 is connected to the wiring WBL, the gate of the transistor M2 is connected to the wiring WOL, and the back gate of the transistor M2 is connected.
  • the second terminal of the capacitor CB is connected to the wiring CAL.
  • the first terminal of the transistor M3 is connected to the wiring RBL, the second terminal of the transistor M3 is connected to the wiring SL, and the gate of the transistor M3 is connected to the first terminal of the capacitive element CB.
  • the wiring WBL functions as a write bit line
  • the wiring RBL functions as a read bit line
  • the wiring WOL functions as a word line.
  • the wiring CAL functions as a wiring for applying a predetermined potential to the second terminal of the capacitor CB. It is preferable to apply a low-level potential to the wiring CAL during data writing, during data retention, and during data reading.
  • the wiring BGL functions as a wiring for applying a potential to the back gate of the transistor M2. By applying an arbitrary potential to the wiring BGL, the threshold voltage of the transistor M2 can be increased or decreased.
  • the memory cell 1474 shown in FIG. 25D corresponds to the storage device shown in FIG. That is, the transistor M2 is the transistor 200, the capacitor CB is the capacitor 100, the transistor M3 is the transistor 300, the wiring WBL is the wiring 1003, the wiring WOL is the wiring 1004, the wiring BGL is the wiring 1006, and the wiring CAL is the wiring. 1005, the wiring RBL corresponds to the wiring 1002, and the wiring SL corresponds to the wiring 1001.
  • the memory cell MC is not limited to the memory cell 1474, and the circuit configuration can be changed as appropriate.
  • the back gate of the transistor M2 may be connected to the wiring WOL instead of the wiring BGL.
  • the memory cell MC may be a memory cell including a transistor having a single gate structure, that is, a transistor M2 having no back gate, like the memory cell 1476 shown in FIG. 25F.
  • the memory cell MC may have a configuration in which the wiring WBL and the wiring RBL are combined into one wiring BIL like the memory cell 1477 illustrated in FIG. 25G.
  • the transistor 200 can be used as the transistor M2
  • the transistor 300 can be used as the transistor M3
  • the capacitor 100 can be used as the capacitor CB.
  • an OS transistor as the transistor M2
  • the leak current of the transistor M2 can be made extremely small. Accordingly, the written data can be held for a long time by the transistor M2, so that the frequency of refreshing the memory cell can be reduced. Alternatively, the refresh operation of the memory cell can be made unnecessary. Further, since the leak current is very small, multi-valued data or analog data can be held in the memory cell 1474. The same applies to the memory cells 1475 to 1477.
  • the transistor M3 may be a transistor having silicon in the channel formation region (hereinafter, also referred to as Si transistor).
  • the conductivity type of the Si transistor may be an n-channel type or a p-channel type.
  • the Si transistor may have higher field effect mobility than the OS transistor. Therefore, a Si transistor may be used as the transistor M3 that functions as a read transistor. Further, by using a Si transistor for the transistor M3, the transistor M2 can be provided by being stacked over the transistor M3, so that the area occupied by the memory cell can be reduced and the memory device can be highly integrated.
  • the transistor M3 may be an OS transistor.
  • OS transistors are used for the transistors M2 and M3, the memory cell array 1470 can be formed using only n-type transistors.
  • FIG. 25H shows an example of a gain cell type memory cell having three transistors and one capacitor.
  • the memory cell 1478 illustrated in FIG. 25H includes transistors M4 to M6 and a capacitor CC.
  • the capacitive element CC is provided as appropriate.
  • the memory cell 1478 is electrically connected to the wiring BIL, the wiring RWL, the wiring WWL, the wiring BGL, and the wiring GNDL.
  • the wiring GNDL is a wiring which gives a low-level potential. Note that the memory cell 1478 may be electrically connected to the wiring RBL and the wiring WBL instead of the wiring BIL.
  • the transistor M4 is an OS transistor having a back gate, and the back gate is electrically connected to the wiring BGL. Note that the back gate and the gate of the transistor M4 may be electrically connected to each other. Alternatively, the transistor M4 may not have a back gate.
  • the transistors M5 and M6 may be n-channel Si transistors or p-channel Si transistors, respectively.
  • the transistors M4 to M6 may be OS transistors.
  • the memory cell array 1470 can be configured using only n-type transistors.
  • the transistor 200 can be used as the transistor M4, the transistor 300 can be used as the transistors M5, M6, and the capacitor 100 can be used as the capacitor CC.
  • the leak current of the transistor M4 can be made extremely small.
  • peripheral circuit 1411 the memory cell array 1470, and the like shown in this embodiment are not limited to the above. Arrangement or function of these circuits and wirings, circuit elements, and the like connected to the circuits may be changed, deleted, or added as necessary.
  • FIG. 26 shows various storage devices for each hierarchy.
  • a storage device located in the upper layer is required to have a high access speed, and a storage device located in the lower layer is required to have a large storage capacity and a high recording density.
  • a memory, an SRAM (Static Random Access Memory), a DRAM (Dynamic Random Access Memory), and a 3D NAND memory that are mixedly mounted as a register in an arithmetic processing unit such as a CPU are shown in order from the top layer.
  • the memory that is embedded as a register in an arithmetic processing unit such as a CPU is used for temporary storage of arithmetic results, and so is frequently accessed by the arithmetic processing unit. Therefore, an operation speed faster than the storage capacity is required.
  • the register also has a function of holding setting information of the arithmetic processing unit.
  • SRAM is used for cache, for example.
  • the cache has a function of copying a part of the information held in the main memory and holding it. By duplicating frequently used data in the cache, the access speed to the data can be increased.
  • the DRAM is used as, for example, a main memory.
  • the main memory has a function of holding programs and data read from the storage.
  • the recording density of DRAM is approximately 0.1 to 0.3 Gbit/mm 2 .
  • the 3D NAND memory is used for storage, for example.
  • the storage has a function of holding data that needs to be stored for a long time, various programs used in the arithmetic processing device, and the like. Therefore, the storage is required to have a storage capacity larger than the operating speed and a high recording density.
  • the storage density of a storage device used for storage is approximately 0.6 to 6.0 Gbit/mm 2 .
  • the storage device of one embodiment of the present invention has high operation speed and can hold data for a long time.
  • the storage device of one embodiment of the present invention can be preferably used as a storage device located in a boundary area 901 including both a hierarchy where a cache is located and a hierarchy where a main memory is located. Further, the storage device of one embodiment of the present invention can be favorably used as a storage device located in the boundary area 902 including both the hierarchy where the main memory is located and the hierarchy where the storage is located.
  • FIGS. 27A and 27B An example of a chip 1200 in which a semiconductor device of the present invention is mounted is shown with reference to FIGS. 27A and 27B.
  • a plurality of circuits (systems) are mounted on the chip 1200.
  • the technique of integrating a plurality of circuits (systems) on a single chip in this manner may be referred to as a system on chip (SoC).
  • SoC system on chip
  • the chip 1200 includes a CPU 1211, a GPU 1212, one or more analog arithmetic units 1213, one or more memory controllers 1214, one or more interfaces 1215, one or more network circuits 1216, and the like.
  • a bump (not shown) is provided on the chip 1200, and is connected to a first surface of a printed circuit board (Printed Circuit Board: PCB) 1201 as shown in FIG. 27B.
  • a plurality of bumps 1202 are provided on the back surface of the first surface of the PCB 1201 and are connected to the mother board 1203.
  • the motherboard 1203 may be provided with a storage device such as a DRAM 1221 and a flash memory 1222.
  • a storage device such as a DRAM 1221 and a flash memory 1222.
  • the DOSRAM described in any of the above embodiments can be used as the DRAM 1221.
  • the NOSRAM described in the above embodiment can be used for the flash memory 1222.
  • the CPU 1211 preferably has a plurality of CPU cores.
  • the GPU 1212 preferably has a plurality of GPU cores.
  • the CPU 1211 and the GPU 1212 may each have a memory for temporarily storing data.
  • a memory common to the CPU 1211 and the GPU 1212 may be provided in the chip 1200.
  • the memory the above-mentioned NOSRAM or DOSRAM can be used.
  • the GPU 1212 is suitable for parallel calculation of a large number of data and can be used for image processing and product-sum calculation. By providing the GPU 1212 with an image processing circuit using the oxide semiconductor of the present invention or a product-sum operation circuit, image processing and product-sum operation can be performed with low power consumption.
  • the CPU 1211 and the GPU 1212 are provided in the same chip, wiring between the CPU 1211 and the GPU 1212 can be shortened, data transfer from the CPU 1211 to the GPU 1212, data transfer between the memories included in the CPU 1211 and the GPU 1212, Further, after the calculation in the GPU 1212, the calculation result can be transferred from the GPU 1212 to the CPU 1211 at high speed.
  • the analog operation unit 1213 has one or both of an A/D (analog/digital) conversion circuit and a D/A (digital/analog) conversion circuit. Further, the analog-calculation unit 1213 may be provided with the product-sum calculation circuit.
  • the memory controller 1214 has a circuit that functions as a controller of the DRAM 1221 and a circuit that functions as an interface of the flash memory 1222.
  • the interface 1215 has an interface circuit with externally connected devices such as a display device, a speaker, a microphone, a camera, and a controller.
  • the controller includes a mouse, a keyboard, a game controller, and the like.
  • USB Universal Serial Bus
  • HDMI registered trademark
  • High-Definition Multimedia Interface or the like can be used.
  • the network circuit 1216 has a network circuit such as a LAN (Local Area Network).
  • a circuit for network security may be included.
  • the above circuit (system) can be formed on the chip 1200 by the same manufacturing process. Therefore, even if the number of circuits required for the chip 1200 increases, it is not necessary to increase the manufacturing process, and the chip 1200 can be manufactured at low cost.
  • the PCB 1201 provided with the chip 1200 having the GPU 1212, the DRAM 1221, and the motherboard 1203 provided with the flash memory 1222 can be called a GPU module 1204.
  • the GPU module 1204 Since the GPU module 1204 has the chip 1200 using the SoC technology, its size can be reduced. Moreover, since it is excellent in image processing, it is suitable for use in portable electronic devices such as smartphones, tablet terminals, laptop PCs, portable (carry-out) game machines, and the like. Further, a product-sum operation circuit using the GPU 1212 allows deep neural networks (DNN), convolutional neural networks (CNN), recurrent neural networks (RNN), self-encoders, deep Boltzmann machines (DBM), deep belief networks ( The chip 1200 can be used as an AI chip, or the GPU module 1204 can be used as an AI system module because a technique such as DBN) can be performed.
  • DNN deep neural networks
  • CNN convolutional neural networks
  • RNN recurrent neural networks
  • DBM deep Boltzmann machines
  • the chip 1200 can be used as an AI chip, or the GPU module 1204 can be used as an AI system module because a technique such as DBN) can be performed.
  • This embodiment mode shows an example of an electronic component and an electronic device in which the memory device or the like described in the above embodiment mode is incorporated.
  • FIG. 28A shows a perspective view of electronic component 700 and a substrate (mounting substrate 704) on which electronic component 700 is mounted.
  • the electronic component 700 shown in FIG. 28A has a storage device 720 in a mold 711. 28A, part of the electronic component 700 is omitted in order to show the inside thereof.
  • the electronic component 700 has a land 712 outside the mold 711. The land 712 is electrically connected to the electrode pad 713, and the electrode pad 713 is electrically connected to the memory device 720 by the wire 714.
  • the electronic component 700 is mounted on the printed board 702, for example.
  • the mounting board 704 is completed by combining a plurality of such electronic components and electrically connecting them to each other on the printed board 702.
  • the storage device 720 has a drive circuit layer 721 and a storage circuit layer 722.
  • the electronic component 730 is an example of SiP (System in package) or MCM (Multi Chip Module).
  • an interposer 731 is provided on a package board 732 (printed board), and a semiconductor device 735 and a plurality of storage devices 720 are provided on the interposer 731.
  • the electronic component 730 shows an example in which the storage device 720 is used as a wideband memory (HBM: High Bandwidth Memory). Further, as the semiconductor device 735, an integrated circuit (semiconductor device) such as a CPU, a GPU, or an FPGA can be used.
  • HBM High Bandwidth Memory
  • the package substrate 732 a ceramic substrate, a plastic substrate, a glass epoxy substrate, or the like can be used.
  • the interposer 731 a silicon interposer, a resin interposer, or the like can be used.
  • the interposer 731 has a plurality of wirings and has a function of electrically connecting a plurality of integrated circuits having different terminal pitches.
  • the plurality of wirings are provided in a single layer or a multilayer.
  • the interposer 731 has a function of electrically connecting an integrated circuit provided over the interposer 731 to an electrode provided over the package substrate 732.
  • an interposer may be called a "redistribution board" or an "intermediate board.”
  • a through electrode may be provided in the interposer 731, and the integrated circuit and the package substrate 732 may be electrically connected using the through electrode.
  • TSV Three Silicon Via
  • the interposer 731 It is preferable to use a silicon interposer as the interposer 731. Since the silicon interposer does not require an active element, it can be manufactured at a lower cost than an integrated circuit. On the other hand, since the wiring of the silicon interposer can be formed by a semiconductor process, it is easy to form fine wiring, which is difficult with the resin interposer.
  • the interposer on which the HBM is mounted is required to form fine and high-density wiring. Therefore, it is preferable to use the silicon interposer as the interposer for mounting the HBM.
  • a heat sink heat dissipation plate
  • the heights of the integrated circuits provided on the interposer 731 are uniform.
  • the memory device 720 and the semiconductor device 735 have the same height.
  • An electrode 733 may be provided on the bottom of the package substrate 732 to mount the electronic component 730 on another substrate.
  • FIG. 28B shows an example in which the electrode 733 is formed of a solder ball.
  • BGA All Grid Array
  • the electrode 733 may be formed of a conductive pin.
  • PGA Peripheral Component Interconnect
  • the electronic component 730 can be mounted on another board by using various mounting methods other than BGA and PGA.
  • SPGA Sttaggered Pin Grid Array
  • LGA Land Grid Array
  • QFP Quad Flat Package
  • QFJ Quad Flat J-leaded package
  • QFN Quad-on-adhesive method
  • QFN Quad-on-Flade
  • the semiconductor device described in the above embodiment is, for example, a storage device of various electronic devices (eg, information terminals, computers, smartphones, electronic book terminals, digital cameras (including video cameras), recording/playback devices, navigation systems, and the like).
  • the computer includes a tablet computer, a notebook computer, a desktop computer, and a large computer such as a server system.
  • the semiconductor device described in any of the above embodiments is applied to various removable storage devices such as a memory card (eg, an SD card), a USB memory, an SSD (solid state drive), and the like.
  • 29A to 29E schematically show some configuration examples of the removable storage device.
  • the semiconductor device described in any of the above embodiments is processed into a packaged memory chip and used for various storage devices and removable memories.
  • FIG. 29A is a schematic diagram of a USB memory.
  • the USB memory 1100 has a housing 1101, a cap 1102, a USB connector 1103, and a substrate 1104.
  • the substrate 1104 is housed in the housing 1101.
  • a memory chip 1105 and a controller chip 1106 are attached to the substrate 1104.
  • the semiconductor device described in any of the above embodiments can be incorporated in the memory chip 1105 or the like.
  • FIG. 29B is a schematic diagram of the external appearance of the SD card
  • FIG. 29C is a schematic diagram of the internal structure of the SD card.
  • the SD card 1110 has a housing 1111, a connector 1112, and a board 1113.
  • the substrate 1113 is housed in the housing 1111.
  • the memory chip 1114 and the controller chip 1115 are attached to the substrate 1113.
  • the capacity of the SD card 1110 can be increased.
  • a wireless chip having a wireless communication function may be provided over the substrate 1113.
  • the data in the memory chip 1114 can be read and written by wireless communication between the host device and the SD card 1110.
  • the semiconductor device described in any of the above embodiments can be incorporated in the memory chip 1114 or the like.
  • FIG. 29D is a schematic diagram of the external appearance of the SSD
  • FIG. 29E is a schematic diagram of the internal structure of the SSD.
  • the SSD 1150 has a housing 1151, a connector 1152, and a board 1153.
  • the substrate 1153 is housed in the housing 1151.
  • the memory chip 1154, the memory chip 1155, and the controller chip 1156 are attached to the substrate 1153.
  • the memory chip 1155 is a work memory of the controller chip 1156, and for example, a DOSRAM chip may be used.
  • the capacity of the SSD 1150 can be increased.
  • the semiconductor device described in any of the above embodiments can be incorporated in the memory chip 1154 or the like.
  • This embodiment can be implemented in appropriate combination with any of the structures described in the other embodiments.
  • the semiconductor device can be used for a processor such as a CPU or a GPU, or a chip.
  • a processor such as a CPU or a GPU, or a chip.
  • 30A to 30H show specific examples of electronic devices each including a processor such as a CPU or a GPU or a chip according to one embodiment of the present invention.
  • the GPU or the chip according to one embodiment of the present invention can be mounted on various electronic devices.
  • the electronic device include a relatively large screen such as a television device, a monitor for a desktop or notebook information terminal, a digital signage (digital signage), a large game machine such as a pachinko machine, and the like.
  • electronic devices including, a digital camera, a digital video camera, a digital photo frame, an electronic book reader, a mobile phone, a portable game machine, a personal digital assistant, a sound reproducing device, and the like.
  • the electronic device of one embodiment of the present invention may include an antenna. By receiving the signal with the antenna, images, information, and the like can be displayed on the display portion.
  • the antenna may be used for contactless power transmission.
  • the electronic device includes a sensor (force, displacement, position, velocity, acceleration, angular velocity, rotation speed, distance, light, liquid, magnetism, temperature, chemical substance, voice, time, hardness, electric field, current, It has a function of measuring voltage, electric power, radiation, flow rate, humidity, gradient, vibration, odor or infrared light).
  • the electronic device of one embodiment of the present invention can have various functions. For example, a function of displaying various information (still images, moving images, text images, etc.) on the display unit, a touch panel function, a function of displaying a calendar, date or time, a function of executing various software (programs), wireless communication It can have a function, a function of reading a program or data recorded in a recording medium, and the like.
  • 30A to 30H show examples of electronic devices.
  • FIG. 30A illustrates a mobile phone (smartphone) that is a type of information terminal.
  • the information terminal 5100 includes a housing 5101 and a display portion 5102.
  • a touch panel is provided in the display portion 5102 and a button is provided in the housing 5101 as an input interface.
  • the information terminal 5100 can execute an application utilizing artificial intelligence.
  • an application using artificial intelligence for example, an application that recognizes a conversation and displays the content of the conversation on the display unit 5102, recognizes a character or a figure input by a user on a touch panel included in the display unit 5102, An application displayed on the display portion 5102, an application for biometric authentication such as a fingerprint or a voiceprint, and the like can be given.
  • FIG. 30B shows a notebook information terminal 5200.
  • the laptop information terminal 5200 includes a main body 5201 of the information terminal, a display portion 5202, and a keyboard 5203.
  • the notebook information terminal 5200 can execute an application utilizing artificial intelligence by applying the chip of one embodiment of the present invention.
  • applications using artificial intelligence include design support software, text correction software, and menu automatic generation software. Further, by using the notebook information terminal 5200, new artificial intelligence can be developed.
  • a smartphone and a notebook information terminal are illustrated as an electronic device in FIGS. 30A and 30B, respectively, information terminals other than the smartphone and the notebook information terminal can be applied.
  • Examples of information terminals other than smartphones and notebook information terminals include PDA (Personal Digital Assistant), desktop information terminals, workstations, and the like.
  • FIG. 30C shows a portable game machine 5300 which is an example of a game machine.
  • the portable game machine 5300 includes a housing 5301, a housing 5302, a housing 5303, a display portion 5304, a connection portion 5305, operation keys 5306, and the like.
  • the housings 5302 and 5303 can be removed from the housing 5301.
  • an image output to the display portion 5304 can be output to another video device (not shown). it can.
  • the housing 5302 and the housing 5303 can each function as an operation portion. This allows a plurality of players to play the game at the same time.
  • the chip described in any of the above embodiments can be incorporated in chips provided on the substrates of the housings 5301, 5302, and 5303.
  • FIG. 30D shows a stationary game machine 5400 which is an example of a game machine.
  • a controller 5402 is connected to the stationary game machine 5400 wirelessly or by wire.
  • a game machine with low power consumption can be realized.
  • low power consumption can reduce heat generation from a circuit, so that the influence of heat generation on the circuit itself, peripheral circuits, and modules can be reduced.
  • the mobile game machine 5300 having artificial intelligence can be realized.
  • the artificial intelligence can configure the game player as an anthropomorphic person. You can play games.
  • 30C and 30D illustrate a portable game machine and a stationary game machine as examples of the game machine
  • the game machine to which the GPU or the chip of one embodiment of the present invention is applied is not limited thereto.
  • a game machine to which the GPU or the chip of one embodiment of the present invention is applied for example, an arcade game machine installed in an entertainment facility (game center, amusement park, etc.), a batting practice pitching machine installed in a sports facility, or the like. Are listed.
  • the GPU or chip of one embodiment of the present invention can be applied to a large computer.
  • FIG. 30E is a diagram showing a super computer 5500, which is an example of a large computer.
  • FIG. 30F is a diagram showing a rack mount computer 5502 included in the super computer 5500.
  • the super computer 5500 has a rack 5501 and a plurality of rack mount computers 5502.
  • the plurality of computers 5502 are stored in the rack 5501. Further, the computer 5502 is provided with a plurality of substrates 5504, and the GPU or the chip described in any of the above embodiments can be mounted on the substrates.
  • Super computer 5500 is a large computer mainly used for scientific and technological calculations. Scientific calculation requires high-speed processing of a huge amount of calculation, resulting in high power consumption and high chip heat generation.
  • the GPU or the chip of one embodiment of the present invention to the supercomputer 5500, a supercomputer with low power consumption can be realized.
  • low power consumption can reduce heat generation from a circuit, so that the influence of heat generation on the circuit itself, peripheral circuits, and modules can be reduced.
  • 30E and 30F illustrate a supercomputer as an example of a large computer
  • the large computer to which the GPU or the chip of one embodiment of the present invention is applied is not limited to this.
  • Examples of large-sized computers to which the GPU or chip of one embodiment of the present invention is applied include computers (servers) that provide services, large-sized general-purpose computers (mainframes), and the like.
  • the GPU or the chip of one embodiment of the present invention can be applied to an automobile that is a moving object and around the driver's seat of the automobile.
  • FIG. 30G is a diagram showing the vicinity of the windshield in the interior of an automobile, which is an example of a moving body.
  • FIG. 30G illustrates the display panel 5701, the display panel 5702, and the display panel 5703 attached to the dashboard, and the display panel 5704 attached to the pillar.
  • the display panels 5701 to 5703 can provide various other information by displaying speedometer, tachometer, mileage, fuel gauge, gear status, air conditioner settings, and the like.
  • the display items and layout displayed on the display panel can be appropriately changed according to the user's preference, and the design can be improved.
  • the display panels 5701 to 5703 can also be used as a lighting device.
  • the field of view (blind spot) blocked by the pillars can be complemented. That is, by displaying the image from the image pickup device provided outside the automobile, the blind spot can be compensated and the safety can be improved. In addition, by displaying an image that complements the invisible portion, it is possible to confirm the safety more naturally and comfortably.
  • the display panel 5704 can also be used as a lighting device.
  • the GPU or the chip of one embodiment of the present invention can be applied as a component of artificial intelligence
  • the chip can be used, for example, in an automatic driving system of an automobile.
  • the chip can be used for a system that performs road guidance, danger prediction, and the like.
  • Information such as road guidance and risk prediction may be displayed on the display panels 5701 to 5704.
  • a car is described as an example of the moving body, but the moving body is not limited to the car.
  • the moving object a train, a monorail, a ship, a flying object (a helicopter, an unmanned aerial vehicle (drone), an airplane, a rocket), or the like can be given, and the chip of one embodiment of the present invention is applied to these moving objects.
  • a system using artificial intelligence can be added.
  • FIG. 30H shows an electric refrigerator-freezer 5800 which is an example of an electric appliance.
  • the electric refrigerator-freezer 5800 includes a housing 5801, a refrigerator compartment door 5802, a freezer compartment door 5803, and the like.
  • the electric refrigerator-freezer 5800 having artificial intelligence can be realized.
  • the electric refrigerator-freezer 5800 has a function of automatically generating a menu based on the food items stored in the electric refrigerator-freezer 5800, the expiration date of the foodstuff, and the electric refrigerator-freezer 5800. It can have a function of automatically adjusting the temperature to match the food.
  • an electric refrigerator-freezer is described as an example of the electric appliance
  • other electric appliances include, for example, a vacuum cleaner, a microwave oven, a microwave oven, a rice cooker, a water heater, an IH cooker, a water server, an air conditioner including an air conditioner, Examples include washing machines, dryers and audiovisual equipment.
  • the electronic device described in this embodiment the function of the electronic device, the application example of the artificial intelligence, the effect, and the like can be appropriately combined with the description of other electronic devices.
  • This embodiment can be implemented in appropriate combination with any of the structures described in the other embodiments.

Abstract

The present invention provides a semiconductor device which has a large On-state current. A semiconductor device which comprises a transistor, and which is configured such that the transistor comprises: a first conductor; a first insulating body that is arranged on the first conductor; a first oxide that is arranged on the first insulating body; a second conductor and a third conductor, which are arranged on the first oxide; a second oxide that is arranged on the first oxide so as to be positioned between the second conductor and the third conductor; a second insulating body that is arranged on the second oxide; and a fourth conductor that is arranged on the second insulating body. This semiconductor device is also configured such that: the upper surface of the first oxide in a region that overlaps with the fourth conductor is lower than the bottom surfaces of the second conductor and the third conductor; and the height difference between the upper surface of the second oxide in a region that overlaps with the fourth conductor and the bottom surface of the second conductor or the third conductor is smaller than the film thickness of the second oxide in the region that overlaps with the fourth conductor.

Description

半導体装置、および半導体装置の作製方法Semiconductor device and method for manufacturing semiconductor device
 本発明の一態様は、トランジスタ、半導体装置、および電子機器に関する。また、本発明の一態様は、半導体装置の作製方法に関する。また、本発明の一態様は、半導体ウエハ、およびモジュールに関する。 One embodiment of the present invention relates to a transistor, a semiconductor device, and an electronic device. Further, one embodiment of the present invention relates to a method for manufacturing a semiconductor device. Further, one embodiment of the present invention relates to a semiconductor wafer and a module.
 なお、本明細書等において半導体装置とは、半導体特性を利用することで機能し得る装置全般を指す。トランジスタなどの半導体素子をはじめ、半導体回路、演算装置、記憶装置は、半導体装置の一態様である。表示装置(液晶表示装置、発光表示装置など)、投影装置、照明装置、電気光学装置、蓄電装置、記憶装置、半導体回路、撮像装置、電子機器などは、半導体装置を有すると言える場合がある。 Note that in this specification and the like, a semiconductor device refers to all devices that can function by utilizing semiconductor characteristics. A semiconductor circuit such as a transistor, a semiconductor circuit, an arithmetic device, and a memory device are one mode of the semiconductor device. It can be said that a display device (a liquid crystal display device, a light-emitting display device, or the like), a projection device, a lighting device, an electro-optical device, a power storage device, a storage device, a semiconductor circuit, an imaging device, an electronic device, or the like has a semiconductor device.
 なお、本発明の一態様は、上記の技術分野に限定されない。本明細書等で開示する発明の一態様は、物、方法、または、製造方法に関するものである。また、本発明の一態様は、プロセス、マシン、マニュファクチャ、または、組成物(コンポジション・オブ・マター)に関するものである。 Note that one embodiment of the present invention is not limited to the above technical field. One embodiment of the invention disclosed in this specification and the like relates to an object, a method, or a manufacturing method. Further, one embodiment of the present invention relates to a process, a machine, a manufacture, or a composition (composition of matter).
 絶縁表面を有する基板上に形成された半導体薄膜を用いてトランジスタを構成する技術が注目されている。当該トランジスタは集積回路(IC)や画像表示装置(単に表示装置とも表記する。)のような電子デバイスに広く応用されている。トランジスタに適用可能な半導体薄膜としてシリコン系半導体材料が広く知られているが、その他の材料として酸化物半導体が注目されている。 -Technology for forming a transistor using a semiconductor thin film formed on a substrate having an insulating surface has been receiving attention. The transistor is widely applied to electronic devices such as an integrated circuit (IC) and an image display device (also simply referred to as a display device). Silicon-based semiconductor materials are widely known as semiconductor thin films applicable to transistors, but oxide semiconductors are attracting attention as other materials.
 酸化物半導体において、単結晶でも非晶質でもない、CAAC(c−axis alignedcrystalline)構造およびnc(nanocrystalline)構造が見出されている(非特許文献1及び非特許文献2参照)。 In oxide semiconductors, a CAAC (c-axis aligned crystalline) structure and an nc (nanocrystalline) structure, which are neither single crystal nor amorphous, have been found (see Non-Patent Document 1 and Non-Patent Document 2).
 非特許文献1および非特許文献2では、CAAC構造を有する酸化物半導体を用いてトランジスタを作製する技術が開示されている。 Non-Patent Document 1 and Non-Patent Document 2 disclose a technique for manufacturing a transistor using an oxide semiconductor having a CAAC structure.
 本発明の一態様は、オン電流が大きい半導体装置を提供することを課題の一つとする。また、本発明の一態様は、トランジスタ特性のばらつきが少ない半導体装置を提供することを課題の一とする。また、本発明の一態様は、信頼性が良好な半導体装置を提供することを課題の一つとする。また、本発明の一態様は、良好な電気特性を有する半導体装置を提供することを課題の一つとする。また、本発明の一態様は、微細化または高集積化が可能な半導体装置を提供することを課題の一つとする。また、本発明の一態様は、低消費電力の半導体装置を提供することを課題の一つとする。 One object of one embodiment of the present invention is to provide a semiconductor device with high on-state current. Another object of one embodiment of the present invention is to provide a semiconductor device in which variations in transistor characteristics are small. Another object of one embodiment of the present invention is to provide a highly reliable semiconductor device. Another object of one embodiment of the present invention is to provide a semiconductor device having favorable electric characteristics. Another object of one embodiment of the present invention is to provide a semiconductor device which can be miniaturized or highly integrated. Another object of one embodiment of the present invention is to provide a semiconductor device with low power consumption.
 なお、これらの課題の記載は、他の課題の存在を妨げるものではない。なお、本発明の一態様は、これらの課題の全てを解決する必要はないものとする。なお、これら以外の課題は、明細書、図面、請求項などの記載から、自ずと明らかとなるものであり、明細書、図面、請求項などの記載から、これら以外の課題を抽出することが可能である。 Note that the description of these issues does not prevent the existence of other issues. Note that one embodiment of the present invention does not need to solve all of these problems. It should be noted that problems other than these are obvious from the description of the specification, drawings, claims, etc., and problems other than these can be extracted from the description of the specification, drawings, claims, etc. Is.
 本発明の一態様は、トランジスタを有する半導体装置であって、トランジスタは、第1の導電体と、第1の導電体上の第1の絶縁体と、第1の絶縁体上の第1の酸化物と、第1の酸化物上の、第2の導電体、および第3の導電体と、第1の酸化物上、かつ、第2の導電体および第3の導電体の間、の第2の酸化物と、第2の酸化物上の第2の絶縁体と、第2の絶縁体上の第4の導電体と、を有し、第4の導電体と重なる領域の第1の酸化物の上面は、第2の導電体および第3の導電体の底面よりも低く、第4の導電体と重なる領域の第2の酸化物の上面と、第2の導電体または第3の導電体の底面と、の差は、第4の導電体と重なる領域の第2の酸化物の膜厚よりも小さい。 One embodiment of the present invention is a semiconductor device including a transistor, which includes a first conductor, a first insulator over the first conductor, and a first insulator over the first insulator. Between the oxide, the second conductor, and the third conductor on the first oxide, and on the first oxide, and between the second conductor and the third conductor. A second oxide, a second insulator over the second oxide, and a fourth conductor over the second insulator; and a first region in a region overlapping with the fourth conductor. Top surface of the second oxide is lower than bottom surfaces of the second conductor and the third conductor, and the top surface of the second oxide in a region overlapping with the fourth conductor and the second conductor or the third conductor. Difference from the bottom surface of the conductor is smaller than the film thickness of the second oxide in the region overlapping with the fourth conductor.
 また、本発明の他の一態様は、トランジスタを有する半導体装置であって、トランジスタは、第1の導電体と、第1の導電体上の第1の絶縁体と、第1の絶縁体上の第1の酸化物と、第1の酸化物上の、第2の導電体、および第3の導電体と、第1の酸化物上、かつ、第2の導電体および第3の導電体の間、の第2の酸化物と、第2の酸化物上の第2の絶縁体と、第2の絶縁体上の第4の導電体と、を有し、第4の導電体と重なる領域の第1の酸化物の上面は、第2の導電体および第3の導電体の底面よりも低く、第4の導電体と重なる領域の第1の酸化物の上面と、第2の導電体および第3の導電体の底面と、の差は、1nm以上7nm以下であり、第4の導電体と重なる領域の第2の酸化物の膜厚は、1nm以上5nm以下である。 Another embodiment of the present invention is a semiconductor device including a transistor, the transistor including a first conductor, a first insulator over the first conductor, and a first insulator over the first insulator. First oxide, a second conductor on the first oxide, and a third conductor, and a first oxide on the second conductor, and a third conductor A second oxide on the second oxide, a second insulator on the second oxide, and a fourth conductor on the second insulator, and overlaps with the fourth conductor. The top surface of the first oxide in the region is lower than the bottom surfaces of the second conductor and the third conductor, and the top surface of the first oxide in the region overlapping with the fourth conductor and the second conductor. The difference between the body and the bottom surface of the third conductor is 1 nm or more and 7 nm or less, and the thickness of the second oxide in a region overlapping with the fourth conductor is 1 nm or more and 5 nm or less.
 上記半導体装置において、第2の酸化物は、インジウムを有する、ことが好ましい。 In the above semiconductor device, the second oxide preferably contains indium.
 また、上記半導体装置において、第2の酸化物は、インジウムと、元素M(Mは、ガリウム、アルミニウム、イットリウム、または錫)と、亜鉛と、を有し、第2の酸化物において、主成分である金属元素に対するインジウムの原子数比は、主成分である金属元素に対する元素Mの原子数比と、主成分である金属元素に対する亜鉛の原子数比との和、よりも大きい、ことが好ましい。また、第2の酸化物は、In:Ga:Zn=5:1:3[原子数比]もしくはその近傍の組成、または、In:Ga:Zn=10:1:3[原子数比]もしくはその近傍の組成の金属酸化物である、ことが好ましい。 In the above semiconductor device, the second oxide contains indium, an element M (M is gallium, aluminum, yttrium, or tin) and zinc, and the second oxide contains a main component. It is preferable that the atomic ratio of indium to the metallic element that is is larger than the sum of the atomic ratio of element M to the metallic element that is the main component and the atomic ratio of zinc to the metallic element that is the main component. .. The second oxide is In:Ga:Zn=5:1:3 [atomic ratio] or a composition in the vicinity thereof, or In:Ga:Zn=10:1:3 [atomic ratio] or A metal oxide having a composition in the vicinity thereof is preferable.
 また、上記半導体装置において、第1の酸化物は、インジウムと、元素M(Mは、ガリウム、アルミニウム、イットリウム、または錫)と、亜鉛と、を有し、第2の酸化物において、主成分である金属元素に対するインジウムの原子数比は、第1の酸化物における、主成分である金属元素に対するインジウムの原子数比より大きい、ことが好ましい。 In the above semiconductor device, the first oxide contains indium, the element M (M is gallium, aluminum, yttrium, or tin) and zinc, and the second oxide contains the main component. It is preferable that the atomic ratio of indium to the metal element that is is larger than the atomic ratio of indium to the metal element that is the main component in the first oxide.
 また、上記半導体装置において、第2の絶縁体は、第1の絶縁層、および第2の絶縁層が順に積層された構造を有し、第1の絶縁層は、シリコンを有し、第2の絶縁層は、ハフニウムおよびジルコニウムのいずれか一方または双方を有する、ことが好ましい。 Further, in the above semiconductor device, the second insulator has a structure in which the first insulating layer and the second insulating layer are sequentially stacked, and the first insulating layer contains silicon and the second insulating layer It is preferable that the insulating layer of (1) has one or both of hafnium and zirconium.
 また、上記半導体装置において、トランジスタのチャネル幅方向の断面視において、第1の絶縁体と、第2の絶縁体とが接する領域を有する、ことが好ましい。 In addition, in the above semiconductor device, it is preferable that the semiconductor device has a region where the first insulator and the second insulator are in contact with each other in a cross-sectional view in the channel width direction of the transistor.
 本発明の一態様により、オン電流が大きい半導体装置を提供することができる。また、本発明の一態様により、トランジスタ特性のばらつきが少ない半導体装置を提供することができる。また、本発明の一態様により、信頼性が良好な半導体装置を提供することができる。また、本発明の一態様により、良好な電気特性を有する半導体装置を提供することができる。また、本発明の一態様により、微細化または高集積化が可能な半導体装置を提供することができる。また、本発明の一態様により、低消費電力の半導体装置を提供することができる。 According to one embodiment of the present invention, a semiconductor device with high on-state current can be provided. Further, according to one embodiment of the present invention, a semiconductor device with less variation in transistor characteristics can be provided. Further, according to one embodiment of the present invention, a highly reliable semiconductor device can be provided. Further, according to one embodiment of the present invention, a semiconductor device having favorable electric characteristics can be provided. Further, according to one embodiment of the present invention, a semiconductor device which can be miniaturized or highly integrated can be provided. Further, according to one embodiment of the present invention, a semiconductor device with low power consumption can be provided.
 なお、これらの効果の記載は、他の効果の存在を妨げるものではない。なお、本発明の一態様は、これらの効果の全てを有する必要はない。なお、これら以外の効果は、明細書、図面、請求項などの記載から、自ずと明らかとなるものであり、明細書、図面、請求項などの記載から、これら以外の効果を抽出することが可能である。 Note that the description of these effects does not prevent the existence of other effects. Note that one embodiment of the present invention need not have all of these effects. It should be noted that the effects other than these are apparent from the description of the specification, drawings, claims, etc., and it is possible to extract other effects from the description of the specification, drawings, claims, etc. Is.
図1Aは、本発明の一態様である半導体装置の上面図である。図1B乃至図1Dは、本発明の一態様である半導体装置の断面図である。
図2A乃至図2Cは、本発明の一態様である半導体装置の断面図である。
図3Aは、IGZOの結晶構造の分類を説明する図である。図3Bは、石英ガラスのXRDスペクトルを説明する図である。図3Cは、結晶性IGZOのXRDスペクトルを説明する図である。
図4Aは、本発明の一態様である半導体装置の上面図である。図4B乃至図4Dは、本発明の一態様である半導体装置の断面図である。
図5Aは、本発明の一態様である半導体装置の上面図である。図5B乃至図5Dは、本発明の一態様である半導体装置の断面図である。
図6Aは、本発明の一態様である半導体装置の作製方法を示す上面図である。図6B乃至図6Dは、本発明の一態様である半導体装置の作製方法を示す断面図である。
図7Aは、本発明の一態様である半導体装置の作製方法を示す上面図である。図7B乃至図7Dは、本発明の一態様である半導体装置の作製方法を示す断面図である。
図8Aは、本発明の一態様である半導体装置の作製方法を示す上面図である。図8B乃至図8Dは、本発明の一態様である半導体装置の作製方法を示す断面図である。
図9Aは、本発明の一態様である半導体装置の作製方法を示す上面図である。図9B乃至図9Dは、本発明の一態様である半導体装置の作製方法を示す断面図である。
図10Aは、本発明の一態様である半導体装置の作製方法を示す上面図である。図10B乃至図10Dは、本発明の一態様である半導体装置の作製方法を示す断面図である。
図11Aは、本発明の一態様である半導体装置の作製方法を示す上面図である。図11B乃至図11Dは、本発明の一態様である半導体装置の作製方法を示す断面図である。
図12Aは、本発明の一態様である半導体装置の作製方法を示す上面図である。図12B乃至図12Dは、本発明の一態様である半導体装置の作製方法を示す断面図である。
図13Aは、本発明の一態様である半導体装置の作製方法を示す上面図である。図13B乃至図13Dは、本発明の一態様である半導体装置の作製方法を示す断面図である。
図14Aは、本発明の一態様である半導体装置の作製方法を示す上面図である。図14B乃至図14Dは、本発明の一態様である半導体装置の作製方法を示す断面図である。
図15Aは、本発明の一態様である半導体装置の作製方法を示す上面図である。図15B乃至図15Dは、本発明の一態様である半導体装置の作製方法を示す断面図である。
図16Aおよび図16Bは、本発明の一態様に係る半導体装置の断面図である。
図17は、本発明の一態様に係る記憶装置の構成を示す断面図である。
図18は、本発明の一態様に係る記憶装置の構成を示す断面図である。
図19は、本発明の一態様に係る記憶装置の構成を示す断面図である。
図20は、本発明の一態様に係る半導体装置の断面図である。
図21Aおよび図21Bは、本発明の一態様に係る半導体装置の断面図である。
図22は、本発明の一態様に係る半導体装置の断面図である。
図23は、本発明の一態様に係る半導体装置の断面図である。
図24Aは、本発明の一態様に係る記憶装置の構成例を示すブロック図である。図24Bは、本発明の一態様に係る記憶装置の構成例を示す模式図である。
図25A乃至図25Hは、本発明の一態様に係る記憶装置の構成例を示す回路図である。
図26は、各種の記憶装置を階層ごとに示す図である。
図27Aは、本発明の一態様に係る半導体装置のブロック図である。図27Bは、本発明の一態様に係る半導体装置の模式図である。
図28Aおよび図28Bは、電子部品の一例を説明する図である。
図29A乃至図29Eは、本発明の一態様に係る記憶装置の模式図である。
図30A乃至図30Hは、本発明の一態様に係る電子機器を示す図である。
FIG. 1A is a top view of a semiconductor device which is one embodiment of the present invention. 1B to 1D are cross-sectional views of a semiconductor device which is one embodiment of the present invention.
2A to 2C are cross-sectional views of a semiconductor device which is one embodiment of the present invention.
FIG. 3A is a diagram illustrating classification of crystal structures of IGZO. FIG. 3B is a diagram illustrating an XRD spectrum of quartz glass. FIG. 3C is a diagram illustrating an XRD spectrum of crystalline IGZO.
FIG. 4A is a top view of a semiconductor device which is one embodiment of the present invention. 4B to 4D are cross-sectional views of the semiconductor device which is one embodiment of the present invention.
FIG. 5A is a top view of a semiconductor device which is one embodiment of the present invention. 5B to 5D are cross-sectional views of the semiconductor device which is one embodiment of the present invention.
FIG. 6A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention. 6B to 6D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
FIG. 7A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention. 7B to 7D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
FIG. 8A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention. 8B to 8D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
FIG. 9A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention. 9B to 9D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
FIG. 10A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention. 10B to 10D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
FIG. 11A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention. 11B to 11D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
FIG. 12A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention. 12B to 12D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
FIG. 13A is a top view illustrating a manufacturing method of a semiconductor device which is one embodiment of the present invention. 13B to 13D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
FIG. 14A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention. 14B to 14D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
15A is a top view illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention. 15B to 15D are cross-sectional views illustrating a method for manufacturing a semiconductor device which is one embodiment of the present invention.
16A and 16B are cross-sectional views of a semiconductor device according to one embodiment of the present invention.
FIG. 17 is a cross-sectional view illustrating the structure of the memory device according to one embodiment of the present invention.
18 is a cross-sectional view illustrating the structure of the memory device according to one embodiment of the present invention.
FIG. 19 is a cross-sectional view illustrating the structure of the memory device according to one embodiment of the present invention.
20 is a cross-sectional view of a semiconductor device according to one embodiment of the present invention.
21A and 21B are cross-sectional views of a semiconductor device according to one embodiment of the present invention.
22 is a cross-sectional view of a semiconductor device according to one embodiment of the present invention.
FIG. 23 is a cross-sectional view of a semiconductor device according to one embodiment of the present invention.
24A is a block diagram illustrating a structural example of a memory device according to one embodiment of the present invention. FIG. 24B is a schematic diagram illustrating a configuration example of the memory device according to one embodiment of the present invention.
25A to 25H are circuit diagrams each illustrating a structural example of a memory device according to one embodiment of the present invention.
FIG. 26 is a diagram showing various storage devices layer by layer.
FIG. 27A is a block diagram of a semiconductor device according to one embodiment of the present invention. FIG. 27B is a schematic diagram of a semiconductor device according to one embodiment of the present invention.
28A and 28B are diagrams illustrating an example of an electronic component.
29A to 29E are schematic views of a memory device according to one embodiment of the present invention.
30A to 30H are diagrams illustrating electronic devices according to one embodiment of the present invention.
 以下、実施の形態について図面を参照しながら説明する。ただし、実施の形態は多くの異なる態様で実施することが可能であり、趣旨およびその範囲から逸脱することなくその形態および詳細を様々に変更し得ることは、当業者であれば容易に理解される。したがって、本発明は、以下の実施の形態の記載内容に限定して解釈されるものではない。 Embodiments will be described below with reference to the drawings. However, it is easily understood by those skilled in the art that the embodiment can be implemented in many different modes, and the form and details can be variously changed without departing from the spirit and the scope thereof. It Therefore, the present invention should not be construed as being limited to the description of the embodiments below.
 また、図面において、大きさ、層の厚さ、または領域は、明瞭化のために誇張されている場合がある。よって、必ずしもそのスケールに限定されない。なお、図面は、理想的な例を模式的に示したものであり、図面に示す形状または値などに限定されない。例えば、実際の製造工程において、エッチングなどの処理により層やレジストマスクなどが意図せずに目減りすることがあるが、理解を容易とするため、図に反映しないことがある。また、図面において、同一部分または同様な機能を有する部分には同一の符号を異なる図面間で共通して用い、その繰り返しの説明は省略する場合がある。また、同様の機能を指す場合には、ハッチパターンを同じくし、特に符号を付さない場合がある。 Also, in the drawings, the size, the layer thickness, or the region may be exaggerated for clarity. Therefore, it is not necessarily limited to that scale. It should be noted that the drawings schematically show ideal examples, and are not limited to the shapes or values shown in the drawings. For example, in an actual manufacturing process, a layer, a resist mask, or the like may be unintentionally reduced due to a process such as etching, but this may not be reflected in the drawing for easy understanding. In the drawings, the same reference numerals are commonly used in different drawings for the same portions or portions having similar functions, and repeated description thereof may be omitted. Further, when referring to the same function, the hatch patterns may be the same and may not be given a reference numeral in particular.
 また、特に上面図(「平面図」ともいう。)や斜視図などにおいて、発明の理解を容易とするため、一部の構成要素の記載を省略する場合がある。また、一部の隠れ線などの記載を省略する場合がある。 In addition, in order to facilitate understanding of the invention, in some cases, particularly in top views (also referred to as “plan views”) and perspective views, description of some components may be omitted. In addition, description of some hidden lines may be omitted.
 また、本明細書等において、第1、第2等として付される序数詞は便宜上用いるものであり、工程順または積層順を示すものではない。そのため、例えば、「第1の」を「第2の」または「第3の」などと適宜置き換えて説明することができる。また、本明細書等に記載されている序数詞と、本発明の一態様を特定するために用いられる序数詞は一致しない場合がある。 Also, in this specification and the like, the ordinal numbers given as the first, second, etc. are used for convenience and do not indicate the process order or the stacking order. Therefore, for example, “first” can be replaced with “second” or “third” as appropriate. In addition, the ordinal numbers described in this specification and the like may be different from the ordinal numbers used to specify one embodiment of the present invention.
 また、本明細書等において、「上に」、「下に」などの配置を示す語句は、構成同士の位置関係を、図面を参照して説明するために、便宜上用いている。また、構成同士の位置関係は、各構成を描写する方向に応じて適宜変化するものである。したがって、明細書で説明した語句に限定されず、状況に応じて適切に言い換えることができる。 In addition, in this specification and the like, terms such as “above” and “below” indicating a layout are used for convenience in order to explain the positional relationship between components with reference to the drawings. Further, the positional relationship between the components changes appropriately according to the direction in which each component is depicted. Therefore, it is not limited to the words and phrases described in the specification, and can be paraphrased appropriately according to the situation.
 例えば、本明細書等において、XとYとが接続されている、と明示的に記載されている場合は、XとYとが電気的に接続されている場合と、XとYとが機能的に接続されている場合と、XとYとが直接的に接続されている場合とが、本明細書等に開示されているものとする。したがって、所定の接続関係、例えば、図または文章に示された接続関係に限定されず、図または文章に示された接続関係以外のものも、図または文章に開示されているものとする。ここで、X、Yは、対象物(例えば、装置、素子、回路、配線、電極、端子、導電膜、層、など)であるとする。 For example, in this specification and the like, when it is explicitly described that X and Y are connected, the case where X and Y are electrically connected and the case where X and Y function The case where they are electrically connected and the case where X and Y are directly connected are disclosed in this specification and the like. Therefore, it is not limited to a predetermined connection relation, for example, the connection relation shown in the drawing or the text, and other than the connection relation shown in the drawing or the text is also disclosed in the drawing or the text. Here, X and Y are objects (for example, devices, elements, circuits, wirings, electrodes, terminals, conductive films, layers, etc.).
 また、本明細書等において、トランジスタとは、ゲートと、ドレインと、ソースとを含む少なくとも三つの端子を有する素子である。そして、ドレイン(ドレイン端子、ドレイン領域またはドレイン電極)とソース(ソース端子、ソース領域またはソース電極)の間にチャネルが形成される領域(以下、チャネル形成領域ともいう。)を有しており、チャネル形成領域を介して、ソースとドレインとの間に電流を流すことができるものである。なお、本明細書等において、チャネル形成領域とは、電流が主として流れる領域をいう。 In addition, in this specification and the like, a transistor is an element having at least three terminals including a gate, a drain, and a source. And a region (hereinafter also referred to as a channel formation region) in which a channel is formed between the drain (drain terminal, drain region or drain electrode) and the source (source terminal, source region or source electrode), A current can flow between the source and the drain via the channel formation region. Note that in this specification and the like, a channel formation region refers to a region in which a current mainly flows.
 また、ソースやドレインの機能は、異なる極性のトランジスタを採用する場合や、回路動作において電流の方向が変化する場合などには入れ替わることがある。このため、本明細書等においては、ソースやドレインの用語は、入れ替えて用いることができる場合がある。 Also, the functions of the source and drain may be switched when adopting transistors of different polarities or when the direction of current changes during circuit operation. Therefore, in this specification and the like, the terms source and drain can be interchanged in some cases.
 なお、チャネル長とは、例えば、トランジスタの上面図において、半導体(またはトランジスタがオン状態のときに半導体の中で電流の流れる部分)とゲート電極とが互いに重なる領域、またはチャネル形成領域における、ソース(ソース領域またはソース電極)とドレイン(ドレイン領域またはドレイン電極)との間の距離をいう。なお、一つのトランジスタにおいて、チャネル長が全ての領域で同じ値をとるとは限らない。すなわち、一つのトランジスタのチャネル長は、一つの値に定まらない場合がある。そのため、本明細書では、チャネル長は、チャネル形成領域における、いずれか一の値、最大値、最小値または平均値とする。 Note that the channel length means, for example, in a top view of a transistor, a region where a semiconductor (or a portion where current flows in the semiconductor when the transistor is on) and a gate electrode overlap with each other, or a source in a channel formation region. The distance between (source region or source electrode) and the drain (drain region or drain electrode). Note that in one transistor, the channel length does not necessarily have the same value in all regions. That is, the channel length of one transistor may not be set to one value. Therefore, in this specification, the channel length is any one value, the maximum value, the minimum value, or the average value in the channel formation region.
 チャネル幅とは、例えば、トランジスタの上面図において、半導体(またはトランジスタがオン状態のときに半導体の中で電流の流れる部分)とゲート電極とが互いに重なる領域、またはチャネル形成領域における、チャネル長方向を基準として垂直方向のチャネル形成領域の長さをいう。なお、一つのトランジスタにおいて、チャネル幅がすべての領域で同じ値をとるとは限らない。すなわち、一つのトランジスタのチャネル幅は、一つの値に定まらない場合がある。そのため、本明細書では、チャネル幅は、チャネル形成領域における、いずれか一の値、最大値、最小値または平均値とする。 The channel width is, for example, in a top view of a transistor, in a channel length direction in a region where a semiconductor (or a portion where current flows in the semiconductor when the transistor is on) and a gate electrode overlap with each other or a channel formation region. Refers to the length of the channel formation region in the vertical direction. Note that in one transistor, the channel width does not necessarily have the same value in all regions. That is, the channel width of one transistor may not be set to one value. Therefore, in this specification, the channel width is any one value, the maximum value, the minimum value, or the average value in the channel formation region.
 なお、本明細書等において、トランジスタの構造によっては、実際にチャネルの形成される領域におけるチャネル幅(以下、「実効的なチャネル幅」ともいう。)と、トランジスタの上面図において示されるチャネル幅(以下、「見かけ上のチャネル幅」ともいう。)と、が異なる場合がある。例えば、ゲート電極が半導体の側面を覆う場合、実効的なチャネル幅が、見かけ上のチャネル幅よりも大きくなり、その影響が無視できなくなる場合がある。例えば、微細かつゲート電極が半導体の側面を覆うトランジスタでは、半導体の側面に形成されるチャネル形成領域の割合が大きくなる場合がある。その場合は、見かけ上のチャネル幅よりも、実効的なチャネル幅の方が大きくなる。 Note that in this specification and the like, depending on the structure of a transistor, a channel width in a region where a channel is actually formed (hereinafter also referred to as an “effective channel width”) and a channel width shown in a top view of the transistor. (Hereinafter, also referred to as “apparent channel width”). For example, when the gate electrode covers the side surface of the semiconductor, the effective channel width becomes larger than the apparent channel width, and the effect thereof may not be negligible. For example, in a transistor which is fine and whose gate electrode covers the side surface of the semiconductor, the proportion of the channel formation region formed on the side surface of the semiconductor may be large. In that case, the effective channel width is larger than the apparent channel width.
 このような場合、実効的なチャネル幅の、実測による見積もりが困難となる場合がある。例えば、設計値から実効的なチャネル幅を見積もるためには、半導体の形状が既知という仮定が必要である。したがって、半導体の形状が正確にわからない場合には、実効的なチャネル幅を正確に測定することは困難である。 In such cases, it may be difficult to estimate the effective channel width by actual measurement. For example, in order to estimate the effective channel width from the design value, it is necessary to assume that the semiconductor shape is known. Therefore, it is difficult to measure the effective channel width accurately when the shape of the semiconductor is not known accurately.
 本明細書では、単にチャネル幅と記載した場合には、見かけ上のチャネル幅を指す場合がある。または、本明細書では、単にチャネル幅と記載した場合には、実効的なチャネル幅を指す場合がある。なお、チャネル長、チャネル幅、実効的なチャネル幅、見かけ上のチャネル幅などは、断面TEM像などを解析することなどによって、値を決定することができる。 In this specification, when simply described as channel width, it may indicate an apparent channel width. Alternatively, in this specification, when simply described as a channel width, it may indicate an effective channel width. Note that the channel length, channel width, effective channel width, apparent channel width, and the like can be determined by analyzing a cross-sectional TEM image or the like.
 なお、半導体の不純物とは、例えば、半導体を構成する主成分以外をいう。例えば、濃度が0.1原子%未満の元素は不純物と言える。不純物が含まれることにより、例えば、半導体の欠陥準位密度が高くなることや、結晶性が低下することなどが起こる場合がある。半導体が酸化物半導体である場合、半導体の特性を変化させる不純物としては、例えば、第1族元素、第2族元素、第13族元素、第14族元素、第15族元素、酸化物半導体の主成分以外の遷移金属などがあり、例えば、水素、リチウム、ナトリウム、シリコン、ホウ素、リン、炭素、窒素などがある。なお、水も不純物として機能する場合がある。また、例えば不純物の混入によって、酸化物半導体に酸素欠損(Vと表記する場合がある)が形成される場合がある。 Note that the impurities of the semiconductor refer to, for example, components other than the main constituents of the semiconductor. For example, an element whose concentration is less than 0.1 atomic% can be said to be an impurity. The inclusion of impurities may cause, for example, an increase in the defect level density of the semiconductor and a decrease in crystallinity. When the semiconductor is an oxide semiconductor, examples of impurities that change the characteristics of the semiconductor include a Group 1 element, a Group 2 element, a Group 13 element, a Group 14 element, a Group 15 element, and an oxide semiconductor. There are transition metals other than the main component, such as hydrogen, lithium, sodium, silicon, boron, phosphorus, carbon, and nitrogen. Water may also function as an impurity. In addition, oxygen vacancies (may be referred to as V 2 O ) may be formed in the oxide semiconductor due to the mixture of impurities.
 なお、本明細書等において、酸化窒化シリコンとは、その組成として、窒素よりも酸素の含有量が多いものである。また、窒化酸化シリコンとは、その組成として、酸素よりも窒素の含有量が多いものである。 Note that in this specification and the like, silicon oxynitride has a higher oxygen content than nitrogen as its composition. Further, silicon oxynitride has a composition that contains more nitrogen than oxygen.
 また、本明細書等において、「絶縁体」という用語を、絶縁膜または絶縁層と言い換えることができる。また、「導電体」という用語を、導電膜または導電層と言い換えることができる。また、「半導体」という用語を、半導体膜または半導体層と言い換えることができる。 In addition, in this specification and the like, the term “insulator” can be restated as an insulating film or an insulating layer. In addition, the term "conductor" can be referred to as a conductive film or a conductive layer. Further, the term "semiconductor" can be restated as a semiconductor film or a semiconductor layer.
 また、本明細書等において、「平行」とは、二つの直線が−10度以上10度以下の角度で配置されている状態をいう。したがって、−5度以上5度以下の場合も含まれる。また、「概略平行」とは、二つの直線が−30度以上30度以下の角度で配置されている状態をいう。また、「垂直」とは、二つの直線が80度以上100度以下の角度で配置されている状態をいう。したがって、85度以上95度以下の場合も含まれる。また、「概略垂直」とは、二つの直線が60度以上120度以下の角度で配置されている状態をいう。 In addition, in this specification and the like, “parallel” means a state in which two straight lines are arranged at an angle of −10 degrees to 10 degrees. Therefore, a case of -5 degrees or more and 5 degrees or less is also included. Further, “substantially parallel” means a state in which two straight lines are arranged at an angle of −30 degrees or more and 30 degrees or less. Further, "vertical" means a state in which two straight lines are arranged at an angle of 80 degrees or more and 100 degrees or less. Therefore, the case of 85 degrees or more and 95 degrees or less is also included. In addition, “generally vertical” means a state in which two straight lines are arranged at an angle of 60 degrees or more and 120 degrees or less.
 本明細書等において、金属酸化物(metal oxide)とは、広い意味での金属の酸化物である。金属酸化物は、酸化物絶縁体、酸化物導電体(透明酸化物導電体を含む。)、酸化物半導体(Oxide Semiconductorまたは単にOSともいう。)などに分類される。例えば、トランジスタの半導体層に金属酸化物を用いた場合、当該金属酸化物を酸化物半導体と呼称する場合がある。つまり、OSトランジスタと記載する場合においては、金属酸化物または酸化物半導体を有するトランジスタと換言することができる。 In this specification and the like, a metal oxide is a metal oxide in a broad sense. Metal oxides are classified into oxide insulators, oxide conductors (including transparent oxide conductors), oxide semiconductors (Oxide Semiconductor or simply OS), and the like. For example, when a metal oxide is used for a semiconductor layer of a transistor, the metal oxide may be referred to as an oxide semiconductor. That is, when the term “OS transistor” is used, it can be referred to as a transistor including a metal oxide or an oxide semiconductor.
 また、本明細書等において、ノーマリーオフとは、ゲートに電位を印加しない、またはゲートに接地電位を与えたときに、トランジスタに流れるチャネル幅1μmあたりのドレイン電流が、室温において1×10−20A以下、85℃において1×10−18A以下、または125℃において1×10−16A以下であることをいう。 In this specification and the like, normally-off means that when a potential is not applied to the gate or a ground potential is applied to the gate, the drain current per 1 μm of the channel width flowing in the transistor is 1×10 at room temperature. It means 20 A or less, 1×10 −18 A or less at 85° C., or 1×10 −16 A or less at 125° C.
(実施の形態1)
 本実施の形態では、本発明の一態様に係るトランジスタ200を有する半導体装置の一例、およびその作製方法について説明する。
(Embodiment 1)
In this embodiment, an example of a semiconductor device including the transistor 200 of one embodiment of the present invention and a manufacturing method thereof will be described.
<半導体装置の構成例>
 図1A乃至図1Dは、トランジスタ200を有する半導体装置の上面図および断面図である。図1Aは、当該半導体装置の上面図である。また、図1B乃至図1Dは、当該半導体装置の断面図である。ここで、図1Bは、図1AにA1−A2の一点鎖線で示す部位の断面図であり、トランジスタ200のチャネル長方向の断面図でもある。また、図1Cは、図1AにA3−A4の一点鎖線で示す部位の断面図であり、トランジスタ200のチャネル幅方向の断面図でもある。また、図1Dは、図1AにA5−A6の一点鎖線で示す部位の断面図である。なお、図1Aの上面図では、図の明瞭化のために一部の要素を省いている。
<Example of configuration of semiconductor device>
1A to 1D are a top view and a cross-sectional view of a semiconductor device including a transistor 200. FIG. 1A is a top view of the semiconductor device. 1B to 1D are cross-sectional views of the semiconductor device. Here, FIG. 1B is a cross-sectional view of a portion indicated by dashed-dotted line A1-A2 in FIG. 1A and also a cross-sectional view of the transistor 200 in the channel length direction. 1C is a cross-sectional view of a portion indicated by dashed-dotted line A3-A4 in FIG. 1A and is also a cross-sectional view of the transistor 200 in the channel width direction. Further, FIG. 1D is a cross-sectional view of a portion indicated by an alternate long and short dash line of A5-A6 in FIG. 1A. In the top view of FIG. 1A, some elements are omitted for the sake of clarity.
 本発明の一態様の半導体装置は、基板(図示せず)上の絶縁体211と、絶縁体211上の絶縁体212と、絶縁体212上の絶縁体214と、絶縁体214上のトランジスタ200と、トランジスタ200上の絶縁体280と、絶縁体280上の絶縁体282と、絶縁体282上の絶縁体283と、絶縁体283上の絶縁体284と、を有する。絶縁体211、絶縁体212、絶縁体214、絶縁体280、絶縁体282、絶縁体283、および絶縁体284は層間膜として機能する。また、トランジスタ200と電気的に接続し、プラグとして機能する導電体240(導電体240a、および導電体240b)を有する。なお、プラグとして機能する導電体240の側面に接して絶縁体241(絶縁体241a、および絶縁体241b)が設けられる。また、絶縁体284上、および導電体240上には、導電体240と電気的に接続し、配線として機能する導電体246(導電体246a、および導電体246b)が設けられる。また、導電体246上、および絶縁体284上には、絶縁体286が設けられる。 A semiconductor device of one embodiment of the present invention includes an insulator 211 over a substrate (not shown), an insulator 212 over the insulator 211, an insulator 214 over the insulator 212, and a transistor 200 over the insulator 214. And an insulator 280 over the transistor 200, an insulator 282 over the insulator 280, an insulator 283 over the insulator 282, and an insulator 284 over the insulator 283. The insulator 211, the insulator 212, the insulator 214, the insulator 280, the insulator 282, the insulator 283, and the insulator 284 function as an interlayer film. Further, the conductor 240 (the conductor 240a and the conductor 240b) which is electrically connected to the transistor 200 and serves as a plug is included. Note that the insulator 241 (the insulator 241a and the insulator 241b) is provided in contact with the side surface of the conductor 240 which functions as a plug. Further, a conductor 246 (a conductor 246a and a conductor 246b) which is electrically connected to the conductor 240 and serves as a wiring is provided over the insulator 284 and the conductor 240. An insulator 286 is provided over the conductor 246 and the insulator 284.
 絶縁体272、絶縁体273、絶縁体280、絶縁体282、絶縁体283、および絶縁体284の開口の内壁に接して絶縁体241aが設けられ、絶縁体241aの側面に接して導電体240aの第1の導電体が設けられ、さらに内側に導電体240aの第2の導電体が設けられている。また、絶縁体272、絶縁体273、絶縁体280、絶縁体282、絶縁体283、および絶縁体284の開口の内壁に接して絶縁体241bが設けられ、絶縁体241bの側面に接して導電体240bの第1の導電体が設けられ、さらに内側に導電体240bの第2の導電体が設けられている。ここで、導電体240の上面の高さと、導電体246と重なる領域の、絶縁体284の上面の高さと、は同程度にできる。なお、トランジスタ200では、導電体240の第1の導電体および導電体240の第2の導電体を積層する構成について示しているが、本発明はこれに限られるものではない。例えば、導電体240を単層、または3層以上の積層構造として設ける構成にしてもよい。構造体が積層構造を有する場合、形成順に序数を付与し、区別する場合がある。 The insulator 241a is provided in contact with the inner walls of the openings of the insulator 272, the insulator 273, the insulator 280, the insulator 282, the insulator 283, and the insulator 284, and the conductor 240a is provided in contact with the side surface of the insulator 241a. The first conductor is provided, and the second conductor of the conductor 240a is further provided inside. Further, the insulator 241b is provided in contact with the inner walls of the openings of the insulator 272, the insulator 273, the insulator 280, the insulator 282, the insulator 283, and the insulator 284, and the conductor is provided in contact with the side surface of the insulator 241b. The first conductor of 240b is provided, and the second conductor of the conductor 240b is provided further inside. Here, the height of the upper surface of the conductor 240 and the height of the upper surface of the insulator 284 in a region overlapping with the conductor 246 can be approximately the same. Although the transistor 200 has a structure in which the first conductor of the conductor 240 and the second conductor of the conductor 240 are stacked, the present invention is not limited to this. For example, the conductor 240 may have a single-layer structure or a stacked structure including three or more layers. When the structure has a laminated structure, an ordinal number may be given in order of formation to distinguish them.
[トランジスタ200]
 図1A乃至図1Dに示すように、トランジスタ200は、絶縁体214上の絶縁体216と、絶縁体214または絶縁体216に埋め込まれるように配置された導電体205(導電体205a、および導電体205b)と、絶縁体216上、および導電体205上の絶縁体222と、絶縁体222上の絶縁体224と、絶縁体224上の酸化物230aと、酸化物230a上の酸化物230bと、酸化物230b上の、導電体242(導電体242a、および導電体242b)および酸化物230cと、酸化物230c上の絶縁体250と、絶縁体250上に位置し、酸化物230cの一部と重なる導電体260(導電体260a、および導電体260b)と、絶縁体224の上面の一部、酸化物230aの側面、酸化物230bの側面、導電体242aの側面、導電体242aの上面、導電体242bの側面、および導電体242bの上面と接する絶縁体272と、絶縁体272上の絶縁体273と、を有する。また、酸化物230cは、導電体242aの側面および導電体242bの側面とそれぞれ接する。ここで、図1Bおよび図1Cに示すように、導電体260の上面は、絶縁体250の上面および酸化物230cの上面と略一致して配置される。また、絶縁体282は、導電体260、絶縁体250、酸化物230c、および絶縁体280のそれぞれの上面と接する。
[Transistor 200]
As illustrated in FIGS. 1A to 1D, the transistor 200 includes an insulator 216 over an insulator 214, an insulator 214, or a conductor 205 (a conductor 205a and a conductor 205) provided so as to be embedded in the insulator 216. 205b), insulator 216 and insulator 222 on conductor 205, insulator 224 on insulator 222, oxide 230a on insulator 224, oxide 230b on oxide 230a, The conductor 242 (the conductor 242a and the conductor 242b) and the oxide 230c over the oxide 230b, the insulator 250 over the oxide 230c, and a portion of the oxide 230c which is located over the insulator 250 and Overlapping conductor 260 (conductor 260a and conductor 260b), part of the top surface of the insulator 224, the side surface of the oxide 230a, the side surface of the oxide 230b, the side surface of the conductor 242a, the top surface of the conductor 242a, and the conductivity. The insulator 272 is in contact with the side surface of the body 242b and the top surface of the conductor 242b, and the insulator 273 over the insulator 272. The oxide 230c is in contact with the side surface of the conductor 242a and the side surface of the conductor 242b, respectively. Here, as shown in FIGS. 1B and 1C, the upper surface of the conductor 260 is arranged so as to substantially match the upper surfaces of the insulator 250 and the oxide 230c. The insulator 282 is in contact with the top surfaces of the conductor 260, the insulator 250, the oxide 230c, and the insulator 280, respectively.
 絶縁体280、絶縁体273、および絶縁体272には、酸化物230bに達する開口が設けられる。当該開口内に、酸化物230c、絶縁体250、および導電体260が配置されている。また、トランジスタ200のチャネル長方向において、導電体242aおよび導電体242bの間に導電体260、絶縁体250、および酸化物230cが設けられている。絶縁体250は、導電体260の側面と重なる領域と、導電体260の底面と重なる領域と、を有する。また、酸化物230cは、酸化物230bと接する領域と、絶縁体250を介して導電体260の側面と重なる領域と、絶縁体250を介して導電体260の底面と重なる領域と、を有する。 An opening reaching the oxide 230b is provided in the insulator 280, the insulator 273, and the insulator 272. The oxide 230c, the insulator 250, and the conductor 260 are arranged in the opening. Further, in the channel length direction of the transistor 200, the conductor 260, the insulator 250, and the oxide 230c are provided between the conductor 242a and the conductor 242b. The insulator 250 has a region overlapping with a side surface of the conductor 260 and a region overlapping with a bottom surface of the conductor 260. The oxide 230c has a region in contact with the oxide 230b, a region overlapping with a side surface of the conductor 260 with the insulator 250 interposed therebetween, and a region overlapping with a bottom surface of the conductor 260 with the insulator 250 interposed therebetween.
 酸化物230は、絶縁体224の上に配置された酸化物230aと、酸化物230aの上に配置された酸化物230bと、酸化物230bの上に配置され、少なくとも一部が酸化物230bに接する酸化物230cと、を有することが好ましい。酸化物230b下に酸化物230aを有することで、酸化物230aよりも下方に形成された構造物から、酸化物230bへの不純物の拡散を抑制することができる。また、酸化物230b上に酸化物230cを有することで、酸化物230cよりも上方に形成された構造物から、酸化物230bへの不純物の拡散を抑制することができる。 The oxide 230 is disposed over the insulator 224, the oxide 230b, the oxide 230b, the oxide 230b, the oxide 230b, and the oxide 230b. And the oxide 230c in contact therewith. By including the oxide 230a under the oxide 230b, diffusion of impurities into the oxide 230b from a structure formed below the oxide 230a can be suppressed. In addition, by including the oxide 230c over the oxide 230b, diffusion of impurities into the oxide 230b from a structure formed above the oxide 230c can be suppressed.
 なお、トランジスタ200では、酸化物230が、酸化物230a、酸化物230b、および酸化物230cの3層を積層する構成について示しているが、本発明はこれに限られるものではない。例えば、酸化物230bの単層、酸化物230aと酸化物230bの2層構造、酸化物230bと酸化物230cの2層構造、または4層以上の積層構造を設ける構成にしてもよいし、酸化物230a、酸化物230b、酸化物230cのそれぞれが積層構造を有していてもよい。 Note that, in the transistor 200, the oxide 230 has a structure in which three layers of the oxide 230a, the oxide 230b, and the oxide 230c are stacked; however, the present invention is not limited to this. For example, a single layer of the oxide 230b, a two-layer structure of the oxide 230a and the oxide 230b, a two-layer structure of the oxide 230b and the oxide 230c, or a stacked structure of four or more layers may be provided. Each of the object 230a, the oxide 230b, and the oxide 230c may have a laminated structure.
 導電体260は、第1のゲート(トップゲートともいう。)電極として機能し、導電体205は、第2のゲート(バックゲートともいう。)電極として機能する。また、絶縁体250は、第1のゲート絶縁体として機能し、絶縁体222、および絶縁体224は、第2のゲート絶縁体として機能する。また、導電体242aは、ソースまたはドレインの一方として機能し、導電体242bは、ソースまたはドレインの他方として機能する。また、酸化物230はチャネル形成領域として機能する。 The conductor 260 functions as a first gate (also referred to as a top gate) electrode, and the conductor 205 functions as a second gate (also referred to as a back gate) electrode. The insulator 250 functions as a first gate insulator, and the insulator 222 and the insulator 224 function as a second gate insulator. The conductor 242a functions as one of a source and a drain, and the conductor 242b functions as the other of a source and a drain. In addition, the oxide 230 functions as a channel formation region.
 トランジスタ200は、チャネル形成領域を含む酸化物230(酸化物230a、酸化物230b、および酸化物230c)に、半導体として機能する金属酸化物(以下、酸化物半導体ともいう。)を用いることが好ましい。 In the transistor 200, a metal oxide functioning as a semiconductor (hereinafter also referred to as an oxide semiconductor) is preferably used for the oxide 230 including the channel formation region (the oxide 230a, the oxide 230b, and the oxide 230c). ..
 また、半導体として機能する金属酸化物は、バンドギャップが2eV以上のものを用いることが好ましく、2.5eV以上のものを用いることがより好ましい。このように、バンドギャップの大きい金属酸化物を用いることで、トランジスタのオフ電流を低減することができる。 The metal oxide that functions as a semiconductor preferably has a band gap of 2 eV or more, more preferably 2.5 eV or more. By using a metal oxide having a wide band gap in this manner, off-state current of the transistor can be reduced.
 チャネル形成領域に金属酸化物を用いたトランジスタは、非導通状態においてリーク電流が極めて小さいため、低消費電力の半導体装置を提供できる。また、金属酸化物は、スパッタリング法などを用いて成膜できるため、高集積型の半導体装置を構成するトランジスタに用いることができる。 A transistor using a metal oxide in a channel formation region has a very small leak current in a non-conduction state, so that a semiconductor device with low power consumption can be provided. Since the metal oxide can be formed by a sputtering method or the like, it can be used for a transistor included in a highly integrated semiconductor device.
 酸化物半導体をトランジスタのチャネル形成領域に用いる場合、キャリア濃度が低い、i型化(真性化)または実質的にi型化された酸化物半導体を用いることが好ましい。キャリア濃度が低い酸化物半導体をトランジスタのチャネル形成領域に用いることで、当該トランジスタのオフ電流を小さく抑えることができる、また、当該トランジスタの信頼性を向上させることができる。 When an oxide semiconductor is used for a channel formation region of a transistor, it is preferable to use an i-type (intrinsic) or substantially i-type oxide semiconductor having a low carrier concentration. By using an oxide semiconductor having a low carrier concentration in a channel formation region of a transistor, off-state current of the transistor can be suppressed low and reliability of the transistor can be improved.
 後述するが、酸化物230b上に接するように設けられた導電層242bに含まれる元素が、酸化物230bの酸素を吸収する機能を有する場合、酸化物230bと導電層242bとの間、または酸化物230bの表面近傍に、部分的に低抵抗領域が形成される場合がある。つまり、当該元素は、酸化物半導体の不純物となる場合がある。この場合、当該低抵抗領域には、不純物、または酸素欠損に入り込んだ不純物(水素、窒素、金属元素等)がドナーとして機能し、キャリア濃度が増加する場合がある。 As described later, in the case where an element contained in the conductive layer 242b provided so as to be in contact with the oxide 230b has a function of absorbing oxygen of the oxide 230b, the oxide is present between the oxide 230b and the conductive layer 242b or is oxidized. A low resistance region may be partially formed in the vicinity of the surface of the object 230b. That is, the element may serve as an impurity in the oxide semiconductor. In this case, impurities or impurities (hydrogen, nitrogen, metal elements, or the like) that enter oxygen vacancies function as donors in the low-resistance region, and the carrier concentration might increase.
 また、酸化物半導体に不純物が混入すると、欠陥準位または酸素欠損が形成される場合がある。よって、酸化物半導体のチャネル形成領域に不純物が混入することで、酸化物半導体を用いたトランジスタの電気特性が変動しやすく、信頼性が悪くなる場合がある。また、チャネル形成領域に酸素欠損が含まれていると、トランジスタはノーマリーオン特性(ゲート電極に電圧を印加しなくてもチャネルが存在し、トランジスタに電流が流れる特性)となりやすい。 Also, if impurities are mixed in the oxide semiconductor, defect levels or oxygen vacancies may be formed. Therefore, when impurities are mixed in the channel formation region of the oxide semiconductor, the electrical characteristics of the transistor including the oxide semiconductor are likely to change and reliability may be deteriorated. If the channel formation region contains oxygen vacancies, the transistor is likely to have normally-on characteristics (a characteristic that a channel exists and current flows in the transistor even if voltage is not applied to the gate electrode).
 例えば、トランジスタのチャネル長方向の断面視において、酸化物230bに溝部を設けることで、上記不純物を除去し、酸化物230bの表面近傍の低抵抗領域を低減し、寄生チャネルの発生を抑制することができる。しかしながら、酸化物230bに当該溝部を設けることで、実効的なチャネル長が、トランジスタの平面視におけるチャネル長よりも長くなり、トランジスタのオン電流および電界効果移動度の低下につながる可能性がある。 For example, in a cross-sectional view in the channel length direction of a transistor, by providing a groove in the oxide 230b, the above impurities are removed, a low resistance region near the surface of the oxide 230b is reduced, and generation of a parasitic channel is suppressed. You can However, by providing the groove portion in the oxide 230b, the effective channel length becomes longer than the channel length of the transistor in plan view, which might lead to reduction in on-state current and field-effect mobility of the transistor.
 そこで、本発明の一態様に係るトランジスタでは、トランジスタのチャネル長方向の断面視において、酸化物230bに溝部を設け、当該溝部に、キャリアの主たる経路となる酸化物230cを埋め込むことが好ましい。このとき、酸化物230cは、当該溝部の内壁(側壁、および底面)を覆うように配置される。また、酸化物230cの膜厚は、当該溝部の深さと同程度であることが好ましい。 Therefore, in the transistor according to one embodiment of the present invention, it is preferable that a groove be provided in the oxide 230b and the oxide 230c serving as a main carrier path be embedded in the oxide 230b in a cross-sectional view in the channel length direction of the transistor. At this time, the oxide 230c is arranged so as to cover the inner wall (side wall and bottom surface) of the groove. Further, the film thickness of the oxide 230c is preferably about the same as the depth of the groove.
 上記構成にすることで、チャネルが酸化物230cに形成され、実効的なチャネル長を、トランジスタの平面視におけるチャネル長と同程度にすることができる。これにより、トランジスタのオン電流および電界効果移動度を大きくことができる。したがって、オン電流が大きい半導体装置を提供することができる。 With the above structure, a channel is formed in the oxide 230c, and an effective channel length can be approximately equal to the channel length of the transistor in plan view. As a result, the on-current and field effect mobility of the transistor can be increased. Therefore, a semiconductor device with a large on-current can be provided.
 また、酸化物230bに溝部を設けることで、上記不純物を除去し、トランジスタ特性のばらつきが少なく、信頼性が良好な半導体装置を提供することができる。 Further, by providing a groove in the oxide 230b, the above impurities can be removed, and a semiconductor device with less variation in transistor characteristics and favorable reliability can be provided.
 図1Bに示すトランジスタ200およびその近傍を拡大した断面図を、図2Aに示す。 2A is an enlarged cross-sectional view of the transistor 200 shown in FIG. 1B and the vicinity thereof.
 図2Aに示すように、トランジスタのチャネル長方向の断面視において、酸化物230bに設けられた溝部の深さを、D1とする。なお、深さD1は、導電体242aまたは導電体242bと重なる領域の、酸化物230bの上面と、導電体260と重なる領域の、酸化物230bの上面と、の差でもある。深さD1は、代表的には、0nmより大きく10nm以下、好ましくは1nm以上7nm以下、さらに好ましくは2nm以上5nm以下である。 As shown in FIG. 2A, the depth of the groove provided in the oxide 230b is D1 in the cross-sectional view of the transistor in the channel length direction. Note that the depth D1 is also a difference between the top surface of the oxide 230b in a region overlapping with the conductor 242a or the conductor 242b and the top surface of the oxide 230b in a region overlapping with the conductor 260. The depth D1 is typically greater than 0 nm and 10 nm or less, preferably 1 nm or more and 7 nm or less, and more preferably 2 nm or more and 5 nm or less.
 また、図2Aに示すように、トランジスタのチャネル長方向の断面視において、導電体260と重なる領域の、酸化物230cの厚さ(膜厚)を、D2とする。厚さD2は、代表的には、0.5nm以上7nm以下、好ましくは1nm以上5nm以下、さらに好ましくは2nm以上4nm以下である。 Further, as shown in FIG. 2A, the thickness (film thickness) of the oxide 230c in a region overlapping with the conductor 260 is D2 in a cross-sectional view in the channel length direction of the transistor. The thickness D2 is typically 0.5 nm or more and 7 nm or less, preferably 1 nm or more and 5 nm or less, and more preferably 2 nm or more and 4 nm or less.
 ここで、図2Aに示すように、導電体260と重なる領域の、酸化物230cの上面が、導電体242aまたは導電体242bの底面よりも低い構成において、第1のゲート絶縁体として機能する絶縁体250と酸化物230cとの界面にチャネルが形成される場合、ソースとドレインとの間に形成されるチャネル形成領域は、凹状またはU字型の形状を有する。 Here, as shown in FIG. 2A, in a structure where the top surface of the oxide 230c in a region overlapping with the conductor 260 is lower than the bottom surface of the conductor 242a or the conductor 242b, the insulating functioning as the first gate insulator is obtained. When a channel is formed at the interface between the body 250 and the oxide 230c, the channel formation region formed between the source and the drain has a concave or U-shaped shape.
 また、図2Bに示すように、導電体260と重なる領域の、酸化物230cの上面が、導電体242aまたは導電体242bの底面と同程度である構成において、第1のゲート絶縁体として機能する絶縁体250と酸化物230cとの界面にチャネルが形成される場合、ソースとドレインとの間に形成されるチャネル形成領域は、平坦な形状を有する。 In addition, as illustrated in FIG. 2B, in a structure where the top surface of the oxide 230c in a region overlapping with the conductor 260 is approximately the same as the bottom surface of the conductor 242a or the conductor 242b, it functions as a first gate insulator. When a channel is formed at the interface between the insulator 250 and the oxide 230c, the channel formation region formed between the source and the drain has a flat shape.
 なお、図2Cに示すように、導電体260と重なる領域の、酸化物230cの上面が、導電体242aまたは導電体242bの底面よりも高くてもよい。 Note that as illustrated in FIG. 2C, the top surface of the oxide 230c in a region overlapping with the conductor 260 may be higher than the bottom surface of the conductor 242a or the conductor 242b.
 また、上記溝部の深さによっては、絶縁体250が、酸化物230cを介して、上記溝部の内壁を覆うように配置される場合がある。また、導電体260が、酸化物230cおよび絶縁体250を介して、上記溝部を埋め込むように配置される場合がある。 The insulator 250 may be arranged so as to cover the inner wall of the groove via the oxide 230c depending on the depth of the groove. Further, the conductor 260 may be arranged so as to fill the groove portion with the oxide 230c and the insulator 250 interposed therebetween.
 また、トランジスタ200のチャネル長方向の断面視において、上記溝部の側壁は、上記開口の側壁と略一致していてもよい。 Further, in a cross-sectional view of the transistor 200 in the channel length direction, the side wall of the groove may be substantially aligned with the side wall of the opening.
 また、図1Cに示すように、トランジスタ200のチャネル幅方向の断面視において、酸化物230bの側面と酸化物230bの上面との間に、湾曲面を有してもよい。つまり、当該側面の端部と当該上面の端部は、湾曲してもよい(以下、ラウンド状ともいう。)。 Further, as shown in FIG. 1C, in a cross-sectional view of the transistor 200 in the channel width direction, a curved surface may be provided between a side surface of the oxide 230b and an upper surface of the oxide 230b. That is, the edge of the side surface and the edge of the upper surface may be curved (hereinafter, also referred to as round shape).
 上記湾曲面での曲率半径は、0nmより大きく、導電体242と重なる領域の酸化物230bの膜厚より小さい、または、上記湾曲面を有さない領域の長さの半分より小さいことが好ましい。上記湾曲面での曲率半径は、具体的には、0nmより大きく20nm以下、好ましくは1nm以上15nm以下、さらに好ましくは2nm以上10nm以下とする。このような形状にすることで、後の工程で形成する絶縁体250および導電体260の、上記溝部への被覆性を高めることができる。また、上記湾曲面を有さない領域の長さの減少を防ぎ、トランジスタ200のオン電流、移動度の低下を抑制することができる。したがって、良好な電気特性を有する半導体装置を提供することができる。 The radius of curvature on the curved surface is preferably larger than 0 nm and smaller than the film thickness of the oxide 230b in the region overlapping with the conductor 242, or smaller than half the length of the region without the curved surface. Specifically, the radius of curvature on the curved surface is greater than 0 nm and 20 nm or less, preferably 1 nm or more and 15 nm or less, and more preferably 2 nm or more and 10 nm or less. With such a shape, coverage of the insulator 250 and the conductor 260 to be formed in a later step with the groove can be increased. Further, it is possible to prevent a decrease in the length of the region having no curved surface and suppress a decrease in on-current and mobility of the transistor 200. Therefore, a semiconductor device having favorable electrical characteristics can be provided.
 酸化物230として、例えば、インジウム、元素Mおよび亜鉛を有するIn−M−Zn酸化物(元素Mは、アルミニウム、ガリウム、イットリウム、錫、銅、バナジウム、ベリリウム、ホウ素、チタン、鉄、ニッケル、ゲルマニウム、ジルコニウム、モリブデン、ランタン、セリウム、ネオジム、ハフニウム、タンタル、タングステン、またはマグネシウムなどから選ばれた一種、または複数種)等の金属酸化物を用いるとよい。また、酸化物230として、In−Ga酸化物、In−Zn酸化物、インジウム酸化物を用いてもよい。 As the oxide 230, for example, an In-M-Zn oxide containing indium, element M, and zinc (the element M is aluminum, gallium, yttrium, tin, copper, vanadium, beryllium, boron, titanium, iron, nickel, germanium). , One or more selected from zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium, and the like) may be used. Alternatively, as the oxide 230, an In—Ga oxide, an In—Zn oxide, or an indium oxide may be used.
 酸化物230は、化学組成が異なる複数の酸化物層の積層構造を有することが好ましい。具体的には、酸化物230aに用いる金属酸化物において、主成分である金属元素に対する元素Mの原子数比が、酸化物230bに用いる金属酸化物における、主成分である金属元素に対する元素Mの原子数比より、大きいことが好ましい。また、酸化物230aに用いる金属酸化物において、Inに対する元素Mの原子数比が、酸化物230bに用いる金属酸化物における、Inに対する元素Mの原子数比より大きいことが好ましい。また、酸化物230bに用いる金属酸化物において、元素Mに対するInの原子数比が、酸化物230aに用いる金属酸化物における、元素Mに対するInの原子数比より大きいことが好ましい。 The oxide 230 preferably has a laminated structure of a plurality of oxide layers having different chemical compositions. Specifically, in the metal oxide used for the oxide 230a, the atomic ratio of the element M to the metal element serving as the main component of the metal oxide used for the oxide 230b corresponds to that of the element M to the metal element serving as the main component. It is preferably larger than the atomic number ratio. In the metal oxide used for the oxide 230a, the atomic ratio of the element M to In is preferably higher than the atomic ratio of the element M to In in the metal oxide used for the oxide 230b. In the metal oxide used for the oxide 230b, the atomic ratio of In to the element M is preferably higher than the atomic ratio of In to the element M in the metal oxide used for the oxide 230a.
 なお、酸化物230cをキャリアの主たる経路とするには、酸化物230cにおいて、主成分である金属元素に対するインジウムの原子数比が、酸化物230bにおける、主成分である金属元素に対するインジウムの原子数比より大きいことが好ましい。インジウムの含有量が多い金属酸化物をチャネル形成領域に用いることで、トランジスタのオン電流を増大することができる。よって、酸化物230cにおいて、主成分である金属元素に対するインジウムの原子数比を、酸化物230bにおける、主成分である金属元素に対するインジウムの原子数比よりも大きくすることで、酸化物230cをキャリアの主たる経路とすることができる。 Note that in order to use the oxide 230c as a main path of carriers, the atomic ratio of indium to the metal element which is a main component in the oxide 230c is the number of indium atoms to the metal element which is a main component in the oxide 230b. It is preferably larger than the ratio. By using a metal oxide containing a large amount of indium for the channel formation region, the on-state current of the transistor can be increased. Therefore, in the oxide 230c, the atomic ratio of indium to the metal element which is the main component is made higher than the atomic ratio of indium to the metal element which is the main component in the oxide 230b, so that the oxide 230c becomes a carrier. Can be the main route of
 また、酸化物230cの伝導帯下端は、酸化物230aおよび酸化物230bの伝導帯下端より真空準位から離れていることが好ましい。言い換えると、酸化物230cの電子親和力は、酸化物230aおよび酸化物230bの電子親和力より大きいことが好ましい。このとき、キャリアの主たる経路は酸化物230cとなる。 Further, it is preferable that the bottom of the conduction band of the oxide 230c be farther from the vacuum level than the bottoms of the conduction bands of the oxides 230a and 230b. In other words, the electron affinity of the oxide 230c is preferably higher than the electron affinity of the oxide 230a and the oxide 230b. At this time, the main path of carriers is the oxide 230c.
 酸化物230cとして、具体的には、In:M:Zn=5:1:3[原子数比]もしくはその近傍の組成、またはIn:M:Zn=10:1:3[原子数比]もしくはその近傍の組成の金属酸化物、インジウム酸化物などを用いるとよい。 As the oxide 230c, specifically, In:M:Zn=5:1:3 [atomic ratio] or a composition in the vicinity thereof, or In:M:Zn=10:1:3 [atomic ratio] or It is preferable to use a metal oxide, indium oxide, or the like having a composition in the vicinity thereof.
 また、絶縁体250と接するように設けられた酸化物230cに、インジウム酸化物のような元素Mを主成分として含まない金属酸化物や、In:M:Zn=5:1:3[原子数比]もしくはその近傍の組成、またはIn:M:Zn=10:1:3[原子数比]もしくはその近傍の組成の金属酸化物などのような元素Mの比率が少ない金属酸化物を用いることで、トランジスタの信頼性の向上を図ることができる。 Further, the oxide 230c provided so as to be in contact with the insulator 250 is a metal oxide such as indium oxide which does not contain the element M as a main component, or In:M:Zn=5:1:3 [number of atoms]. Ratio] or a composition in the vicinity thereof, or In:M:Zn=10:1:3 [atomic ratio] or a metal oxide having a small ratio of the element M such as a metal oxide in a composition in the vicinity thereof. Thus, the reliability of the transistor can be improved.
 なお、トランジスタの信頼性を評価するパラメータとして、例えば、トランジスタの+GBT(Gate Bias Temperature)ストレス試験で測定されるシフト電圧(ΔVsh)がある。シフト電圧(Vsh)は、トランジスタのドレイン電流(Id)−ゲート電圧(Vg)カーブにおいて、カーブ上の傾きが最大である点における接線が、Id=1pAの直線と交差するVgで定義される。また、Vshの変化量をΔVshとして表す。 As a parameter for evaluating the reliability of the transistor, for example, there is a shift voltage (ΔVsh) measured by a +GBT (Gate Bias Temperature) stress test of the transistor. The shift voltage (Vsh) is defined by Vg at which the tangent line at the point where the slope on the curve is the maximum in the drain current (Id)-gate voltage (Vg) curve of the transistor intersects the straight line of Id=1 pA. Further, the amount of change in Vsh is represented as ΔVsh.
 トランジスタの+GBTストレス試験において、ΔVshは、時間経過に伴い負方向へシフトする場合がある。また、ΔVshは、−方向(例えば、負方向)に変動するのではなく、負方向と正方向との双方に変動する挙動を示す場合がある。なお、本明細書等において、上記挙動を+GBTストレス試験における、ΔVshのギザギザ挙動と呼称する場合がある。 In the +GBT stress test of the transistor, ΔVsh may shift in the negative direction over time. Further, ΔVsh may exhibit a behavior that it does not fluctuate in the − direction (for example, the negative direction) but fluctuates in both the negative direction and the positive direction. In this specification and the like, the above-mentioned behavior may be referred to as a jagged behavior of ΔVsh in the +GBT stress test.
 酸化物230cに、元素Mを主成分として含まない金属酸化物や、元素Mの比率が少ない金属酸化物を用いることで、例えば、ΔVshを低減し、ΔVshのギザギザ挙動を抑制し、トランジスタの信頼性の向上を図ることができる。 By using a metal oxide which does not contain the element M as a main component or a metal oxide having a small ratio of the element M for the oxide 230c, for example, ΔVsh is reduced, jagged behavior of ΔVsh is suppressed, and reliability of the transistor is improved. It is possible to improve the sex.
 また、酸化物230bおよび酸化物230cは、結晶性を有することが好ましい。例えば、後述するCAAC−OS(c−axis aligned crystalline oxide semiconductor)を用いることが好ましい。CAAC−OSなどの結晶性を有する酸化物は、不純物や欠陥(酸素欠損など)が少なく、結晶性の高い、緻密な構造を有している。よって、ソース電極またはドレイン電極による、酸化物230bからの酸素の引き抜きを抑制することができる。これにより、熱処理を行っても、酸化物230bから酸素が引き抜かれることを低減できるので、トランジスタ200は、製造工程における高い温度(所謂サーマルバジェット)に対して安定である。 Also, the oxide 230b and the oxide 230c preferably have crystallinity. For example, it is preferable to use a CAAC-OS (c-axis aligned crystalline oxide semiconductor) which will be described later. An oxide having crystallinity such as CAAC-OS has few impurities and defects (such as oxygen vacancies) and has a high crystallinity and a dense structure. Therefore, extraction of oxygen from the oxide 230b by the source electrode or the drain electrode can be suppressed. Accordingly, extraction of oxygen from the oxide 230b can be reduced even when heat treatment is performed, so that the transistor 200 is stable against a high temperature (so-called thermal budget) in a manufacturing process.
 また、酸化物230cとして、CAAC−OSを用いることが好ましく、酸化物230cが有する結晶のc軸が、酸化物230cの被形成面または上面に概略垂直な方向を向いていることが好ましい。CAAC−OSは、c軸と垂直方向に酸素を移動させやすい性質を有する。したがって、酸化物230cが有する酸素を、酸化物230bに効率的に供給することができる。 Further, it is preferable to use CAAC-OS as the oxide 230c, and it is preferable that a c-axis of a crystal included in the oxide 230c is oriented substantially perpendicular to a formation surface or an upper surface of the oxide 230c. The CAAC-OS has a property of easily moving oxygen in a direction perpendicular to the c-axis. Therefore, the oxygen contained in the oxide 230c can be efficiently supplied to the oxide 230b.
 なお、酸化物230cとして、インジウム酸化物、または、元素Mおよび亜鉛の含有量が少ないIn−M−Zn酸化物を用いる場合、酸化物230cの結晶性は低くてもよい。インジウム酸化膜、および元素Mおよび亜鉛の含有量が少ないIn−M−Zn酸化膜は、結晶性を高めることで、多結晶膜となる場合がある。多結晶膜は結晶粒界を有し、当該結晶粒界は欠陥準位となり、キャリアトラップやキャリア発生源となる場合がある。よって、多結晶のIn−M−Zn酸化物を用いたトランジスタは、電気特性の変動が大きく、信頼性が低くなる場合がある。 Note that when an indium oxide or an In-M-Zn oxide containing a small amount of the element M and zinc is used as the oxide 230c, the crystallinity of the oxide 230c may be low. The indium oxide film and the In-M-Zn oxide film having a low content of the element M and zinc may become a polycrystalline film by increasing the crystallinity. The polycrystalline film has a crystal grain boundary, and the crystal grain boundary serves as a defect level and may serve as a carrier trap or a carrier generation source. Therefore, a transistor including a polycrystalline In-M-Zn oxide has large variation in electric characteristics and may have low reliability.
 ここで、酸化物230a、酸化物230b、および酸化物230cの接合部において、伝導帯下端はなだらかに変化する。換言すると、酸化物230a、酸化物230b、および酸化物230cの接合部における伝導帯下端は、連続的に変化または連続接合するともいうことができる。このようにするためには、酸化物230aと酸化物230bとの界面、および酸化物230bと酸化物230cとの界面に形成される混合層の欠陥準位密度を低くするとよい。 Here, the lower end of the conduction band changes gently at the junction of the oxide 230a, the oxide 230b, and the oxide 230c. In other words, it can be said that the bottoms of the conduction bands at the junctions of the oxide 230a, the oxide 230b, and the oxide 230c are continuously changed or continuously joined. In order to do so, it is preferable that the density of defect states in the mixed layer formed at the interface between the oxide 230a and the oxide 230b and the interface between the oxide 230b and the oxide 230c be low.
 具体的には、酸化物230aと酸化物230b、酸化物230bと酸化物230cが、酸素以外に共通の元素を主成分として有することで、欠陥準位密度が低い混合層を形成することができる。例えば、酸化物230bがIn−M−Zn酸化物の場合、酸化物230aおよび酸化物230cとして、In−M−Zn酸化物、M−Zn酸化物、元素Mの酸化物、In−Zn酸化物、インジウム酸化物などを用いてもよい。 Specifically, the oxide 230a and the oxide 230b and the oxide 230b and the oxide 230c have a common element as a main component in addition to oxygen, whereby a mixed layer with low defect level density can be formed. .. For example, when the oxide 230b is an In-M-Zn oxide, the oxide 230a and the oxide 230c are In-M-Zn oxide, M-Zn oxide, oxide of element M, and In-Zn oxide. Alternatively, indium oxide or the like may be used.
 具体的には、酸化物230aとして、In:M:Zn=1:3:4[原子数比]もしくはその近傍の組成、またはIn:M:Zn=1:1:0.5[原子数比]もしくはその近傍の組成の金属酸化物を用いればよい。また、酸化物230bとして、In:M:Zn=1:1:1[原子数比]もしくはその近傍の組成、またはIn:M:Zn=4:2:3[原子数比]もしくはその近傍の組成の金属酸化物を用いればよい。また、酸化物230cとして、In:M:Zn=5:1:3[原子数比]もしくはその近傍の組成、またはIn:M:Zn=10:1:3[原子数比]もしくはその近傍の組成の金属酸化物、または、インジウム酸化物を用いればよい。なお、近傍の組成とは、所望の原子数比の±30%の範囲を含む。また、元素Mとして、ガリウムを用いることが好ましい。 Specifically, as the oxide 230a, In:M:Zn=1:3:4 [atomic ratio] or a composition in the vicinity thereof, or In:M:Zn=1:1:0.5 [atomic ratio]. ] Or a metal oxide having a composition in the vicinity thereof may be used. In addition, as the oxide 230b, In:M:Zn=1:1:1 [atomic ratio] or a composition near it, or In:M:Zn=4:2:3 [atomic ratio] or a composition near it. A metal oxide having a composition may be used. As the oxide 230c, In:M:Zn=5:1:3 [atomic ratio] or a composition in the vicinity thereof, or In:M:Zn=10:1:3 [atomic ratio] or a composition in the vicinity thereof is used. A metal oxide or indium oxide having a composition may be used. The composition in the vicinity includes a range of ±30% of a desired atomic number ratio. Further, it is preferable to use gallium as the element M.
 なお、金属酸化物をスパッタリング法により成膜する場合、上記の原子数比は、成膜された金属酸化物の原子数比に限られず、金属酸化物の成膜に用いるスパッタリングターゲットの原子数比であってもよい。 Note that in the case where a metal oxide is formed by a sputtering method, the atomic ratio described above is not limited to the atomic ratio of the formed metal oxide and the atomic ratio of a sputtering target used for forming the metal oxide. May be
 酸化物230a、酸化物230b、および酸化物230cを上述の構成とすることで、酸化物230aと酸化物230bとの界面、および酸化物230bと酸化物230cとの界面における欠陥準位密度を低くすることができる。そのため、界面散乱によるキャリア伝導への影響が小さくなり、トランジスタ200は大きいオン電流、および高い周波数特性を得ることができる。 With the oxide 230a, the oxide 230b, and the oxide 230c having the above structure, the density of defect states at the interface between the oxide 230a and the oxide 230b and the interface between the oxide 230b and the oxide 230c is low. can do. Therefore, the influence of interface scattering on carrier conduction is reduced, and the transistor 200 can have high on-state current and high frequency characteristics.
 また、酸化物230cは、2層以上の積層構造を有していてもよい。例えば、酸化物230cは、酸化物230c1と、酸化物230c1の上に配置された酸化物230c2と、を有していてもよい。 The oxide 230c may have a laminated structure of two or more layers. For example, the oxide 230c may include the oxide 230c1 and the oxide 230c2 disposed over the oxide 230c1.
 酸化物230c2は、酸化物230c1に用いられる金属酸化物を構成する金属元素の少なくとも一つを含むことが好ましく、当該金属元素を全て含むことがより好ましい。例えば、酸化物230c1として、In−M−Zn酸化物、In−Zn酸化物、またはインジウム酸化物を用い、酸化物230c2として、In−M−Zn酸化物、M−Zn酸化物、または元素Mの酸化物を用いるとよい。これにより、酸化物230c1と酸化物230c2との界面における欠陥準位密度を低くすることができる。 The oxide 230c2 preferably contains at least one of the metal elements constituting the metal oxide used for the oxide 230c1, and more preferably contains all the metal elements. For example, an In-M-Zn oxide, an In-Zn oxide, or an indium oxide is used as the oxide 230c1, and an In-M-Zn oxide, an M-Zn oxide, or the element M is used as the oxide 230c2. It is preferable to use the oxide of. Accordingly, the density of defect states at the interface between the oxide 230c1 and the oxide 230c2 can be reduced.
 また、酸化物230c2の伝導帯下端が、酸化物230c1の伝導帯下端より真空準位に近いことが好ましい。言い換えると、酸化物230c2の電子親和力は、酸化物230c1の電子親和力より小さいことが好ましい。この場合、酸化物230c2は、酸化物230aまたは酸化物230bに用いることができる金属酸化物を用いることが好ましい。このとき、キャリアの主たる経路は酸化物230cとなる。 Further, it is preferable that the bottom of the conduction band of the oxide 230c2 is closer to the vacuum level than the bottom of the conduction band of the oxide 230c1. In other words, the electron affinity of the oxide 230c2 is preferably smaller than that of the oxide 230c1. In this case, the oxide 230c2 is preferably a metal oxide that can be used for the oxide 230a or the oxide 230b. At this time, the main path of carriers is the oxide 230c.
 具体的には、酸化物230c1として、In:M:Zn=5:1:3[原子数比]もしくはその近傍の組成、またはIn:M:Zn=10:1:3[原子数比]もしくはその近傍の組成の金属酸化物、または、インジウム酸化物を用い、酸化物230c2として、In:M:Zn=1:3:4[原子数比]もしくはその近傍の組成、M:Zn=2:1[原子数比]もしくはその近傍の組成、またはM:Zn=2:5[原子数比]もしくはその近傍の組成の金属酸化物、または、元素Mの酸化物を用いればよい。 Specifically, as the oxide 230c1, In:M:Zn=5:1:3 [atomic ratio] or a composition in the vicinity thereof, or In:M:Zn=10:1:3 [atomic ratio] or A metal oxide having a composition in the vicinity thereof or indium oxide is used, and as the oxide 230c2, In:M:Zn=1:3:4 [atomic ratio] or a composition in the vicinity thereof, M:Zn=2: A metal oxide having a composition of 1 [atomic ratio] or its vicinity, a composition of M:Zn=2:5 [atomic ratio] or its vicinity, or an oxide of the element M may be used.
 また、酸化物230c2は、酸化物230c1より、酸素の拡散または透過を抑制する金属酸化物であることが好ましい。絶縁体250と酸化物230c1との間に酸化物230c2を設けることで、絶縁体280に含まれる酸素が、絶縁体250に拡散するのを抑制することができる。したがって、当該酸素は、酸化物230c1を介して、酸化物230bに効率的に供給することができる。 Further, the oxide 230c2 is preferably a metal oxide that suppresses diffusion or permeation of oxygen as compared with the oxide 230c1. By providing the oxide 230c2 between the insulator 250 and the oxide 230c1, oxygen contained in the insulator 280 can be prevented from diffusing into the insulator 250. Therefore, the oxygen can be efficiently supplied to the oxide 230b through the oxide 230c1.
 また、酸化物230c2に用いる金属酸化物において、主成分である金属元素に対するInの原子数比が、酸化物230c1に用いる金属酸化物における、主成分である金属元素に対するInの原子数比より小さくすることで、Inが絶縁体250側に拡散するのを抑制することができる。絶縁体250は、ゲート絶縁体として機能するため、Inが絶縁体250などに混入した場合、トランジスタの特性不良となる。したがって、酸化物230c1と絶縁体250との間に酸化物230c2を設けることで、信頼性の高い半導体装置を提供することが可能となる。 In the metal oxide used for the oxide 230c2, the atomic ratio of In to the metal element serving as the main component is smaller than the atomic ratio of In to the metal element serving as the main component in the metal oxide used for the oxide 230c1. By doing so, In can be suppressed from diffusing to the insulator 250 side. The insulator 250 functions as a gate insulator; therefore, when In is mixed in the insulator 250 or the like, the characteristics of the transistor are deteriorated. Therefore, by providing the oxide 230c2 between the oxide 230c1 and the insulator 250, a highly reliable semiconductor device can be provided.
 絶縁体211、絶縁体212、絶縁体214、絶縁体272、絶縁体273、絶縁体282、絶縁体283、絶縁体284、および絶縁体286は、水、水素などの不純物が、基板側から、または、トランジスタ200の上方からトランジスタ200に拡散するのを抑制するバリア絶縁膜として機能することが好ましい。したがって、絶縁体211、絶縁体212、絶縁体214、絶縁体272、絶縁体273、絶縁体282、絶縁体283、絶縁体284、および絶縁体286は、水素原子、水素分子、水分子、窒素原子、窒素分子、酸化窒素分子(NO、NO、NOなど)、銅原子などの不純物の拡散を抑制する機能を有する(上記不純物が透過しにくい)絶縁性材料を用いることが好ましい。または、酸素(例えば、酸素原子、酸素分子などの少なくとも一)の拡散を抑制する機能を有する(上記酸素が透過しにくい)絶縁性材料を用いることが好ましい。 The insulator 211, the insulator 212, the insulator 214, the insulator 272, the insulator 273, the insulator 282, the insulator 283, the insulator 284, and the insulator 286 contain impurities such as water and hydrogen from the substrate side. Alternatively, it preferably functions as a barrier insulating film which suppresses diffusion from above the transistor 200 into the transistor 200. Therefore, the insulator 211, the insulator 212, the insulator 214, the insulator 272, the insulator 273, the insulator 282, the insulator 283, the insulator 284, and the insulator 286 are hydrogen atoms, hydrogen molecules, water molecules, and nitrogen. It is preferable to use an insulating material having a function of suppressing diffusion of impurities such as atoms, nitrogen molecules, nitric oxide molecules (N 2 O, NO, NO 2, etc.), and copper atoms (the above impurities are difficult to permeate). Alternatively, it is preferable to use an insulating material having a function of suppressing diffusion of oxygen (eg, at least one of oxygen atoms and oxygen molecules) (the above oxygen is less likely to permeate).
 例えば、絶縁体211、絶縁体212、絶縁体283、および絶縁体284として、窒化シリコンなどを用い、絶縁体214、絶縁体272、絶縁体273、および絶縁体282として、酸化アルミニウムなどを用いることが好ましい。これにより、水、水素などの不純物が絶縁体211、絶縁体212、および絶縁体214を介して、基板側からトランジスタ200側に拡散するのを抑制することができる。または、絶縁体224などに含まれる酸素が、絶縁体211、絶縁体212、および絶縁体214を介して基板側に、拡散するのを抑制することができる。また、水、水素などの不純物が絶縁体273よりも上方に配置されている絶縁体280、導電体246などから絶縁体272および絶縁体273を介してトランジスタ200側に拡散するのを抑制することができる。この様に、トランジスタ200を、水、水素などの不純物、および酸素の拡散を抑制する機能を有する絶縁体211、絶縁体212、絶縁体214、絶縁体272、絶縁体273、絶縁体282、絶縁体283、および絶縁体284で取り囲む構造とすることが好ましい。 For example, silicon nitride or the like is used for the insulator 211, the insulator 212, the insulator 283, and the insulator 284, and aluminum oxide or the like is used for the insulator 214, the insulator 272, the insulator 273, and the insulator 282. Is preferred. Accordingly, impurities such as water and hydrogen can be suppressed from diffusing from the substrate side to the transistor 200 side through the insulator 211, the insulator 212, and the insulator 214. Alternatively, oxygen contained in the insulator 224 or the like can be suppressed from diffusing to the substrate side through the insulator 211, the insulator 212, and the insulator 214. Further, it is possible to suppress diffusion of impurities such as water and hydrogen from the insulator 280, the conductor 246, and the like which are arranged above the insulator 273 to the transistor 200 side through the insulator 272 and the insulator 273. You can As described above, the transistor 200 is insulated from the insulator 211, the insulator 212, the insulator 214, the insulator 272, the insulator 273, the insulator 282, and the insulator which have a function of suppressing diffusion of impurities such as water and hydrogen, and oxygen. It is preferable that the structure surrounds the body 283 and the insulator 284.
 また、絶縁体211、絶縁体284、および絶縁体286の抵抗率を低くすることが好ましい場合がある。例えば、絶縁体211、絶縁体284、および絶縁体286の抵抗率を概略1×1013Ωcmとすることで、半導体装置作製工程のプラズマ等を用いる処理において、絶縁体211、絶縁体284、および絶縁体286が、導電体205、導電体242、導電体260、または導電体246のチャージアップを緩和することができる場合がある。絶縁体211、絶縁体284、および絶縁体286の抵抗率は、好ましくは、1×1010Ωcm以上1×1015Ωcm以下とする。 In addition, it may be preferable to reduce the resistivity of the insulator 211, the insulator 284, and the insulator 286. For example, by setting the resistivity of the insulator 211, the insulator 284, and the insulator 286 to approximately 1×10 13 Ωcm, the insulator 211, the insulator 284, and the insulator 284 in the process using plasma in the semiconductor device manufacturing process, In some cases, the insulator 286 can reduce charge-up of the conductor 205, the conductor 242, the conductor 260, or the conductor 246. The resistivity of the insulator 211, the insulator 284, and the insulator 286 is preferably 1×10 10 Ωcm or more and 1×10 15 Ωcm or less.
 なお、絶縁体211または絶縁体212は、必ずしも設けなくてもよく、絶縁体283または絶縁体284は、必ずしも設けなくてもよい。例えば、絶縁体212、および絶縁体284を、水素原子を含まない、または水素原子の含有量が少ない、化合物ガスを用いて化学気相成長(CVDChemical Vapor Deposition)法により成膜する場合である。 Note that the insulator 211 or the insulator 212 may not necessarily be provided, and the insulator 283 or the insulator 284 may not necessarily be provided. For example, there is a case where the insulator 212 and the insulator 284 are formed by a chemical vapor deposition (CVD Chemical Deposition) method using a compound gas that does not contain hydrogen atoms or has a small hydrogen atom content.
 また、絶縁体216、および絶縁体280は、絶縁体214よりも誘電率が低いことが好ましい。誘電率が低い材料を層間膜とすることで、配線間に生じる寄生容量を低減することができる。例えば、絶縁体216、および絶縁体280として、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、フッ素を添加した酸化シリコン、炭素を添加した酸化シリコン、炭素および窒素を添加した酸化シリコン、空孔を有する酸化シリコンなどを適宜用いればよい。 Also, the insulators 216 and 280 preferably have a lower dielectric constant than the insulator 214. By using a material having a low dielectric constant as the interlayer film, it is possible to reduce the parasitic capacitance generated between the wirings. For example, as the insulator 216 and the insulator 280, silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide added with fluorine, silicon oxide added with carbon, silicon oxide added with carbon and nitrogen, empty Silicon oxide having holes may be used as appropriate.
 導電体205は、第2のゲート電極として機能する場合がある。その場合、導電体205に印加する電位を、導電体260に印加する電位と、連動させず、独立して変化させることで、トランジスタ200のしきい値電圧(Vth)を制御することができる。特に、導電体205に負の電位を印加することにより、トランジスタ200のVthをより大きくし、オフ電流を低減することが可能となる。したがって、導電体205に負の電位を印加したほうが、印加しない場合よりも、導電体260に印加する電位が0Vのときのドレイン電流を小さくすることができる。 The conductor 205 may function as the second gate electrode. In that case, the threshold voltage (Vth) of the transistor 200 can be controlled by changing the potential applied to the conductor 205 independently of the potential applied to the conductor 260, without changing the potential. In particular, by applying a negative potential to the conductor 205, Vth of the transistor 200 can be further increased and off-state current can be reduced. Therefore, when a negative potential is applied to the conductor 205, the drain current when the potential applied to the conductor 260 is 0 V can be smaller than when no potential is applied.
 導電体205は、酸化物230、および導電体260と、重なるように配置する。また、導電体205は、絶縁体214または絶縁体216に埋め込まれて設けることが好ましい。 The conductor 205 is arranged so as to overlap with the oxide 230 and the conductor 260. Further, the conductor 205 is preferably provided by being embedded in the insulator 214 or the insulator 216.
 なお、導電体205は、図1Aに示すように、酸化物230の導電体242aおよび導電体242bと重ならない領域の大きさよりも、大きく設けるとよい。特に、図1Cに示すように、導電体205は、酸化物230のチャネル幅方向と交わる端部よりも外側の領域においても、延伸していることが好ましい。つまり、酸化物230のチャネル幅方向における側面の外側において、導電体205と、導電体260とは、絶縁体を介して重畳していることが好ましい。当該構成を有することで、第1のゲート電極として機能する導電体260の電界と、第2のゲート電極として機能する導電体205の電界によって、酸化物230のチャネル形成領域を電気的に取り囲むことができる。本明細書において、第1のゲート、および第2のゲートの電界によって、チャネル形成領域を電気的に取り囲むトランジスタの構造を、surrounded channel(S−channel)構造とよぶ。 Note that as shown in FIG. 1A, the conductor 205 is preferably provided larger than the size of a region of the oxide 230 which does not overlap with the conductor 242a and the conductor 242b. In particular, as illustrated in FIG. 1C, the conductor 205 is preferably extended also in a region outside the end portion of the oxide 230 which intersects with the channel width direction. That is, it is preferable that the conductor 205 and the conductor 260 overlap with each other with the insulator provided outside the side surface of the oxide 230 in the channel width direction. With such a structure, the electric field of the conductor 260 functioning as the first gate electrode and the electric field of the conductor 205 functioning as the second gate electrode electrically surrounds the channel formation region of the oxide 230. You can In this specification, a structure of a transistor in which a channel formation region is electrically surrounded by an electric field of a first gate and a second gate is referred to as a surrounded channel (S-channel) structure.
 なお、本明細書等において、S−channel構造のトランジスタとは、一対のゲート電極の一方および他方の電界によって、チャネル形成領域を電気的に取り囲むトランジスタの構造を表す。また、本明細書等において、S−channel構造は、ソース電極およびドレイン電極として機能する導電体242aおよび導電体242bに接する酸化物230の側面及び周辺が、チャネル形成領域と同じくI型であるといった特徴を有する。また、導電体242aおよび導電体242bに接する酸化物230の側面及び周辺は、絶縁体280と接しているため、チャネル形成領域と同様にI型となりうる。なお、本明細書等において、I型とは後述する高純度真性と同様として扱うことができる。また、本明細書等で開示するS−channel構造は、Fin型構造およびプレーナ型構造とは異なる。S−channel構造を採用することで、短チャネル効果に対する耐性を高める、別言すると短チャネル効果が発生し難いトランジスタとすることができる。 Note that in this specification and the like, a transistor having an S-channel structure refers to a transistor structure in which a channel formation region is electrically surrounded by electric fields of one and the other of a pair of gate electrodes. In addition, in this specification and the like, in the S-channel structure, the side surface and the periphery of the oxide 230 which is in contact with the conductors 242a and 242b functioning as a source electrode and a drain electrode are i-type like the channel formation region. It has characteristics. In addition, the side surface and the periphery of the oxide 230 which is in contact with the conductor 242a and the conductor 242b is in contact with the insulator 280 and thus can be i-type like the channel formation region. Note that in this specification and the like, type I can be treated as the same as high-purity intrinsic which will be described later. Further, the S-channel structure disclosed in this specification and the like is different from the Fin-type structure and the planar-type structure. By adopting the S-channel structure, resistance to a short channel effect can be increased, that is, a transistor in which a short channel effect is hard to occur can be obtained.
 また、図1Cに示すように、導電体205は延伸させて、配線としても機能させている。ただし、これに限られることなく、導電体205の下に、配線として機能する導電体を設ける構成にしてもよい。また、導電体205は、必ずしも各トランジスタに一個ずつ設ける必要はない。例えば、導電体205を複数のトランジスタで共有する構成にしてもよい。 Further, as shown in FIG. 1C, the conductor 205 is extended so that it also functions as a wiring. However, the present invention is not limited to this, and a conductor functioning as a wiring may be provided below the conductor 205. Further, it is not always necessary to provide one conductor 205 for each transistor. For example, the conductor 205 may be shared by a plurality of transistors.
 なお、トランジスタ200では、導電体205は、導電体205aと導電体205bとを積層する構成について示しているが、本発明はこれに限られるものではない。例えば、導電体205は、単層、または3層以上の積層構造として設ける構成にしてもよい。構造体が積層構造を有する場合、形成順に序数を付与し、区別する場合がある。 Note that in the transistor 200, the conductor 205 has a structure in which the conductor 205a and the conductor 205b are stacked; however, the present invention is not limited to this. For example, the conductor 205 may have a single-layer structure or a stacked structure including three or more layers. When the structure has a laminated structure, an ordinal number may be given in order of formation to distinguish them.
 ここで、導電体205aは、水素原子、水素分子、水分子、窒素原子、窒素分子、酸化窒素分子(NO、NO、NOなど)、銅原子などの不純物の拡散を抑制する機能を有する導電性材料を用いることが好ましい。または、酸素(例えば、酸素原子、酸素分子などの少なくとも一)の拡散を抑制する機能を有する導電性材料を用いることが好ましい。 Here, the conductor 205a has a function of suppressing diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitric oxide molecules (N 2 O, NO, NO 2, etc.), and copper atoms. It is preferable to use a conductive material that has. Alternatively, a conductive material having a function of suppressing diffusion of oxygen (eg, at least one of oxygen atoms and oxygen molecules) is preferably used.
 導電体205aに、酸素の拡散を抑制する機能を有する導電性材料を用いることにより、導電体205bが酸化して導電率が低下することを抑制することができる。酸素の拡散を抑制する機能を有する導電性材料としては、例えば、タンタル、窒化タンタル、ルテニウム、酸化ルテニウムなどを用いることが好ましい。したがって、導電体205aとしては、上記導電性材料を単層または積層とすればよい。例えば、導電体205aは、タンタル、窒化タンタル、ルテニウム、または酸化ルテニウムと、チタンまたは窒化チタンとの積層としてもよい。 By using a conductive material having a function of suppressing diffusion of oxygen for the conductor 205a, it is possible to prevent the conductivity of the conductor 205b from being reduced by oxidation. As a conductive material having a function of suppressing diffusion of oxygen, for example, tantalum, tantalum nitride, ruthenium, ruthenium oxide, or the like is preferably used. Therefore, the conductor 205a may be a single layer or a stacked layer of the above conductive material. For example, the conductor 205a may be a stack of tantalum, tantalum nitride, ruthenium, or ruthenium oxide and titanium or titanium nitride.
 また、導電体205bは、タングステン、銅、またはアルミニウムを主成分とする導電性材料を用いることが好ましい。なお、導電体205bを単層で図示したが、積層構造としてもよく、例えば、チタンまたは窒化チタンと、当該導電性材料との積層としてもよい。 Further, it is preferable to use a conductive material containing tungsten, copper, or aluminum as a main component for the conductor 205b. Although the conductor 205b is illustrated as a single layer, it may have a laminated structure, for example, a laminate of titanium or titanium nitride and the conductive material.
 絶縁体222、および絶縁体224は、ゲート絶縁体として機能する。 The insulator 222 and the insulator 224 function as a gate insulator.
 絶縁体222は、水素(例えば、水素原子、水素分子などの少なくとも一)の拡散を抑制する機能を有することが好ましい。また、絶縁体222は、酸素(例えば、酸素原子、酸素分子などの少なくとも一)の拡散を抑制する機能を有することが好ましい。例えば、絶縁体222は、絶縁体224よりも水素および酸素の一方または双方の拡散を抑制する機能を有することが好ましい。 The insulator 222 preferably has a function of suppressing diffusion of hydrogen (for example, at least one of hydrogen atoms and hydrogen molecules). In addition, the insulator 222 preferably has a function of suppressing diffusion of oxygen (eg, at least one of oxygen atoms and oxygen molecules). For example, the insulator 222 preferably has a function of suppressing diffusion of one or both of hydrogen and oxygen as compared with the insulator 224.
 絶縁体222は、絶縁性材料であるアルミニウムおよびハフニウムの一方または双方の酸化物を含む絶縁体を用いるとよい。当該絶縁体として、酸化アルミニウム、酸化ハフニウム、アルミニウムおよびハフニウムを含む酸化物(ハフニウムアルミネート)などを用いることが好ましい。このような材料を用いて絶縁体222を形成した場合、絶縁体222は、酸化物230から基板側への酸素の放出や、トランジスタ200の周辺部から酸化物230への水素等の不純物の拡散を抑制する層として機能する。よって、絶縁体222を設けることで、水素等の不純物が、トランジスタ200の内側へ拡散することを抑制し、酸化物230中の酸素欠損の生成を抑制することができる。また、導電体205が、絶縁体224や、酸化物230が有する酸素と反応することを抑制することができる。 As the insulator 222, an insulator containing an oxide of one or both of aluminum and hafnium, which are insulating materials, may be used. As the insulator, aluminum oxide, hafnium oxide, an oxide containing aluminum and hafnium (hafnium aluminate), or the like is preferably used. When the insulator 222 is formed using such a material, the insulator 222 releases oxygen from the oxide 230 to the substrate side and diffuses impurities such as hydrogen from the peripheral portion of the transistor 200 to the oxide 230. Function as a layer that suppresses Therefore, by providing the insulator 222, diffusion of impurities such as hydrogen into the inside of the transistor 200 and generation of oxygen vacancies in the oxide 230 can be suppressed. In addition, the conductor 205 can be prevented from reacting with the insulator 224 and oxygen contained in the oxide 230.
 または、上記絶縁体に、例えば、酸化アルミニウム、酸化ビスマス、酸化ゲルマニウム、酸化ニオブ、酸化シリコン、酸化チタン、酸化タングステン、酸化イットリウム、酸化ジルコニウムを添加してもよい。または、これらの絶縁体を窒化処理してもよい。また、絶縁体222は、これらの絶縁体に酸化シリコン、酸化窒化シリコンまたは窒化シリコンを積層して用いてもよい。 Alternatively, for example, aluminum oxide, bismuth oxide, germanium oxide, niobium oxide, silicon oxide, titanium oxide, tungsten oxide, yttrium oxide, or zirconium oxide may be added to the above insulator. Alternatively, these insulators may be nitrided. Alternatively, the insulator 222 may be formed by stacking silicon oxide, silicon oxynitride, or silicon nitride on these insulators.
 また、絶縁体222は、例えば、酸化アルミニウム、酸化ハフニウム、酸化タンタル、酸化ジルコニウム、チタン酸ジルコン酸鉛(PZT)、チタン酸ストロンチウム(SrTiO)、(Ba,Sr)TiO(BST)などのいわゆるhigh−k材料を含む絶縁体を単層または積層で用いてもよい。トランジスタの微細化、および高集積化が進むと、ゲート絶縁体の薄膜化により、リーク電流などの問題が生じる場合がある。ゲート絶縁体として機能する絶縁体にhigh−k材料を用いることで、物理膜厚を保ちながら、トランジスタ動作時のゲート電位の低減が可能となる。 The insulator 222 is made of, for example, aluminum oxide, hafnium oxide, tantalum oxide, zirconium oxide, lead zirconate titanate (PZT), strontium titanate (SrTiO 3 ), (Ba,Sr)TiO 3 (BST), or the like. An insulator including a so-called high-k material may be used in a single layer or a stacked layer. As transistors become finer and more highly integrated, thinning of the gate insulator may cause problems such as leakage current. By using a high-k material for the insulator functioning as a gate insulator, it is possible to reduce the gate potential during transistor operation while maintaining the physical film thickness.
 酸化物230と接する絶縁体224は、加熱により酸素を脱離することが好ましい。例えば、絶縁体224は、酸化シリコン、酸化窒化シリコンなどを適宜用いればよい。酸素を含む絶縁体を酸化物230に接して設けることにより、酸化物230中の酸素欠損を低減し、トランジスタ200の信頼性を向上させることができる。 It is preferable that the insulator 224 in contact with the oxide 230 desorb oxygen by heating. For example, the insulator 224 may be formed using silicon oxide, silicon oxynitride, or the like as appropriate. By providing the insulator containing oxygen in contact with the oxide 230, oxygen vacancies in the oxide 230 can be reduced and the reliability of the transistor 200 can be improved.
 絶縁体224として、具体的には、加熱により一部の酸素が脱離する酸化物材料、別言すると、過剰酸素領域を有する絶縁体材料を用いることが好ましい。加熱により酸素を脱離する酸化膜とは、TDS(Thermal Desorption Spectroscopy)分析にて、酸素分子の脱離量が1.0×1018molecules/cm以上、好ましくは1.0×1019molecules/cm以上、さらに好ましくは2.0×1019molecules/cm以上、または3.0×1020molecules/cm以上である酸化膜である。なお、上記TDS分析時における膜の表面温度としては100℃以上700℃以下、または100℃以上400℃以下の範囲が好ましい。 As the insulator 224, specifically, an oxide material from which part of oxygen is released by heating, that is, an insulator material having an excess oxygen region is preferably used. An oxide film that desorbs oxygen by heating means that the amount of desorbed oxygen molecules is 1.0×10 18 molecules/cm 3 or more, preferably 1.0×10 19 molecules, in TDS (Thermal Desorption Spectroscopy) analysis. /Cm 3 or more, more preferably 2.0×10 19 molecules/cm 3 or more, or 3.0×10 20 molecules/cm 3 or more. The surface temperature of the film during the TDS analysis is preferably 100° C. or higher and 700° C. or lower, or 100° C. or higher and 400° C. or lower.
 また、上記過剰酸素領域を有する絶縁体と、酸化物230と、を接して加熱処理、マイクロ波処理、またはRF処理のいずれか一または複数の処理を行っても良い。当該処理を行うことで、酸化物230中の水、または水素を除去することができる。例えば、酸化物230において、酸素欠損に水素が入った欠陥(VH)の結合が切断される反応が起きる、別言すると「VH→V+H」という反応が起きて、脱水素化することができる。このとき発生した水素の一部は、酸素と結合してHOとして、酸化物230、または酸化物230近傍の絶縁体から除去される場合がある。また、水素の一部は、導電体242に拡散または捕獲(ゲッタリングともいう)される場合がある。 In addition, one or more treatments of heat treatment, microwave treatment, and RF treatment may be performed by contacting the oxide 230 with the insulator having the excess oxygen region. By performing the treatment, water or hydrogen in the oxide 230 can be removed. For example, in the oxide 230, a reaction bond defects that contains hydrogen to an oxygen vacancy (V O H) is cut occurs, a reaction occurs that when other words "V O H → V O + H", dehydrogenation Can be converted. Part of the hydrogen generated at this time may be combined with oxygen and converted into H 2 O, which is removed from the oxide 230 or the insulator in the vicinity of the oxide 230. In addition, part of hydrogen may be diffused or captured (also referred to as gettering) in the conductor 242.
 上記マイクロ波処理は、例えば、高密度プラズマを発生させる電源を有する装置、または、基板側にRFを印加する電源を有する装置を用いると好適である。例えば、酸素を含むガスを用い、且つ高密度プラズマを用いることより、高密度の酸素ラジカルを生成することができ、基板側にRFを印加することで、高密度プラズマによって生成された酸素ラジカルを、効率よく酸化物230、または酸化物230近傍の絶縁体中に導入することができる。また、上記マイクロ波処理は、圧力を133Pa以上、好ましくは200Pa以上、さらに好ましくは400Pa以上とすればよい。また、マイクロ波処理を行う装置内に導入するガスとしては、例えば、酸素と、アルゴンとを用い、酸素流量比(O/(O+Ar))が50%以下、好ましくは10%以上30%以下で行うとよい。 For the microwave treatment, it is preferable to use, for example, a device having a power source for generating high-density plasma or a device having a power source for applying RF to the substrate side. For example, by using a gas containing oxygen and using high-density plasma, high-density oxygen radicals can be generated, and by applying RF to the substrate side, oxygen radicals generated by high-density plasma can be generated. , And can be efficiently introduced into the oxide 230 or the insulator near the oxide 230. In the microwave treatment, the pressure may be 133 Pa or higher, preferably 200 Pa or higher, more preferably 400 Pa or higher. As a gas to be introduced into the apparatus for performing microwave treatment, for example, oxygen and argon are used, and the oxygen flow rate ratio (O 2 /(O 2 +Ar)) is 50% or less, preferably 10% or more 30 % Or less is recommended.
 また、トランジスタ200の作製工程中において、酸化物230の表面が露出した状態で、加熱処理を行うと好適である。当該加熱処理は、例えば、100℃以上450℃以下、より好ましくは350℃以上400℃以下で行えばよい。なお、加熱処理は、窒素ガスもしくは不活性ガスの雰囲気、または酸化性ガスを10ppm以上、1%以上、もしくは10%以上含む雰囲気で行う。例えば、加熱処理は酸素雰囲気で行うことが好ましい。これにより、酸化物230に酸素を供給して、酸素欠損(V)の低減を図ることができる。また、加熱処理は減圧状態で行ってもよい。または、加熱処理は、窒素ガスもしくは不活性ガスの雰囲気で加熱処理した後に、脱離した酸素を補うために、酸化性ガスを10ppm以上、1%以上、または10%以上含む雰囲気で行ってもよい。または、酸化性ガスを10ppm以上、1%以上、または10%以上含む雰囲気で加熱処理した後に、連続して窒素ガスもしくは不活性ガスの雰囲気で加熱処理を行っても良い。 Further, in the manufacturing process of the transistor 200, heat treatment is preferably performed with the surface of the oxide 230 exposed. The heat treatment may be performed at 100 °C to 450 °C inclusive, more preferably 350 °C to 400 °C inclusive, for example. Note that the heat treatment is performed in an atmosphere of nitrogen gas or an inert gas, or an atmosphere containing an oxidizing gas in an amount of 10 ppm or higher, 1% or higher, or 10% or higher. For example, the heat treatment is preferably performed in an oxygen atmosphere. Accordingly, oxygen can be supplied to the oxide 230 to reduce oxygen vacancies (V 2 O 3 ). The heat treatment may be performed under reduced pressure. Alternatively, the heat treatment may be performed in an atmosphere containing an oxidizing gas in an amount of 10 ppm or higher, 1% or higher, or 10% or higher in order to supplement desorbed oxygen after the heat treatment is performed in a nitrogen gas or inert gas atmosphere. Good. Alternatively, heat treatment may be performed in an atmosphere containing an oxidizing gas at 10 ppm or higher, 1% or higher, or 10% or higher, and then heat treatment may be continuously performed in a nitrogen gas or inert gas atmosphere.
 なお、酸化物230に加酸素化処理を行うことで、酸化物230中の酸素欠損を、供給された酸素により修復させる、別言すると「V+O→null」という反応を促進させることができる。さらに、酸化物230中に残存した水素に供給された酸素が反応することで、当該水素をHOとして除去する(脱水化する)ことができる。これにより、酸化物230中に残存していた水素が酸素欠損に再結合してVHが形成されるのを抑制することができる。 Note that by performing the oxygen supplying treatment on the oxide 230, the oxygen vacancies in the oxide 230, is repaired by supplied oxygen, it is possible to accelerate the reaction of when other words "V O + O → null" .. Further, by reacting oxygen supplied to hydrogen remaining in the oxide 230, the hydrogen can be removed (dehydrated) as H 2 O. Thus, the hydrogen remained in the oxide 230 can be prevented from recombine V O H is formed by oxygen vacancies.
 なお、絶縁体222、および絶縁体224が、2層以上の積層構造を有していてもよい。その場合、同じ材料からなる積層構造に限定されず、異なる材料からなる積層構造でもよい。 Note that the insulator 222 and the insulator 224 may have a laminated structure of two or more layers. In that case, the laminated structure is not limited to the same material, and may be a laminated structure made of different materials.
 導電体242(導電体242a、および導電体242b)は、酸化物230b上に設けられる。導電体242aおよび導電体242bは、それぞれトランジスタ200のソース電極またはドレイン電極として機能する。 The conductor 242 (the conductor 242a and the conductor 242b) is provided on the oxide 230b. The conductor 242a and the conductor 242b each function as a source electrode or a drain electrode of the transistor 200.
 導電体242(導電体242a、および導電体242b)としては、例えば、タンタルを含む窒化物、チタンを含む窒化物、モリブデンを含む窒化物、タングステンを含む窒化物、タンタルおよびアルミニウムを含む窒化物、チタンおよびアルミニウムを含む窒化物などを用いることが好ましい。本発明の一態様においては、タンタルを含む窒化物が特に好ましい。また、例えば、酸化ルテニウム、窒化ルテニウム、ストロンチウムとルテニウムを含む酸化物、ランタンとニッケルを含む酸化物などを用いてもよい。これらの材料は、酸化しにくい導電性材料、または、酸素を吸収しても導電性を維持する材料であるため、好ましい。 As the conductor 242 (the conductor 242a and the conductor 242b), for example, a nitride containing tantalum, a nitride containing titanium, a nitride containing molybdenum, a nitride containing tungsten, a nitride containing tantalum and aluminum, It is preferable to use a nitride containing titanium and aluminum. In one aspect of the present invention, nitride containing tantalum is particularly preferable. Further, for example, ruthenium oxide, ruthenium nitride, an oxide containing strontium and ruthenium, an oxide containing lanthanum and nickel, or the like may be used. These materials are preferable because they are conductive materials that are difficult to oxidize or materials that maintain conductivity even when absorbing oxygen.
 なお、導電体242と、酸化物230bまたは酸化物230cとが接することで、酸化物230bまたは酸化物230c中の酸素が導電体242へ拡散し、導電体242が酸化する場合がある。導電体242が酸化することで、導電体242の導電率が低下する蓋然性が高い。なお、酸化物230bまたは酸化物230c中の酸素が導電体242へ拡散することを、導電体242が酸化物230bまたは酸化物230c中の酸素を吸収する、と言い換えることができる。 Note that when the conductor 242 is in contact with the oxide 230b or the oxide 230c, oxygen in the oxide 230b or the oxide 230c may diffuse into the conductor 242 and the conductor 242 may be oxidized. Oxidation of the conductor 242 is likely to reduce the conductivity of the conductor 242. Note that diffusion of oxygen in the oxide 230b or the oxide 230c to the conductor 242 can be restated as absorption of the oxygen in the oxide 230b or the oxide 230c by the conductor 242.
 また、酸化物230bまたは酸化物230c中の酸素が導電体242aおよび導電体242bへ拡散することで、導電体242aと酸化物230bとの間、および、導電体242bと酸化物230bとの間、または、導電体242aと酸化物230cとの間、および、導電体242bと酸化物230cとの間に層が形成される場合がある。当該層は、導電体242aまたは導電体242bよりも酸素を多く含むため、当該層は絶縁性を有すると推定される。このとき、導電体242aまたは導電体242bと、当該層と、酸化物230bまたは酸化物230cとの3層構造は、金属−絶縁体−半導体からなる3層構造とみなすことができ、MIS(Metal−Insulator−Semiconductor)構造、またはMIS構造を主としたダイオード接合構造とみることができる。 Oxygen in the oxide 230b or the oxide 230c diffuses into the conductor 242a and the conductor 242b, so that the conductor 242a and the oxide 230b are separated from each other and the conductor 242b and the oxide 230b are separated from each other. Alternatively, a layer may be formed between the conductor 242a and the oxide 230c and between the conductor 242b and the oxide 230c. Since the layer contains more oxygen than the conductor 242a or the conductor 242b, it is presumed that the layer has an insulating property. At this time, the three-layer structure of the conductor 242a or the conductor 242b, the layer, and the oxide 230b or the oxide 230c can be regarded as a three-layer structure including metal-insulator-semiconductor, and MIS (Metal). It can be regarded as a diode junction structure mainly including a -Insulator-Semiconductor structure or a MIS structure.
 なお、酸化物230b、酸化物230cなどに含まれる水素が、導電体242aまたは導電体242bに拡散する場合がある。特に、導電体242aおよび導電体242bに、タンタルを含む窒化物を用いることで、酸化物230b、酸化物230cなどに含まれる水素は、導電体242aまたは導電体242bに拡散しやすく、拡散した水素は、導電体242aまたは導電体242bが有する窒素と結合することがある。つまり、酸化物230b、酸化物230cなどに含まれる水素は、導電体240aまたは導電体242bに吸い取られる場合がある。 Note that hydrogen contained in the oxide 230b, the oxide 230c, or the like might diffuse into the conductor 242a or the conductor 242b. In particular, by using a nitride containing tantalum for the conductor 242a and the conductor 242b, hydrogen contained in the oxide 230b, the oxide 230c, or the like easily diffuses into the conductor 242a or the conductor 242b, and the diffused hydrogen is diffused. May combine with nitrogen contained in the conductor 242a or the conductor 242b. That is, hydrogen contained in the oxide 230b, the oxide 230c, or the like might be absorbed by the conductor 240a or the conductor 242b.
 また、導電体242の側面と導電体242の上面との間に、湾曲面を有する場合がある。つまり、側面の端部と上面の端部は、湾曲している場合がある。湾曲面は、例えば、導電体242の端部において、曲率半径が、3nm以上10nm以下、好ましくは、5nm以上6nm以下とする。端部に角を有さないことで、以降の成膜工程における膜の被覆性が向上する。 Also, there may be a curved surface between the side surface of the conductor 242 and the upper surface of the conductor 242. That is, the side end and the upper end may be curved. The curved surface has, for example, a radius of curvature of 3 nm or more and 10 nm or less, preferably 5 nm or more and 6 nm or less at the end portion of the conductor 242. By not having the corners at the ends, the coverage of the film in the subsequent film forming process is improved.
 絶縁体272は、導電体242の上面に接して設けられており、バリア層として機能することが好ましい。当該構成にすることで、導電体242による、絶縁体280が有する過剰酸素の吸収を抑制することができる。また、導電体242の酸化を抑制することで、トランジスタ200と配線とのコンタクト抵抗の増加を抑制することができる。よって、トランジスタ200に良好な電気特性および信頼性を与えることができる。 The insulator 272 is provided in contact with the top surface of the conductor 242 and preferably functions as a barrier layer. With such a structure, absorption of excess oxygen in the insulator 280 by the conductor 242 can be suppressed. Further, by suppressing the oxidation of the conductor 242, an increase in contact resistance between the transistor 200 and the wiring can be suppressed. Therefore, the transistor 200 can have favorable electrical characteristics and reliability.
 したがって、絶縁体272は、酸素の拡散を抑制する機能を有することが好ましい。例えば、絶縁体272は、絶縁体280よりも酸素の拡散を抑制する機能を有することが好ましい。絶縁体272としては、例えば、アルミニウムおよびハフニウムの一方または双方の酸化物を含む絶縁体を成膜するとよい。また、絶縁体272としては、例えば、窒化アルミニウムを含む絶縁体を用いればよい。 Therefore, it is preferable that the insulator 272 has a function of suppressing diffusion of oxygen. For example, the insulator 272 preferably has a function of suppressing diffusion of oxygen as compared with the insulator 280. As the insulator 272, for example, an insulator containing an oxide of one or both of aluminum and hafnium may be formed. As the insulator 272, for example, an insulator containing aluminum nitride may be used.
 また、絶縁体272形成時に絶縁体224に酸素を供給することができる場合がある。絶縁体224に供給された酸素は、絶縁体272および絶縁体273によって、絶縁体224が封止されるので、外方へ拡散することを抑制し、酸化物230へ効率良く供給することができる。また、絶縁体224中の水素が絶縁体273に吸収される場合があり、好ましい。 In some cases, oxygen can be supplied to the insulator 224 when the insulator 272 is formed. Since the insulator 224 is sealed by the insulator 272 and the insulator 273, oxygen supplied to the insulator 224 can be prevented from diffusing outward and can be efficiently supplied to the oxide 230. .. Further, hydrogen in the insulator 224 may be absorbed by the insulator 273, which is preferable.
 なお、絶縁体272、および絶縁体273を設けず、導電体242の上面と絶縁体280との間に、バリア層として機能する絶縁体を設けてもよい。当該構成にすることで、導電体242による、絶縁体280が有する過剰酸素の吸収を抑制することができる。また、導電体242の酸化を抑制することで、トランジスタ200と配線とのコンタクト抵抗の増加を抑制することができる。よって、トランジスタ200に良好な電気特性および信頼性を与えることができる。 Note that the insulator 272 and the insulator 273 may not be provided, and an insulator functioning as a barrier layer may be provided between the top surface of the conductor 242 and the insulator 280. With such a structure, absorption of excess oxygen in the insulator 280 by the conductor 242 can be suppressed. Further, by suppressing the oxidation of the conductor 242, an increase in contact resistance between the transistor 200 and the wiring can be suppressed. Therefore, the transistor 200 can have favorable electrical characteristics and reliability.
 したがって、上記絶縁体は、酸素の拡散を抑制する機能を有することが好ましい。例えば、上記絶縁体は、絶縁体280よりも酸素の拡散を抑制する機能を有することが好ましい。 Therefore, it is preferable that the insulator has a function of suppressing diffusion of oxygen. For example, the insulator preferably has a function of suppressing diffusion of oxygen as compared with the insulator 280.
 上記絶縁体としては、例えば、アルミニウムおよびハフニウムの一方または双方の酸化物を含む絶縁体を成膜するとよい。特に、原子層堆積(ALD:Atomic Layer Deposition)法により酸化アルミニウムを成膜するとよい。ALD法を用いて形成することで、緻密な、クラックやピンホールなどの欠陥が低減された、または均一な厚さを備える膜を形成することができる。また、上記絶縁体としては、例えば、窒化アルミニウムを含む絶縁体を用いればよい。 As the insulator, for example, an insulator containing an oxide of one or both of aluminum and hafnium may be formed. In particular, it is preferable to form an aluminum oxide film by an atomic layer deposition (ALD: Atomic Layer Deposition) method. By using the ALD method, a dense film can be formed in which defects such as cracks and pinholes are reduced or which has a uniform thickness. As the insulator, for example, an insulator containing aluminum nitride may be used.
 絶縁体250は、ゲート絶縁体として機能する。絶縁体250は、酸化物230cの少なくとも一部に接して配置することが好ましい。絶縁体250は、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、フッ素を添加した酸化シリコン、炭素を添加した酸化シリコン、炭素および窒素を添加した酸化シリコン、空孔を有する酸化シリコンなどを用いることができる。特に、酸化シリコン、および酸化窒化シリコンは熱に対し安定であるため好ましい。 The insulator 250 functions as a gate insulator. The insulator 250 is preferably provided in contact with at least part of the oxide 230c. As the insulator 250, silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, fluorine-added silicon oxide, carbon-added silicon oxide, carbon-nitrogen-added silicon oxide, vacant silicon oxide, or the like is used. Can be used. In particular, silicon oxide and silicon oxynitride are preferable because they are stable to heat.
 絶縁体250は、絶縁体224と同様に、加熱により酸素が放出される絶縁体を用いて形成することが好ましい。加熱により酸素が放出される絶縁体を、絶縁体250として、酸化物230cの少なくとも一部に接して設けることにより、酸化物230bのチャネル形成領域に効果的に酸素を供給し、酸化物230bのチャネル形成領域の酸素欠損を低減することができる。したがって、電気特性の変動を抑制し、安定した電気特性を有するとともに、信頼性を向上させたトランジスタを提供することができる。また、絶縁体224と同様に、絶縁体250中の水、水素などの不純物濃度が低減されていることが好ましい。絶縁体250の膜厚は、1nm以上20nm以下とするのが好ましい。 Like the insulator 224, the insulator 250 is preferably formed using an insulator from which oxygen is released by heating. By providing an insulator from which oxygen is released by heating as the insulator 250 in contact with at least part of the oxide 230c, oxygen is effectively supplied to the channel formation region of the oxide 230b and the oxide 230b is removed. Oxygen deficiency in the channel formation region can be reduced. Therefore, it is possible to provide a transistor which suppresses variation in electric characteristics, has stable electric characteristics, and has improved reliability. Further, similarly to the insulator 224, it is preferable that the concentration of impurities such as water and hydrogen in the insulator 250 be reduced. The thickness of the insulator 250 is preferably 1 nm or more and 20 nm or less.
 なお、図1Bおよび図1Cでは、絶縁体250を単層で図示したが、2層以上の積層構造としてもよい。絶縁体250を2層の積層構造とする場合、絶縁体250の下層は、加熱により酸素が放出される絶縁体を用いて形成し、絶縁体250の上層は、酸素の拡散を抑制する機能を有する絶縁体を用いて形成することが好ましい。このような構成にすることで、絶縁体250の下層に含まれる酸素が、導電体260へ拡散するのを抑制することができる。つまり、酸化物230へ供給する酸素量の減少を抑制することができる。また、絶縁体250の下層に含まれる酸素による導電体260の酸化を抑制することができる。例えば、絶縁体250の下層は、上述した絶縁体250に用いることができる材料を用いて設け、絶縁体250の上層は、絶縁体222と同様の材料を用いて設けることができる。 Although the insulator 250 is illustrated as a single layer in FIGS. 1B and 1C, it may have a laminated structure of two or more layers. When the insulator 250 has a two-layer stacked structure, the lower layer of the insulator 250 is formed using an insulator from which oxygen is released by heating, and the upper layer of the insulator 250 has a function of suppressing diffusion of oxygen. It is preferable to use an insulator that has. With such a structure, diffusion of oxygen contained in the lower layer of the insulator 250 to the conductor 260 can be suppressed. That is, a decrease in the amount of oxygen supplied to the oxide 230 can be suppressed. In addition, oxidation of the conductor 260 due to oxygen contained in the lower layer of the insulator 250 can be suppressed. For example, the lower layer of the insulator 250 can be provided using a material that can be used for the insulator 250 described above, and the upper layer of the insulator 250 can be provided using a material similar to that of the insulator 222.
 なお、絶縁体250の下層に酸化シリコンや酸化窒化シリコンなどを用いる場合、絶縁体250の上層は、比誘電率が高いhigh−k材料である絶縁性材料を用いてもよい。ゲート絶縁体を、絶縁体250の下層と絶縁体250の上層との積層構造とすることで、熱に対して安定、かつ比誘電率の高い積層構造とすることができる。したがって、ゲート絶縁体の物理膜厚を保持したまま、トランジスタ動作時に印加するゲート電位の低減化が可能となる。また、ゲート絶縁体として機能する絶縁体の等価酸化膜厚(EOT)の薄膜化が可能となる。 Note that when silicon oxide, silicon oxynitride, or the like is used for the lower layer of the insulator 250, an insulating material which is a high-k material with a high relative dielectric constant may be used for the upper layer of the insulator 250. When the gate insulator has a stacked structure of a lower layer of the insulator 250 and an upper layer of the insulator 250, a stacked structure having high heat stability and a high relative dielectric constant can be obtained. Therefore, the gate potential applied during the operation of the transistor can be reduced while maintaining the physical film thickness of the gate insulator. Further, it is possible to reduce the equivalent oxide film thickness (EOT) of the insulator that functions as the gate insulator.
 絶縁体250の上層として、具体的には、ハフニウム、アルミニウム、ガリウム、イットリウム、ジルコニウム、タングステン、チタン、タンタル、ニッケル、ゲルマニウム、マグネシウムなどから選ばれた一種、もしくは二種以上が含まれた金属酸化物、または酸化物230として用いることができる金属酸化物を用いることができる。特に、アルミニウムおよびハフニウムの一方または双方の酸化物を含む絶縁体を用いることが好ましい。 As the upper layer of the insulator 250, specifically, a metal oxide containing one kind or two or more kinds selected from hafnium, aluminum, gallium, yttrium, zirconium, tungsten, titanium, tantalum, nickel, germanium, magnesium and the like. A metal oxide that can be used as the object or the oxide 230 can be used. In particular, it is preferable to use an insulator containing an oxide of one or both of aluminum and hafnium.
 また、絶縁体250と導電体260との間に金属酸化物を設けてもよい。当該金属酸化物は、絶縁体250から導電体260への酸素の拡散を抑制することが好ましい。酸素の拡散を抑制する金属酸化物を設けることで、絶縁体250から導電体260への酸素の拡散が抑制される。つまり、酸化物230へ供給する酸素量の減少を抑制することができる。また、絶縁体250の酸素による導電体260の酸化を抑制することができる。 Further, a metal oxide may be provided between the insulator 250 and the conductor 260. The metal oxide preferably suppresses diffusion of oxygen from the insulator 250 to the conductor 260. By providing the metal oxide which suppresses oxygen diffusion, oxygen diffusion from the insulator 250 to the conductor 260 is suppressed. That is, a decrease in the amount of oxygen supplied to the oxide 230 can be suppressed. In addition, oxidation of the conductor 260 due to oxygen in the insulator 250 can be suppressed.
 なお、上記金属酸化物は、第1のゲート電極の一部としての機能を有することが好ましい。例えば、酸化物230として用いることができる金属酸化物を、上記金属酸化物として用いることができる。その場合、導電体260aをスパッタリング法で成膜することで、上記金属酸化物の電気抵抗値を低下させて導電体とすることができる。これをOC(Oxide Conductor)電極と呼ぶことができる。 The metal oxide preferably has a function as a part of the first gate electrode. For example, a metal oxide that can be used as the oxide 230 can be used as the above metal oxide. In that case, by forming a film of the conductor 260a by a sputtering method, the electric resistance value of the metal oxide can be reduced to form a conductor. This can be called an OC (Oxide Conductor) electrode.
 上記金属酸化物を有することで、導電体260からの電界の影響を弱めることなく、トランジスタ200のオン電流の向上を図ることができる。また、絶縁体250と、上記金属酸化物との物理的な厚みにより、導電体260と、酸化物230との間の距離を保つことで、導電体260と酸化物230との間のリーク電流を抑制することができる。また、絶縁体250、および上記金属酸化物との積層構造を設けることで、導電体260と酸化物230との間の物理的な距離、および導電体260から酸化物230へかかる電界強度を、容易に適宜調整することができる。 By including the above metal oxide, the on-current of the transistor 200 can be improved without weakening the influence of the electric field from the conductor 260. In addition, by keeping the distance between the conductor 260 and the oxide 230 by the physical thickness of the insulator 250 and the metal oxide, the leakage current between the conductor 260 and the oxide 230 can be maintained. Can be suppressed. In addition, by providing the insulator 250 and the stacked structure with the above metal oxide, the physical distance between the conductor 260 and the oxide 230 and the electric field strength applied from the conductor 260 to the oxide 230 can be reduced. It can be easily adjusted appropriately.
 導電体260は、トランジスタ200の第1のゲート電極として機能する。導電体260は、導電体260aと、導電体260aの上に配置された導電体260bと、を有することが好ましい。例えば、導電体260aは、導電体260bの底面および側面を包むように配置されることが好ましい。また、図1Bおよび図1Cに示すように、導電体260の上面は、絶縁体250の上面および酸化物230cの上面と略一致している。なお、図1Bおよび図1Cでは、導電体260は、導電体260aと導電体260bの2層構造として示しているが、単層構造でもよいし、3層以上の積層構造であってもよい。 The conductor 260 functions as the first gate electrode of the transistor 200. The conductor 260 preferably includes a conductor 260a and a conductor 260b provided over the conductor 260a. For example, the conductor 260a is preferably arranged so as to surround the bottom surface and the side surface of the conductor 260b. Further, as shown in FIGS. 1B and 1C, the top surface of the conductor 260 is substantially aligned with the top surface of the insulator 250 and the top surface of the oxide 230c. 1B and 1C, the conductor 260 is illustrated as a two-layer structure of the conductor 260a and the conductor 260b, but may have a single-layer structure or a stacked structure of three or more layers.
 導電体260aは、水素原子、水素分子、水分子、窒素原子、窒素分子、酸化窒素分子、銅原子などの不純物の拡散を抑制する機能を有する導電性材料を用いることが好ましい。または、酸素(例えば、酸素原子、酸素分子などの少なくとも一)の拡散を抑制する機能を有する導電性材料を用いることが好ましい。 As the conductor 260a, it is preferable to use a conductive material having a function of suppressing diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitric oxide molecules, and copper atoms. Alternatively, a conductive material having a function of suppressing diffusion of oxygen (eg, at least one of oxygen atoms and oxygen molecules) is preferably used.
 また、導電体260aが酸素の拡散を抑制する機能を持つことにより、絶縁体250に含まれる酸素により、導電体260bが酸化して導電率が低下することを抑制することができる。酸素の拡散を抑制する機能を有する導電性材料としては、例えば、タンタル、窒化タンタル、ルテニウム、酸化ルテニウムなどを用いることが好ましい。 Further, since the conductor 260a has a function of suppressing diffusion of oxygen, it is possible to prevent the conductivity of the conductor 260b from being reduced due to the oxygen contained in the insulator 250 from oxidizing the conductor 260b. As a conductive material having a function of suppressing diffusion of oxygen, for example, tantalum, tantalum nitride, ruthenium, ruthenium oxide, or the like is preferably used.
 また、導電体260は、配線としても機能するため、導電性が高い導電体を用いることが好ましい。例えば、導電体260bは、タングステン、銅、またはアルミニウムを主成分とする導電性材料を用いることができる。また、導電体260bは積層構造としてもよく、例えば、チタンまたは窒化チタンと上記導電性材料との積層構造としてもよい。 Since the conductor 260 also functions as a wiring, it is preferable to use a conductor having high conductivity. For example, the conductor 260b can be formed using a conductive material containing tungsten, copper, or aluminum as its main component. Further, the conductor 260b may have a stacked structure, for example, a stacked structure of titanium or titanium nitride and the above conductive material.
 また、トランジスタ200では、導電体260は、絶縁体280などに形成されている開口を埋めるように自己整合的に形成される。導電体260をこのように形成することにより、導電体242aと導電体242bとの間の領域に、導電体260を位置合わせすることなく確実に配置することができる。 In addition, in the transistor 200, the conductor 260 is formed in a self-aligned manner so as to fill the opening formed in the insulator 280 or the like. By forming the conductor 260 in this way, the conductor 260 can be reliably arranged in the region between the conductor 242a and the conductor 242b without alignment.
 また、図1Cに示すように、トランジスタ200のチャネル幅方向において、導電体260の、導電体260と酸化物230bとが重ならない領域の底面は、酸化物230bの底面より低いことが好ましい。ゲート電極として機能する導電体260が、絶縁体250などを介して、酸化物230bのチャネル形成領域の側面および上面を覆う構成とすることで、導電体260の電界を酸化物230bのチャネル形成領域全体に作用させやすくなる。よって、トランジスタ200のオン電流を増大させ、周波数特性を向上させることができる。絶縁体222の底面を基準としたとき、酸化物230aおよび酸化物230bと、導電体260とが、重ならない領域における導電体260の底面の高さと、酸化物230bの底面の高さと、の差は、0nm以上100nm以下、好ましくは、3nm以上50nm以下、より好ましくは、5nm以上20nm以下とする。 Further, as shown in FIG. 1C, in the channel width direction of the transistor 200, the bottom surface of the region of the conductor 260 where the conductor 260 and the oxide 230b do not overlap is preferably lower than the bottom surface of the oxide 230b. The conductor 260 functioning as a gate electrode covers the side surface and the upper surface of the channel formation region of the oxide 230b with the insulator 250 or the like interposed therebetween, whereby the electric field of the conductor 260 is changed to the channel formation region of the oxide 230b. It becomes easy to act on the whole. Therefore, the on-state current of the transistor 200 can be increased and the frequency characteristics can be improved. Difference between the height of the bottom surface of the conductor 230 and the height of the bottom surface of the oxide 230b in a region where the oxide 230a and the oxide 230b do not overlap with the conductor 260 when the bottom surface of the insulator 222 is used as a reference. Is 0 nm or more and 100 nm or less, preferably 3 nm or more and 50 nm or less, and more preferably 5 nm or more and 20 nm or less.
 絶縁体280は、絶縁体224、酸化物230、導電体242、および絶縁体273上に設けられる。また、絶縁体280の上面は、平坦化されていてもよい。 The insulator 280 is provided on the insulator 224, the oxide 230, the conductor 242, and the insulator 273. Further, the upper surface of the insulator 280 may be flattened.
 層間膜として機能する絶縁体280は、誘電率が低いことが好ましい。誘電率が低い材料を層間膜とすることで、配線間に生じる寄生容量を低減することができる。絶縁体280は、例えば、絶縁体216と同様の材料を用いて設けることが好ましい。特に、酸化シリコンおよび酸化窒化シリコンは、熱的に安定であるため好ましい。特に、酸化シリコン、酸化窒化シリコン、空孔を有する酸化シリコンなどの材料は、加熱により脱離する酸素を含む領域を容易に形成することができるため好ましい。 The insulator 280 functioning as an interlayer film preferably has a low dielectric constant. By using a material having a low dielectric constant as the interlayer film, it is possible to reduce the parasitic capacitance generated between the wirings. The insulator 280 is preferably provided using a material similar to that of the insulator 216, for example. In particular, silicon oxide and silicon oxynitride are preferable because they are thermally stable. In particular, a material such as silicon oxide, silicon oxynitride, or silicon oxide having pores is preferable because a region containing oxygen which is released by heating can be easily formed.
 また、絶縁体280中の水、水素などの不純物濃度は低減されていることが好ましい。また、絶縁体280は、水素濃度が低く、過剰酸素領域または過剰酸素を有することが好ましく、例えば、絶縁体216と同様の材料を用いて設けてもよい。また、絶縁体280は、上記の材料が積層された構造でもよく、例えば、スパッタリング法で成膜した酸化シリコンと、その上に積層されたCVD法で成膜された酸化窒化シリコンの積層構造とすればよい。また、さらに上に窒化シリコンを積層してもよい。 Also, it is preferable that the concentration of impurities such as water and hydrogen in the insulator 280 be reduced. The insulator 280 preferably has a low hydrogen concentration and has an excess oxygen region or excess oxygen, and may be provided using a material similar to that of the insulator 216, for example. The insulator 280 may have a structure in which the above materials are stacked, for example, a stacked structure of silicon oxide formed by a sputtering method and silicon oxynitride formed thereover by a CVD method. do it. Further, silicon nitride may be further stacked thereover.
 絶縁体282または絶縁体283は、水、水素などの不純物が、上方から絶縁体280に拡散するのを抑制するバリア絶縁膜として機能することが好ましい。また、絶縁体282または絶縁体283は、酸素の透過を抑制するバリア絶縁膜として機能することが好ましい。絶縁体282および絶縁体283としては、例えば、酸化アルミニウム、窒化シリコン、窒化酸化シリコンなどの絶縁体を用いればよい。例えば、絶縁体282として、酸素に対してブロッキング性が高い酸化アルミニウムを用い、絶縁体283として、水素に対してブロッキング性が高い窒化シリコンを用いればよい。 The insulator 282 or the insulator 283 preferably functions as a barrier insulating film which suppresses diffusion of impurities such as water and hydrogen from above into the insulator 280. Further, the insulator 282 or the insulator 283 preferably functions as a barrier insulating film which suppresses permeation of oxygen. As the insulator 282 and the insulator 283, an insulator such as aluminum oxide, silicon nitride, or silicon nitride oxide may be used, for example. For example, aluminum oxide having a high blocking property with respect to oxygen may be used as the insulator 282, and silicon nitride having a high blocking property with respect to hydrogen may be used as the insulator 283.
 導電体240aおよび導電体240bは、タングステン、銅、またはアルミニウムを主成分とする導電性材料を用いることが好ましい。また、導電体240aおよび導電体240bは積層構造としてもよい。 For the conductor 240a and the conductor 240b, it is preferable to use a conductive material containing tungsten, copper, or aluminum as a main component. The conductor 240a and the conductor 240b may have a stacked structure.
 また、導電体240を積層構造とする場合、絶縁体284、絶縁体283、絶縁体282、絶縁体280、絶縁体273、および絶縁体272と接する導電体には、水、水素などの不純物の透過を抑制する機能を有する導電性材料を用いることが好ましい。例えば、タンタル、窒化タンタル、チタン、窒化チタン、ルテニウム、酸化ルテニウムなどを用いることが好ましい。また、水、水素などの不純物の透過を抑制する機能を有する導電性材料は、単層または積層で用いてもよい。当該導電性材料を用いることで、絶縁体280に添加された酸素が導電体240aおよび導電体240bに吸収されるのを防ぐことができる。また、絶縁体284より上層に含まれる水、水素などの不純物が、導電体240aおよび導電体240bを通じて酸化物230に混入するのを抑制することができる。 In the case where the conductor 240 has a stacked-layer structure, the insulator 284, the insulator 283, the insulator 282, the insulator 280, the insulator 273, and the conductor in contact with the insulator 272 contain impurities such as water and hydrogen. It is preferable to use a conductive material having a function of suppressing transmission. For example, it is preferable to use tantalum, tantalum nitride, titanium, titanium nitride, ruthenium, ruthenium oxide, or the like. In addition, the conductive material having a function of suppressing permeation of impurities such as water and hydrogen may be used as a single layer or a stacked layer. By using the conductive material, oxygen added to the insulator 280 can be prevented from being absorbed by the conductors 240a and 240b. In addition, impurities such as water and hydrogen contained in a layer above the insulator 284 can be prevented from entering the oxide 230 through the conductors 240a and 240b.
 絶縁体241aおよび絶縁体241bとしては、例えば、窒化シリコン、酸化アルミニウム、窒化酸化シリコンなどの絶縁体を用いればよい。絶縁体241aおよび絶縁体241bは、絶縁体273および絶縁体272に接して設けられるので、絶縁体280などに含まれる水、水素などの不純物が、導電体240aおよび導電体240bを通じて酸化物230に混入するのを抑制することができる。特に、窒化シリコンは水素に対するブロッキング性が高いので好適である。また、絶縁体280に含まれる酸素が導電体240aおよび導電体240bに吸収されるのを防ぐことができる。 As the insulator 241a and the insulator 241b, for example, an insulator such as silicon nitride, aluminum oxide, or silicon nitride oxide may be used. Since the insulator 241a and the insulator 241b are provided in contact with the insulator 273 and the insulator 272, impurities such as water and hydrogen contained in the insulator 280 and the like are transferred to the oxide 230 through the conductor 240a and the conductor 240b. Mixing can be suppressed. In particular, silicon nitride is preferable because it has a high blocking property against hydrogen. In addition, oxygen contained in the insulator 280 can be prevented from being absorbed by the conductor 240a and the conductor 240b.
 また、導電体240aの上面、および導電体240bの上面に接して配線として機能する導電体246(導電体246a、および導電体246b)を配置してもよい。導電体246は、タングステン、銅、またはアルミニウムを主成分とする導電性材料を用いることが好ましい。また、当該導電体は、積層構造としてもよく、例えば、チタンまたは窒化チタンと上記導電性材料との積層としてもよい。なお、当該導電体は、絶縁体に設けられた開口に埋め込むように形成してもよい。 Further, the conductors 246 (the conductors 246a and 246b) which function as wirings may be provided in contact with the top surfaces of the conductors 240a and 240b. The conductor 246 is preferably formed using a conductive material containing tungsten, copper, or aluminum as its main component. Further, the conductor may have a laminated structure, for example, a laminate of titanium or titanium nitride and the above conductive material. Note that the conductor may be formed so as to be embedded in the opening provided in the insulator.
 絶縁体286は、導電体246上、および絶縁体284上に設けられる。これにより、導電体246の上面、および導電体246の側面は、絶縁体286と接し、導電体246の下面は、絶縁体284と接する。つまり、導電体246は、絶縁体284、および絶縁体286で包まれる構成とすることができる。この様な構成とすることで、外方からの酸素の透過を抑制し、導電体246の酸化を防止することができる。また、導電体246から、水、水素などの不純物が外部に拡散することを防ぐことができるので好ましい。 The insulator 286 is provided on the conductor 246 and the insulator 284. Accordingly, the top surface of the conductor 246 and the side surface of the conductor 246 are in contact with the insulator 286, and the bottom surface of the conductor 246 is in contact with the insulator 284. That is, the conductor 246 can be configured to be surrounded by the insulator 284 and the insulator 286. With such a structure, permeation of oxygen from the outside can be suppressed and oxidation of the conductor 246 can be prevented. In addition, impurities such as water and hydrogen can be prevented from diffusing from the conductor 246 to the outside, which is preferable.
<半導体装置の構成材料>
 以下では、半導体装置に用いることができる構成材料について説明する。
<Constituent material of semiconductor device>
The constituent materials that can be used for the semiconductor device will be described below.
<<基板>>
 トランジスタ200を形成する基板としては、例えば、絶縁体基板、半導体基板、または導電体基板を用いればよい。絶縁体基板としては、例えば、ガラス基板、石英基板、サファイア基板、安定化ジルコニア基板(イットリア安定化ジルコニア基板など)、樹脂基板などがある。また、半導体基板としては、例えば、シリコン、ゲルマニウムからなる半導体基板、または炭化シリコン、シリコンゲルマニウム、ヒ化ガリウム、リン化インジウム、酸化亜鉛、酸化ガリウムからなる化合物半導体基板などがある。さらには、前述の半導体基板内部に絶縁体領域を有する半導体基板、例えば、SOI(Silicon On Insulator)基板などがある。導電体基板としては、黒鉛基板、金属基板、合金基板、導電性樹脂基板などがある。または、金属の窒化物を有する基板、金属の酸化物を有する基板などがある。さらには、絶縁体基板に導電体または半導体が設けられた基板、半導体基板に導電体または絶縁体が設けられた基板、導電体基板に半導体または絶縁体が設けられた基板などがある。または、これらの基板に素子が設けられたものを用いてもよい。基板に設けられる素子としては、容量素子、抵抗素子、スイッチ素子、発光素子、記憶素子などがある。
<< substrate >>
As a substrate for forming the transistor 200, for example, an insulator substrate, a semiconductor substrate, or a conductor substrate may be used. Examples of the insulating substrate include a glass substrate, a quartz substrate, a sapphire substrate, a stabilized zirconia substrate (such as a yttria-stabilized zirconia substrate), and a resin substrate. Examples of the semiconductor substrate include a semiconductor substrate made of silicon and germanium, a compound semiconductor substrate made of silicon carbide, silicon germanium, gallium arsenide, indium phosphide, zinc oxide, and gallium oxide. Further, there is a semiconductor substrate having an insulating region inside the above-described semiconductor substrate, for example, an SOI (Silicon On Insulator) substrate. Examples of the conductor substrate include a graphite substrate, a metal substrate, an alloy substrate, and a conductive resin substrate. Alternatively, a substrate including a metal nitride, a substrate including a metal oxide, or the like can be given. Further, there are a substrate in which a conductor or a semiconductor is provided on an insulator substrate, a substrate in which a conductor or an insulator is provided in a semiconductor substrate, a substrate in which a semiconductor or an insulator is provided on a conductor substrate, and the like. Alternatively, a substrate provided with an element may be used. The elements provided on the substrate include a capacitance element, a resistance element, a switch element, a light emitting element, a storage element, and the like.
<<絶縁体>>
 絶縁体としては、絶縁性を有する酸化物、窒化物、酸化窒化物、窒化酸化物、金属酸化物、金属酸化窒化物、金属窒化酸化物などがある。
<<insulator>>
Examples of the insulator include an insulating oxide, a nitride, an oxynitride, a nitrided oxide, a metal oxide, a metal oxynitride, and a metal nitride oxide.
 例えば、トランジスタの微細化、および高集積化が進むと、ゲート絶縁体の薄膜化により、リーク電流などの問題が生じる場合がある。ゲート絶縁体として機能する絶縁体に、high−k材料を用いることで物理膜厚を保ちながら、トランジスタ動作時の低電圧化が可能となる。一方、層間膜として機能する絶縁体には、比誘電率が低い材料を用いることで、配線間に生じる寄生容量を低減することができる。したがって、絶縁体の機能に応じて、材料を選択するとよい。 For example, as transistors become finer and highly integrated, thinning of the gate insulator may cause problems such as leakage current. By using a high-k material for the insulator functioning as a gate insulator, it is possible to reduce the voltage during transistor operation while maintaining the physical film thickness. On the other hand, by using a material having a low relative dielectric constant for the insulator functioning as the interlayer film, it is possible to reduce the parasitic capacitance generated between the wirings. Therefore, the material may be selected depending on the function of the insulator.
 また、比誘電率の高い絶縁体としては、酸化ガリウム、酸化ハフニウム、酸化ジルコニウム、アルミニウムおよびハフニウムを有する酸化物、アルミニウムおよびハフニウムを有する酸化窒化物、シリコンおよびハフニウムを有する酸化物、シリコンおよびハフニウムを有する酸化窒化物、またはシリコンおよびハフニウムを有する窒化物などがある。 As the insulator having a high relative dielectric constant, gallium oxide, hafnium oxide, zirconium oxide, oxides containing aluminum and hafnium, oxynitrides containing aluminum and hafnium, oxides containing silicon and hafnium, silicon and hafnium, are used. And the like, or a nitride containing silicon and hafnium.
 また、比誘電率が低い絶縁体としては、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、フッ素を添加した酸化シリコン、炭素を添加した酸化シリコン、炭素および窒素を添加した酸化シリコン、空孔を有する酸化シリコン、または樹脂などがある。 Further, as the insulator having a low relative dielectric constant, silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide added with fluorine, silicon oxide added with carbon, silicon oxide added with carbon and nitrogen, empty Silicon oxide having holes, resin, or the like is used.
 また、金属酸化物を用いたトランジスタは、水素などの不純物および酸素の透過を抑制する機能を有する絶縁体で囲うことによって、トランジスタの電気特性を安定にすることができる。水素などの不純物および酸素の透過を抑制する機能を有する絶縁体としては、例えば、ホウ素、炭素、窒素、酸素、フッ素、マグネシウム、アルミニウム、シリコン、リン、塩素、アルゴン、ガリウム、ゲルマニウム、イットリウム、ジルコニウム、ランタン、ネオジム、ハフニウム、またはタンタルを含む絶縁体を、単層で、または積層で用いればよい。具体的には、水素などの不純物および酸素の透過を抑制する機能を有する絶縁体として、酸化アルミニウム、酸化マグネシウム、酸化ガリウム、酸化ゲルマニウム、酸化イットリウム、酸化ジルコニウム、酸化ランタン、酸化ネオジム、酸化ハフニウム、酸化タンタルなどの金属酸化物、窒化アルミニウム、窒化酸化シリコン、窒化シリコンなどの金属窒化物を用いることができる。 Also, a transistor using a metal oxide can have stable electrical characteristics by being surrounded by an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen. As the insulator having a function of suppressing the permeation of impurities such as hydrogen and oxygen, for example, boron, carbon, nitrogen, oxygen, fluorine, magnesium, aluminum, silicon, phosphorus, chlorine, argon, gallium, germanium, yttrium, zirconium. The insulator containing lanthanum, lanthanum, neodymium, hafnium, or tantalum may be used as a single layer or as a stacked layer. Specifically, as an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen, aluminum oxide, magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconium oxide, lanthanum oxide, neodymium oxide, hafnium oxide, A metal oxide such as tantalum oxide, a metal nitride such as aluminum nitride, silicon nitride oxide, or silicon nitride can be used.
 また、ゲート絶縁体として機能する絶縁体は、加熱により脱離する酸素を含む領域を有する絶縁体であることが好ましい。例えば、加熱により脱離する酸素を含む領域を有する酸化シリコンまたは酸化窒化シリコンを酸化物230と接する構造とすることで、酸化物230が有する酸素欠損を補償することができる。 Also, the insulator functioning as a gate insulator is preferably an insulator having a region containing oxygen which is released by heating. For example, with the structure in which silicon oxide or silicon oxynitride having a region containing oxygen which is released by heating is in contact with the oxide 230, oxygen vacancies in the oxide 230 can be compensated.
<<導電体>>
 導電体としては、アルミニウム、クロム、銅、銀、金、白金、タンタル、ニッケル、チタン、モリブデン、タングステン、ハフニウム、バナジウム、ニオブ、マンガン、マグネシウム、ジルコニウム、ベリリウム、インジウム、ルテニウム、イリジウム、ストロンチウム、ランタンなどから選ばれた金属元素、または上述した金属元素を成分とする合金か、上述した金属元素を組み合わせた合金等を用いることが好ましい。例えば、窒化タンタル、窒化チタン、タングステン、チタンとアルミニウムを含む窒化物、タンタルとアルミニウムを含む窒化物、酸化ルテニウム、窒化ルテニウム、ストロンチウムとルテニウムを含む酸化物、ランタンとニッケルを含む酸化物などを用いることが好ましい。また、窒化タンタル、窒化チタン、チタンとアルミニウムを含む窒化物、タンタルとアルミニウムを含む窒化物、酸化ルテニウム、窒化ルテニウム、ストロンチウムとルテニウムを含む酸化物、ランタンとニッケルを含む酸化物は、酸化しにくい導電性材料、または、酸素を吸収しても導電性を維持する材料であるため、好ましい。また、リン等の不純物元素を含有させた多結晶シリコンに代表される、電気伝導度が高い半導体、ニッケルシリサイドなどのシリサイドを用いてもよい。
<< conductor >>
As the conductor, aluminum, chromium, copper, silver, gold, platinum, tantalum, nickel, titanium, molybdenum, tungsten, hafnium, vanadium, niobium, manganese, magnesium, zirconium, beryllium, indium, ruthenium, iridium, strontium, lanthanum. It is preferable to use a metal element selected from the above, an alloy containing the above metal element as a component, an alloy in which the above metal elements are combined, and the like. For example, tantalum nitride, titanium nitride, tungsten, nitride containing titanium and aluminum, nitride containing tantalum and aluminum, ruthenium oxide, ruthenium nitride, oxide containing strontium and ruthenium, oxide containing lanthanum and nickel, and the like are used. It is preferable. Further, tantalum nitride, titanium nitride, nitride containing titanium and aluminum, nitride containing tantalum and aluminum, ruthenium oxide, ruthenium nitride, oxide containing strontium and ruthenium, and oxide containing lanthanum and nickel are difficult to oxidize. A conductive material or a material that maintains conductivity even when absorbing oxygen is preferable. Alternatively, a semiconductor having high electric conductivity, which is typified by polycrystalline silicon containing an impurity element such as phosphorus, or silicide such as nickel silicide may be used.
 また、上記の材料で形成される導電層を複数積層して用いてもよい。例えば、前述した金属元素を含む材料と、酸素を含む導電性材料と、を組み合わせた積層構造としてもよい。また、前述した金属元素を含む材料と、窒素を含む導電性材料と、を組み合わせた積層構造としてもよい。また、前述した金属元素を含む材料と、酸素を含む導電性材料と、窒素を含む導電性材料と、を組み合わせた積層構造としてもよい。 Alternatively, a plurality of conductive layers formed of the above materials may be laminated and used. For example, a stacked structure in which the above-described material containing a metal element and a conductive material containing oxygen are combined may be used. Further, a stacked structure in which the above-described material containing a metal element and a conductive material containing nitrogen are combined may be used. Further, a stacked structure in which the above-described material containing a metal element, a conductive material containing oxygen, and a conductive material containing nitrogen are combined may be used.
 なお、トランジスタのチャネル形成領域に酸化物を用いる場合において、ゲート電極として機能する導電体には、前述した金属元素を含む材料と、酸素を含む導電性材料と、を組み合わせた積層構造を用いることが好ましい。この場合は、酸素を含む導電性材料をチャネル形成領域側に設けるとよい。酸素を含む導電性材料をチャネル形成領域側に設けることで、当該導電性材料から離脱した酸素がチャネル形成領域に供給されやすくなる。 Note that in the case where an oxide is used for a channel formation region of a transistor, a stacked structure in which a material containing the above metal element and a conductive material containing oxygen are combined is used for a conductor functioning as a gate electrode. Is preferred. In this case, a conductive material containing oxygen may be provided on the channel formation region side. By providing the conductive material containing oxygen on the channel formation region side, oxygen released from the conductive material is easily supplied to the channel formation region.
 特に、ゲート電極として機能する導電体として、チャネルが形成される金属酸化物に含まれる金属元素および酸素を含む導電性材料を用いることが好ましい。また、前述した金属元素および窒素を含む導電性材料を用いてもよい。例えば、窒化チタン、窒化タンタルなどの窒素を含む導電性材料を用いてもよい。また、インジウム錫酸化物、酸化タングステンを含むインジウム酸化物、酸化タングステンを含むインジウム亜鉛酸化物、酸化チタンを含むインジウム酸化物、酸化チタンを含むインジウム錫酸化物、インジウム亜鉛酸化物、シリコンを添加したインジウム錫酸化物を用いてもよい。また、窒素を含むインジウムガリウム亜鉛酸化物を用いてもよい。このような材料を用いることで、チャネルが形成される金属酸化物に含まれる水素を捕獲することができる場合がある。または、外方の絶縁体などから混入する水素を捕獲することができる場合がある。 In particular, it is preferable to use a conductive material containing oxygen and a metal element contained in a metal oxide in which a channel is formed, as a conductor functioning as a gate electrode. Alternatively, a conductive material containing the above metal element and nitrogen may be used. For example, a conductive material containing nitrogen such as titanium nitride or tantalum nitride may be used. Further, indium tin oxide, indium oxide containing tungsten oxide, indium zinc oxide containing tungsten oxide, indium oxide containing titanium oxide, indium tin oxide containing titanium oxide, indium zinc oxide, and silicon were added. Indium tin oxide may be used. Alternatively, indium gallium zinc oxide containing nitrogen may be used. By using such a material, hydrogen contained in the metal oxide in which the channel is formed may be captured in some cases. Alternatively, it may be possible to capture hydrogen mixed in from an outer insulator or the like.
<<金属酸化物>>
 酸化物230として、半導体として機能する金属酸化物(酸化物半導体)を用いることが好ましい。以下では、本発明に係る酸化物230に適用可能な金属酸化物について説明する。
<< metal oxide >>
As the oxide 230, a metal oxide (oxide semiconductor) which functions as a semiconductor is preferably used. The metal oxide applicable to the oxide 230 according to the present invention will be described below.
 金属酸化物は、少なくともインジウムまたは亜鉛を含むことが好ましい。特に、インジウムおよび亜鉛を含むことが好ましい。また、それらに加えて、アルミニウム、ガリウム、イットリウム、錫などが含まれていることが好ましい。また、ホウ素、チタン、鉄、ニッケル、ゲルマニウム、ジルコニウム、モリブデン、ランタン、セリウム、ネオジム、ハフニウム、タンタル、タングステン、マグネシウムなどから選ばれた一種、または複数種が含まれていてもよい。 The metal oxide preferably contains at least indium or zinc. In particular, it is preferable to contain indium and zinc. In addition to these, it is preferable that aluminum, gallium, yttrium, tin, and the like are contained. Further, one or more selected from boron, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium and the like may be contained.
 ここでは、金属酸化物が、インジウム、元素Mおよび亜鉛を有するIn−M−Zn酸化物である場合を考える。なお、元素Mは、アルミニウム、ガリウム、イットリウム、または錫とする。そのほかの元素Mに適用可能な元素としては、ホウ素、チタン、鉄、ニッケル、ゲルマニウム、ジルコニウム、モリブデン、ランタン、セリウム、ネオジム、ハフニウム、タンタル、タングステン、マグネシウムなどがある。ただし、元素Mとして、前述の元素を複数組み合わせても構わない場合がある。 Here, consider the case where the metal oxide is an In-M-Zn oxide containing indium, the element M, and zinc. Note that the element M is aluminum, gallium, yttrium, or tin. Other elements applicable to the element M include boron, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten and magnesium. However, as the element M, it may be acceptable to combine a plurality of the aforementioned elements.
 なお、本明細書等において、窒素を有する金属酸化物も金属酸化物(metal oxide)と総称する場合がある。また、窒素を有する金属酸化物を、金属酸窒化物(metal oxynitride)と呼称してもよい。 Note that, in this specification and the like, metal oxides containing nitrogen may be collectively referred to as metal oxides. Further, the metal oxide containing nitrogen may be referred to as a metal oxynitride.
[金属酸化物の構造]
 酸化物半導体(金属酸化物)は、単結晶酸化物半導体と、それ以外の非単結晶酸化物半導体と、に分けられる。非単結晶酸化物半導体としては、例えば、CAAC−OS、多結晶酸化物半導体、nc−OS(nanocrystalline oxide semiconductor)、擬似非晶質酸化物半導体(a−like OS:amorphous−like oxide semiconductor)、および非晶質酸化物半導体などがある。
[Structure of metal oxide]
The oxide semiconductor (metal oxide) is classified into a single crystal oxide semiconductor and a non-single crystal oxide semiconductor other than the single crystal oxide semiconductor. As the non-single-crystal oxide semiconductor, for example, a CAAC-OS, a polycrystalline oxide semiconductor, an nc-OS (nanocrystalline oxide semiconductor), a pseudo-amorphous oxide semiconductor (a-like OS: amorphous-like oxide semiconductor), And an amorphous oxide semiconductor.
 CAAC−OSは、c軸配向性を有し、かつa−b面方向において複数のナノ結晶が連結し、歪みを有した結晶構造となっている。なお、歪みとは、複数のナノ結晶が連結する領域において、格子配列の揃った領域と、別の格子配列の揃った領域と、の間で格子配列の向きが変化している箇所を指す。 CAAC-OS has a crystal structure having c-axis orientation and a plurality of nanocrystals connected in the ab plane direction and having strain. Note that the strain refers to a portion in which the orientation of the lattice arrangement is changed between a region where the lattice arrangement is uniform and another region where the lattice arrangement is uniform in the region where the plurality of nanocrystals are connected.
 ナノ結晶は、六角形を基本とするが、正六角形状とは限らず、非正六角形状である場合がある。また、歪みにおいて、五角形、七角形などの格子配列を有する場合がある。なお、CAAC−OSにおいて、歪み近傍においても、明確な結晶粒界(グレインバウンダリー)を確認することは難しい。すなわち、格子配列の歪みによって、結晶粒界の形成が抑制されていることがわかる。これは、CAAC−OSが、a−b面方向において酸素原子の配列が稠密でないことや、金属元素が置換することで原子間の結合距離が変化することなどによって、歪みを許容することができるためである。  Nanocrystals are basically hexagonal, but they are not limited to regular hexagons and may be non-regular hexagons. In addition, the strain may have a lattice arrangement such as a pentagon and a heptagon. Note that in the CAAC-OS, it is difficult to confirm a clear crystal grain boundary (grain boundary) even in the vicinity of strain. That is, it is found that the distortion of the lattice arrangement suppresses the formation of crystal grain boundaries. This is because the CAAC-OS can tolerate strain due to the fact that the arrangement of oxygen atoms is not dense in the ab plane direction, the bond distance between atoms changes due to substitution with a metal element, or the like. This is because.
 また、CAAC−OSは、インジウム、および酸素を有する層(以下、In層)と、元素M、亜鉛、および酸素を有する層(以下、(M,Zn)層)とが積層した、層状の結晶構造(層状構造ともいう)を有する傾向がある。なお、インジウムと元素Mは、互いに置換可能であり、(M,Zn)層の元素Mがインジウムと置換した場合、(In,M,Zn)層と表すこともできる。また、In層のインジウムが元素Mと置換した場合、(In,M)層と表すこともできる。 The CAAC-OS is a layered crystal in which a layer containing indium and oxygen (hereinafter, an In layer) and a layer containing elements M, zinc, and oxygen (hereinafter, a (M,Zn) layer) are stacked. It tends to have a structure (also called a layered structure). Note that indium and the element M can be replaced with each other, and when the element M of the (M,Zn) layer is replaced with indium, it can be expressed as an (In,M,Zn) layer. When the indium in the In layer is replaced with the element M, it can be expressed as an (In,M) layer.
 CAAC−OSは結晶性の高い金属酸化物である。一方、CAAC−OSは、明確な結晶粒界を確認することが難しいため、結晶粒界に起因する電子移動度の低下が起こりにくいといえる。また、金属酸化物の結晶性は不純物の混入や欠陥の生成などによって低下する場合があるため、CAAC−OSは不純物や欠陥(酸素欠損など)の少ない金属酸化物ともいえる。したがって、CAAC−OSを有する金属酸化物は、物理的性質が安定する。そのため、CAAC−OSを有する金属酸化物は熱に強く、信頼性が高い。 CAAC-OS is a metal oxide with high crystallinity. On the other hand, in the CAAC-OS, since it is difficult to confirm a clear crystal grain boundary, it can be said that a decrease in electron mobility due to the crystal grain boundary does not easily occur. In addition, the crystallinity of a metal oxide may be reduced due to entry of impurities, generation of defects, and the like; therefore, the CAAC-OS can be referred to as a metal oxide with few impurities or defects (such as oxygen vacancies). Therefore, the metal oxide having CAAC-OS has stable physical properties. Therefore, the metal oxide containing CAAC-OS is highly heat resistant and highly reliable.
 nc−OSは、微小な領域(例えば、1nm以上10nm以下の領域、特に1nm以上3nm以下の領域)において原子配列に周期性を有する。また、nc−OSは、異なるナノ結晶間で結晶方位に規則性が見られない。そのため、膜全体で配向性が見られない。したがって、nc−OSは、分析方法によっては、a−like OSや非晶質酸化物半導体と区別が付かない場合がある。 Nc-OS has a periodic atomic arrangement in a minute region (for example, a region of 1 nm or more and 10 nm or less, particularly a region of 1 nm or more and 3 nm or less). Moreover, in the nc-OS, no regularity is found in the crystal orientation between different nanocrystals. Therefore, no orientation is seen in the entire film. Therefore, the nc-OS may be indistinguishable from the a-like OS or the amorphous oxide semiconductor depending on the analysis method.
 なお、インジウムと、ガリウムと、亜鉛と、を有する金属酸化物の一種である、In−Ga−Zn酸化物(以下、IGZO)は、上述のナノ結晶とすることで安定な構造をとる場合がある。特に、IGZOは、大気中では結晶成長がし難い傾向があるため、大きな結晶(ここでは、数mmの結晶、または数cmの結晶)よりも小さな結晶(例えば、上述のナノ結晶)とする方が、構造的に安定となる場合がある。 Note that In-Ga-Zn oxide (hereinafter referred to as IGZO), which is a kind of metal oxide containing indium, gallium, and zinc, may have a stable structure by using the above-described nanocrystal. is there. In particular, IGZO tends to have difficulty in crystal growth in the atmosphere, and thus a smaller crystal (for example, the above-mentioned nanocrystal) is used than a large crystal (here, a crystal of several mm or a crystal of several cm). However, it may be structurally stable.
 a−like OSは、nc−OSと非晶質酸化物半導体との間の構造を有する金属酸化物である。a−like OSは、鬆または低密度領域を有する。すなわち、a−like OSは、nc−OSおよびCAAC−OSと比べて、結晶性が低い。 The a-like OS is a metal oxide having a structure between the nc-OS and the amorphous oxide semiconductor. The a-like OS has a void or a low density region. That is, the crystallinity of the a-like OS is lower than that of the nc-OS and the CAAC-OS.
 酸化物半導体(金属酸化物)は、多様な構造をとり、それぞれが異なる特性を有する。本発明の一態様の酸化物半導体は、非晶質酸化物半導体、多結晶酸化物半導体、a−like OS、nc−OS、CAAC−OSのうち、二種以上を有していてもよい。 Oxide semiconductors (metal oxides) have various structures, and each has different characteristics. The oxide semiconductor of one embodiment of the present invention may include two or more of an amorphous oxide semiconductor, a polycrystalline oxide semiconductor, an a-like OS, an nc-OS, and a CAAC-OS.
 また、上述の酸化物半導体以外として、CAC(Cloud−Aligned Composite)−OSを用いてもよい。 In addition to the oxide semiconductors described above, a CAC (Cloud-Aligned Composite)-OS may be used.
 CAC−OSとは、材料の一部では導電性の機能と、材料の一部では絶縁性の機能とを有し、材料の全体では半導体としての機能を有する。なお、CAC−OSを、トランジスタの活性層に用いる場合、導電性の機能は、キャリアとなる電子(またはホール)を流す機能であり、絶縁性の機能は、キャリアとなる電子を流さない機能である。導電性の機能と、絶縁性の機能とを、それぞれ相補的に作用させることで、スイッチングさせる機能(On/Offさせる機能)をCAC−OSに付与することができる。CAC−OSにおいて、それぞれの機能を分離させることで、双方の機能を最大限に高めることができる。 CAC-OS has a conductive function in a part of the material, an insulating function in a part of the material, and a semiconductor function in the whole material. Note that when the CAC-OS is used for an active layer of a transistor, a conductive function is a function of allowing electrons (or holes) serving as carriers to flow, and an insulating function is a function of not allowing electrons serving as carriers to flow. is there. The CAC-OS can be provided with a switching function (On/Off function) by causing the conductive function and the insulating function to act in a complementary manner. By separating each function in the CAC-OS, both functions can be maximized.
 また、CAC−OSは、導電性領域、及び絶縁性領域を有する。導電性領域は、上述の導電性の機能を有し、絶縁性領域は、上述の絶縁性の機能を有する。また、材料中において、導電性領域と、絶縁性領域とは、ナノ粒子レベルで分離している場合がある。また、導電性領域と、絶縁性領域とは、それぞれ材料中に偏在する場合がある。また、導電性領域は、周辺がぼけてクラウド状に連結して観察される場合がある。 Also, the CAC-OS has a conductive area and an insulating area. The conductive region has the above-mentioned conductive function, and the insulating region has the above-mentioned insulating function. In addition, in the material, the conductive region and the insulating region may be separated at the nanoparticle level. The conductive region and the insulating region may be unevenly distributed in the material. In addition, the conductive region may be observed by blurring the periphery and connecting in a cloud shape.
 また、CAC−OSにおいて、導電性領域と、絶縁性領域とは、それぞれ0.5nm以上10nm以下、好ましくは0.5nm以上3nm以下のサイズで材料中に分散している場合がある。 In the CAC-OS, the conductive region and the insulating region may be dispersed in the material in a size of 0.5 nm or more and 10 nm or less, preferably 0.5 nm or more and 3 nm or less.
 また、CAC−OSは、異なるバンドギャップを有する成分により構成される。例えば、CAC−OS、絶縁性領域に起因するワイドギャップを有する成分と、導電性領域に起因するナローギャップを有する成分と、により構成される。当該構成の場合、キャリアを流す際に、ナローギャップを有する成分において、主にキャリアが流れる。また、ナローギャップを有する成分が、ワイドギャップを有する成分に相補的に作用し、ナローギャップを有する成分に連動してワイドギャップを有する成分にもキャリアが流れる。このため、上記CAC−OSをトランジスタのチャネル形成領域に用いる場合、トランジスタのオン状態において高い電流駆動力、つまり大きなオン電流、及び高い電界効果移動度を得ることができる。 CAC-OS is composed of components having different band gaps. For example, it is composed of a CAC-OS, a component having a wide gap due to the insulating region, and a component having a narrow gap due to the conductive region. In the case of the structure, when the carrier flows, the carrier mainly flows in the component having the narrow gap. Further, the component having the narrow gap acts complementarily to the component having the wide gap, and the carrier also flows in the component having the wide gap in conjunction with the component having the narrow gap. Therefore, when the above CAC-OS is used for a channel formation region of a transistor, a high current drivability, that is, a large on-current and a high field-effect mobility can be obtained when the transistor is on.
 すなわち、CAC−OSは、マトリックス複合材(matrix composite)、または金属マトリックス複合材(metal matrix composite)と呼称することもできる。 That is, the CAC-OS can also be referred to as a matrix composite material or a metal matrix composite material.
 また、酸化物半導体は、結晶構造に着目した場合、上記とは異なる分類となる場合がある。ここで、酸化物半導体における、結晶構造の分類について、図3Aを用いて説明を行う。図3Aは、酸化物半導体、代表的にはIGZO(Inと、Gaと、Znと、を含む金属酸化物)の結晶構造の分類を説明する図である。 Also, when focusing on the crystal structure, oxide semiconductors may be classified differently from the above. Here, classification of crystal structures in an oxide semiconductor will be described with reference to FIG. 3A. FIG. 3A is a diagram illustrating classification of crystal structures of oxide semiconductors, typically IGZO (a metal oxide containing In, Ga, and Zn).
 図3Aに示すように、IGZOは、大きく分けてAmorphousと、Crystallineと、Crystalと、に分類される。また、Amorphousの中には、completely amorphousが含まれる。また、Crystallineの中には、CAAC、nc、及びCACが含まれる。また、Crystalの中には、single crystal、及びpoly crystalが含まれる。 As shown in FIG. 3A, IGZO is roughly classified into Amorphous, Crystalline, and Crystal. Moreover, completeness amorphous is included in Amorphous. In addition, CAAC, nc, and CAC are included in Crystalline. In addition, single crystal and poly crystal are included in Crystal.
 なお、図3Aに示す太枠内の構造は、New crystalline phaseに属する構造である。当該構造は、Amorphousと、Crystalとの間の境界領域にある。すなわち、エネルギー的に不安定なAmorphousと、Crystallineとは全く異なる構造と言い換えることができる。 Note that the structure in the thick frame shown in FIG. 3A belongs to the New crystalline phase. The structure is in the boundary region between Amorphous and Crystal. That is, it can be said that the energy-unstable Amorphous and Crystalline are completely different structures.
 なお、膜または基板の結晶構造は、X線回折(XRD:X−Ray Diffraction)スペクトルを用いて評価することができる。ここで、石英ガラス、及びCrystallineに分類される結晶構造を有するIGZO(結晶性IGZOともいう。)のXRDスペクトルを図3Bおよび図3Cに示す。また、図3Bが石英ガラス、図3Cが結晶性IGZOのXRDスペクトルである。なお、図3Cに示す結晶性IGZOとしては、In:Ga:Zn=4:2:3[原子数比]の組成である。また、図3Cに示す結晶性IGZOとしては、厚さ500nmである。 The crystal structure of the film or substrate can be evaluated by using an X-ray diffraction (XRD: X-Ray Diffraction) spectrum. Here, XRD spectra of quartz glass and IGZO having a crystal structure classified into Crystalline (also referred to as crystalline IGZO) are shown in FIGS. 3B and 3C. Further, FIG. 3B is an XRD spectrum of quartz glass and FIG. 3C is an XRD spectrum of crystalline IGZO. The crystalline IGZO shown in FIG. 3C has a composition of In:Ga:Zn=4:2:3 [atomic ratio]. Further, the crystalline IGZO shown in FIG. 3C has a thickness of 500 nm.
 図3Bの矢印に示すように、石英ガラスは、XRDスペクトルのピークがほぼ左右対称である。一方で、図3Cの矢印に示すように、結晶性IGZOは、XRDスペクトルのピークが左右非対称である。XRDスペクトルのピークが左右非対称であることは、結晶の存在を明示している。別言すると、XRDスペクトルのピークで左右対称でないと、Amorphousであるとは言えない。 As shown by the arrow in FIG. 3B, the peak of the XRD spectrum of quartz glass is almost symmetrical. On the other hand, as shown by the arrow in FIG. 3C, in crystalline IGZO, the peak of the XRD spectrum is asymmetric. The left-right asymmetry of the XRD spectrum peaks clearly indicates the presence of crystals. In other words, unless the peak of the XRD spectrum is symmetrical, it cannot be said to be Amorphous.
[不純物]
 ここで、金属酸化物中における各不純物の影響について説明する。
[impurities]
Here, the influence of each impurity in the metal oxide will be described.
 酸化物半導体に不純物が混入すると、欠陥準位または酸素欠損が形成される場合がある。よって、酸化物半導体のチャネル形成領域に不純物が混入することで、酸化物半導体を用いたトランジスタの電気特性が変動しやすく、信頼性が悪くなる場合がある。また、チャネル形成領域に酸素欠損が含まれていると、トランジスタはノーマリーオン特性(ゲート電極に電圧を印加しなくてもチャネルが存在し、トランジスタに電流が流れる特性)となりやすい。 When impurities are mixed in the oxide semiconductor, defect levels or oxygen vacancies may be formed. Therefore, when impurities are mixed in the channel formation region of the oxide semiconductor, the electrical characteristics of the transistor including the oxide semiconductor are likely to change and reliability may be deteriorated. If the channel formation region contains oxygen vacancies, the transistor is likely to have normally-on characteristics (a characteristic that a channel exists and current flows in the transistor even if voltage is not applied to the gate electrode).
 金属酸化物を用いたトランジスタは、金属酸化物中の不純物及び酸素欠損によって、その電気特性が変動し、ノーマリーオン特性となりやすい。また、金属酸化物中に、適量値を超えた過剰な酸素を有した状態で、該トランジスタを駆動した場合、過剰な酸素原子の価数が変化し、該トランジスタの電気特性が変動することで、信頼性が悪くなる場合がある。  Transistors using metal oxides tend to have normally-on characteristics because their electrical characteristics fluctuate due to impurities and oxygen vacancies in the metal oxides. In addition, when the transistor is driven in a state where excess amount of oxygen exceeds an appropriate amount in the metal oxide, the valence of excess oxygen atoms is changed and the electrical characteristics of the transistor are changed. , Reliability may deteriorate.
 したがって、トランジスタには、キャリア濃度の低い金属酸化物をチャネル形成領域に用いることが好ましい。金属酸化物のキャリア濃度を低くする場合においては、金属酸化物中の不純物濃度を低くし、欠陥準位密度を低くすればよい。本明細書等において、不純物濃度が低く、欠陥準位密度の低いことを高純度真性または実質的に高純度真性という。なお、本明細書等においては、チャネル形成領域の金属酸化物のキャリア濃度が1×1016cm−3以下の場合を実質的に高純度真性として定義する。 Therefore, in the transistor, it is preferable to use a metal oxide having a low carrier concentration in the channel formation region. In the case of reducing the carrier concentration of the metal oxide, the concentration of impurities in the metal oxide may be lowered and the density of defect states may be lowered. In this specification and the like, low impurity concentration and low defect level density are referred to as high-purity intrinsic or substantially high-purity intrinsic. Note that in this specification and the like, the case where the carrier concentration of the metal oxide in the channel formation region is 1×10 16 cm −3 or less is defined as substantially high-purity intrinsic.
 また、チャネル形成領域の金属酸化物のキャリア濃度は、1×1018cm−3以下であることが好ましく、1×1017cm−3以下であることがより好ましく、1×1016cm−3以下であることがさらに好ましく、1×1013cm−3未満であることがさらに好ましく、1×1012cm−3未満であることがさらに好ましい。なお、チャネル形成領域の金属酸化物のキャリア濃度の下限値については、特に限定は無いが、例えば、1×10−9cm−3とすることができる。 The carrier concentration of the metal oxide in the channel formation region is preferably 1×10 18 cm −3 or less, more preferably 1×10 17 cm −3 or less, and 1×10 16 cm −3. It is more preferably the following or less, still more preferably less than 1×10 13 cm −3 , further preferably less than 1×10 12 cm −3 . The lower limit of the carrier concentration of the metal oxide in the channel formation region is not particularly limited, but can be set to, for example, 1×10 −9 cm −3 .
 なお、金属酸化物中の不純物としては、例えば、水素、窒素、アルカリ金属、アルカリ土類金属、鉄、ニッケル、シリコン等がある。特に、金属酸化物に含まれる水素は、金属原子と結合する酸素と反応して水になるため、金属酸化物中に酸素欠損を形成する場合がある。金属酸化物中のチャネル形成領域に酸素欠損が含まれていると、トランジスタはノーマリーオン特性となる場合がある。さらに、金属酸化物中の酸素欠損に水素が入った場合、酸素欠損と水素とが結合しVHを形成する場合がある。酸素欠損に水素が入った欠陥(VH)はドナーとして機能し、キャリアである電子が生成されることがある。また、水素の一部が金属原子と結合する酸素と結合して、キャリアである電子を生成する場合がある。従って、水素が多く含まれている金属酸化物を用いたトランジスタは、ノーマリーオン特性となりやすい。また、金属酸化物中の水素は、熱、電界などのストレスによって動きやすいため、金属酸化物に多くの水素が含まれると、トランジスタの信頼性が悪化する恐れもある。 The impurities in the metal oxide include, for example, hydrogen, nitrogen, alkali metals, alkaline earth metals, iron, nickel, silicon and the like. In particular, hydrogen contained in a metal oxide reacts with oxygen bonded to a metal atom to be water, which may cause oxygen vacancies in the metal oxide. If the channel formation region in the metal oxide contains oxygen vacancies, the transistor might have normally-on characteristics. Further, when containing the hydrogen to oxygen vacancies in the metal oxide, there is a case where oxygen vacancies and hydrogen combine to form a V O H. Defects containing hydrogen to an oxygen vacancy (V O H) serves as a donor, sometimes electrons serving as carriers are generated. In addition, part of hydrogen may be bonded to oxygen which is bonded to a metal atom to generate an electron which is a carrier. Therefore, a transistor including a metal oxide containing a large amount of hydrogen is likely to have normally-on characteristics. Further, hydrogen in the metal oxide easily moves due to stress such as heat and an electric field; therefore, when a large amount of hydrogen is contained in the metal oxide, reliability of the transistor might be deteriorated.
 本発明の一態様においては、酸化物230中のVHをできる限り低減し、高純度真性または実質的に高純度真性にすることが好ましい。このように、VHが十分低減された金属酸化物を得るには、金属酸化物中の水分、水素などの不純物を除去すること(脱水、脱水素化処理と記載する場合がある。)と、金属酸化物に酸素を供給して酸素欠損を補填すること(加酸素化処理と記載する場合がある。)が重要である。VHなどの不純物が十分に低減された金属酸化物をトランジスタのチャネル形成領域に用いることで、安定した電気特性を付与することができる。 In one aspect of the present invention to reduce as much as possible V O H in the oxide 230, it is preferable that the highly purified intrinsic or substantially highly purified intrinsic. Thus, the V O H to obtain a sufficiently reduced metal oxide, to remove moisture in the metal oxide, the impurities such as hydrogen (dehydration, may be described as dehydrogenation.) Then, it is important to supply oxygen to the metal oxide to fill oxygen vacancies (sometimes referred to as oxygenation treatment). The metal oxide impurities is sufficiently reduced such V O H By using the channel formation region of the transistor, it is possible to have stable electrical characteristics.
 酸素欠損に水素が入った欠陥(VH)は、金属酸化物のドナーとして機能しうる。しかしながら、当該欠陥を定量的に評価することは困難である。そこで、金属酸化物においては、ドナー濃度ではなく、キャリア濃度で評価される場合がある。よって、本明細書等では、金属酸化物のパラメータとして、ドナー濃度ではなく、電界が印加されない状態を想定したキャリア濃度を用いる場合がある。つまり、本明細書等に記載の「キャリア濃度」は、「ドナー濃度」と言い換えることができる場合がある。また、本明細書等に記載の「キャリア濃度」は、「キャリア密度」と言い換えることができる。 Defects containing hydrogen to an oxygen vacancy (V O H) can function as a donor of the metal oxide. However, it is difficult to quantitatively evaluate the defect. Therefore, the metal oxide may be evaluated not by the donor concentration but by the carrier concentration. Therefore, in this specification and the like, the carrier concentration which is assumed to be a state where no electric field is applied may be used as the parameter of the metal oxide, instead of the donor concentration. That is, the “carrier concentration” described in this specification and the like can be called the “donor concentration” in some cases. Further, the “carrier concentration” described in this specification and the like can be restated as the “carrier density”.
 よって、金属酸化物中の水素はできる限り低減されていることが好ましい。具体的には、金属酸化物において、二次イオン質量分析法(SIMS:Secondary Ion Mass Spectrometry)により得られる水素濃度を、1×1020atoms/cm未満、好ましくは1×1019atoms/cm未満、より好ましくは5×1018atoms/cm未満、さらに好ましくは1×1018atoms/cm未満とする。水素などの不純物が十分に低減された金属酸化物をトランジスタのチャネル形成領域に用いることで、安定した電気特性を付与することができる。 Therefore, it is preferable that hydrogen in the metal oxide be reduced as much as possible. Specifically, in the metal oxide, the hydrogen concentration obtained by secondary ion mass spectroscopy (SIMS) is less than 1×10 20 atoms/cm 3 , preferably 1×10 19 atoms/cm 3. It is less than 3 , more preferably less than 5×10 18 atoms/cm 3 , and even more preferably less than 1×10 18 atoms/cm 3 . By using a metal oxide in which impurities such as hydrogen are sufficiently reduced in a channel formation region of a transistor, stable electric characteristics can be given.
 また、上記欠陥準位には、トラップ準位が含まれる場合がある。金属酸化物のトラップ準位に捕獲された電荷は、消失するまでに要する時間が長く、あたかも固定電荷のように振る舞うことがある。そのため、トラップ準位密度の高い金属酸化物をチャネル形成領域に有するトランジスタは、電気特性が不安定となる場合がある。 Also, the above defect levels may include trap levels. The charge trapped in the trap level of the metal oxide takes a long time to disappear and may behave as if it were a fixed charge. Therefore, a transistor including a metal oxide having a high trap level density in a channel formation region may have unstable electrical characteristics.
 また、酸化物半導体のチャネル形成領域に不純物が存在すると、チャネル形成領域の結晶性が低くなる場合がある、また、チャネル形成領域に接して設けられる酸化物の結晶性が低くなる場合がある。チャネル形成領域の結晶性が低いと、トランジスタの安定性または信頼性が悪化する傾向がある。また、チャネル形成領域に接して設けられる酸化物の結晶性が低いと、界面準位が形成され、トランジスタの安定性または信頼性が悪化する場合がある。 Further, when impurities are present in the channel formation region of the oxide semiconductor, the crystallinity of the channel formation region may be lowered, and the crystallinity of the oxide provided in contact with the channel formation region may be lowered. When the crystallinity of the channel formation region is low, the stability or reliability of the transistor tends to be deteriorated. Further, when the crystallinity of the oxide provided in contact with the channel formation region is low, an interface state is formed, which might deteriorate the stability or reliability of the transistor.
 したがって、トランジスタの安定性または信頼性を向上させるには、酸化物半導体のチャネル形成領域およびその近傍の不純物濃度を低減することが有効である。不純物としては、水素、窒素、アルカリ金属、アルカリ土類金属、鉄、ニッケル、シリコン等がある。 Therefore, in order to improve the stability or reliability of the transistor, it is effective to reduce the impurity concentration in the channel formation region of the oxide semiconductor and in the vicinity thereof. Impurities include hydrogen, nitrogen, alkali metals, alkaline earth metals, iron, nickel, silicon and the like.
 具体的には、当該酸化物半導体のチャネル形成領域およびその近傍において、SIMSにより得られる上記不純物の濃度を、1×1018atoms/cm以下、好ましくは2×1016atoms/cm以下にする。または、当該酸化物半導体のチャネル形成領域およびその近傍において、エネルギー散乱型X線分光法(EDX:Energy Dispersive X−ray spectroscopy)を用いた元素分析により得られる上記不純物の濃度を、1.0atomic%以下にする。なお、当該酸化物半導体として元素Mを含む酸化物を用いる場合、当該酸化物半導体のチャネル形成領域およびその近傍において、元素Mに対する上記不純物の濃度比を、0.10未満、好ましくは0.05未満にする。ここで、上記濃度比を算出する際に用いる元素Mの濃度は、上記不純物の濃度を算出した領域と同じ領域の濃度でもよいし、当該酸化物半導体中の濃度でもよい。 Specifically, in the channel formation region of the oxide semiconductor and in the vicinity thereof, the concentration of the impurity obtained by SIMS is 1×10 18 atoms/cm 3 or less, preferably 2×10 16 atoms/cm 3 or less. To do. Alternatively, in the channel formation region of the oxide semiconductor and in the vicinity thereof, the concentration of the impurity obtained by element analysis using energy scattering X-ray spectroscopy (EDX: Energy Dispersive X-ray spectroscopy) is 1.0 atomic%. Below. Note that when an oxide containing the element M is used as the oxide semiconductor, the concentration ratio of the impurity to the element M in the channel formation region of the oxide semiconductor and the vicinity thereof is less than 0.10, preferably 0.05. Less than Here, the concentration of the element M used when calculating the concentration ratio may be the concentration in the same region as the region in which the concentration of the impurities is calculated, or may be the concentration in the oxide semiconductor.
 また、不純物濃度を低減した金属酸化物は、欠陥準位密度が低いため、トラップ準位密度も低くなる場合がある。 Also, since the metal oxide having a reduced impurity concentration has a low defect level density, the trap level density may be low.
 また、酸化物半導体を用いたトランジスタは、酸化物半導体中のチャネル形成領域に不純物および酸素欠損が存在すると、当該酸化物半導体が低抵抗化する場合がある。また、電気特性が変動しやすく、信頼性が悪くなる場合がある。 In addition, in a transistor including an oxide semiconductor, if an impurity and oxygen vacancies are present in a channel formation region in the oxide semiconductor, the oxide semiconductor may have low resistance. In addition, the electrical characteristics are likely to fluctuate, and the reliability may deteriorate.
 例えば、シリコンは、酸素との結合エネルギーが、インジウムおよび亜鉛よりも大きい。例えば、酸化物半導体としてIn−M−Zn酸化物を用いる場合、当該酸化物半導体にシリコンが混入すると、当該酸化物半導体に含まれる酸素がシリコンに奪われることによって、インジウムまたは亜鉛の近傍に酸素欠損が形成される場合がある。 For example, silicon has a larger binding energy with oxygen than indium and zinc. For example, in the case of using an In-M-Zn oxide as the oxide semiconductor, when silicon is mixed in the oxide semiconductor, oxygen contained in the oxide semiconductor is taken away by the silicon, so that oxygen near the indium or zinc is generated. Defects may be formed.
 チャネル形成領域に酸化物半導体を用いたトランジスタにおいては、チャネル形成領域に低抵抗領域が形成されると、当該低抵抗領域にトランジスタのソース電極とドレイン電極との間のリーク電流(寄生チャネル)が発生しやすい。また、当該寄生チャネルによって、トランジスタのノーマリーオン化、リーク電流の増大、ストレス印加によるしきい値電圧の変動(シフト)など、トランジスタの特性不良が起こりやすくなる。また、トランジスタの加工精度が低いと、当該寄生チャネルがトランジスタ毎にばらつくことで、トランジスタ特性にばらつきが生じてしまう。 In a transistor including an oxide semiconductor in a channel formation region, when a low resistance region is formed in the channel formation region, a leakage current (parasitic channel) between a source electrode and a drain electrode of the transistor is generated in the low resistance region. Likely to happen. Further, due to the parasitic channel, defective characteristics of the transistor are likely to occur such as normally-on of the transistor, increase of leak current, and variation (shift) of threshold voltage due to stress application. Further, when the processing accuracy of the transistor is low, the parasitic channel varies from transistor to transistor, resulting in variations in transistor characteristics.
 したがって、酸化物半導体のチャネル形成領域およびその近傍において、当該不純物および酸素欠損はできる限り低減されていることが好ましい。 Therefore, it is preferable that the impurities and oxygen vacancies in the channel formation region of the oxide semiconductor and the vicinity thereof be reduced as much as possible.
<<その他の半導体材料>>
 酸化物230に用いることができる半導体材料は、上述の金属酸化物に限られない。酸化物230として、バンドギャップを有する半導体材料(ゼロギャップ半導体ではない半導体材料)を用いてもよい。例えば、シリコンなどの単体元素の半導体、ヒ化ガリウムなどの化合物半導体、半導体として機能する層状物質(原子層物質、2次元材料などともいう。)などを半導体材料に用いることが好ましい。特に、半導体として機能する層状物質を半導体材料に用いると好適である。
<<Other semiconductor materials>>
The semiconductor material that can be used for the oxide 230 is not limited to the above metal oxide. As the oxide 230, a semiconductor material having a band gap (a semiconductor material that is not a zero-gap semiconductor) may be used. For example, a semiconductor of a simple element such as silicon, a compound semiconductor such as gallium arsenide, a layered substance functioning as a semiconductor (also referred to as an atomic layer substance, a two-dimensional material, or the like) is preferably used as a semiconductor material. In particular, it is preferable to use a layered substance that functions as a semiconductor as a semiconductor material.
 ここで、本明細書等において、層状物質とは、層状の結晶構造を有する材料群の総称である。層状の結晶構造は、共有結合やイオン結合によって形成される層が、ファンデルワールス力のような、共有結合やイオン結合よりも弱い結合を介して積層している構造である。層状物質は、単位層内における電気伝導性が高く、つまり、2次元電気伝導性が高い。半導体として機能し、かつ、2次元電気伝導性の高い材料をチャネル形成領域に用いることで、オン電流の大きいトランジスタを提供することができる。 Here, in the present specification and the like, the layered substance is a general term for a group of materials having a layered crystal structure. The layered crystal structure is a structure in which layers formed by a covalent bond or an ionic bond are stacked via a bond weaker than the covalent bond or the ionic bond, such as Van der Waals force. The layered material has high electric conductivity in the unit layer, that is, two-dimensional electric conductivity. By using a material that functions as a semiconductor and has high two-dimensional electrical conductivity for the channel formation region, a transistor with high on-state current can be provided.
 層状物質として、グラフェン、シリセン、カルコゲン化物などがある。カルコゲン化物は、カルコゲンを含む化合物である。また、カルコゲンは、第16族に属する元素の総称であり、酸素、硫黄、セレン、テルル、ポロニウム、リバモリウムが含まれる。また、カルコゲン化物として、遷移金属カルコゲナイド、13族カルコゲナイドなどが挙げられる。 Layered substances include graphene, silicene, chalcogenides, etc. A chalcogenide is a compound containing chalcogen. Chalcogen is a general term for elements belonging to Group 16 and includes oxygen, sulfur, selenium, tellurium, polonium, and livermolium. Examples of chalcogenides include transition metal chalcogenides and group 13 chalcogenides.
 酸化物230として、例えば、半導体として機能する遷移金属カルコゲナイドを用いることが好ましい。酸化物230として適用可能な遷移金属カルコゲナイドとして、具体的には、硫化モリブデン(代表的にはMoS)、セレン化モリブデン(代表的にはMoSe)、モリブデンテルル(代表的にはMoTe)、硫化タングステン(代表的にはWS)、セレン化タングステン(代表的にはWSe)タングステンテルル(代表的にはWTe)、硫化ハフニウム(代表的にはHfS)、セレン化ハフニウム(代表的にはHfSe)、硫化ジルコニウム(代表的にはZrS)、セレン化ジルコニウム(代表的にはZrSe)などが挙げられる。 As the oxide 230, for example, a transition metal chalcogenide that functions as a semiconductor is preferably used. Specific examples of the transition metal chalcogenide applicable as the oxide 230 include molybdenum sulfide (typically MoS 2 ), molybdenum selenide (typically MoSe 2 ), molybdenum tellurium (typically MoTe 2 ). , Tungsten sulfide (typically WS 2 ), tungsten selenide (typically WSe 2 ), tungsten tellurium (typically WTe 2 ), hafnium sulfide (typically HfS 2 ), hafnium selenide (typically) Specific examples thereof include HfSe 2 ), zirconium sulfide (typically ZrS 2 ), zirconium selenide (typically ZrSe 2 ), and the like.
<半導体装置の変形例>
 以下では、図4A乃至図4D、および図5A乃至図5Dを用いて、本発明の一態様である半導体装置の一例について説明する。
<Modification of semiconductor device>
An example of a semiconductor device which is one embodiment of the present invention will be described below with reference to FIGS. 4A to 4D and FIGS. 5A to 5D.
 図4Aおよび図5Aは半導体装置の上面図を示す。また、図4Bおよび図5Bはそれぞれ、図4Aおよび図5AにA1−A2の一点鎖線で示す部位に対応する断面図である。また、図4Cおよび図5Cはそれぞれ、図4Aおよび図5AにA3−A4の一点鎖線で示す部位に対応する断面図である。また、図4Dおよび図5Dはそれぞれ、図4Aおよび図5AにA5−A6の一点鎖線で示す部位に対応する断面図である。図4Aおよび図5Aの上面図では、図の明瞭化のために一部の要素を省いている。 4A and 5A are top views of the semiconductor device. Further, FIGS. 4B and 5B are cross-sectional views corresponding to the portion indicated by the alternate long and short dash line A1-A2 in FIGS. 4A and 5A, respectively. Further, FIGS. 4C and 5C are cross-sectional views corresponding to the portions indicated by dashed-dotted line A3-A4 in FIGS. 4A and 5A, respectively. Further, FIGS. 4D and 5D are cross-sectional views corresponding to the portions indicated by dashed-dotted line A5-A6 in FIGS. 4A and 5A, respectively. In the top views of FIGS. 4A and 5A, some elements have been omitted for clarity.
 なお、図4A乃至図4Dおよび図5A乃至図5Dに示す半導体装置において、<半導体装置の構成例>に示した半導体装置を構成する構造と同機能を有する構造には、同符号を付記する。なお、本項目においても、半導体装置の構成材料については<半導体装置の構成例>で詳細に説明した材料を用いることができる。 In the semiconductor devices shown in FIGS. 4A to 4D and FIGS. 5A to 5D, structures having the same functions as those of the structure of the semiconductor device shown in <Structure example of semiconductor device> are denoted by the same reference numerals. Also in this item, as the constituent material of the semiconductor device, the materials described in detail in <Structure example of semiconductor device> can be used.
<<半導体装置の変形例1>>
 図4A乃至図4Dに示す半導体装置は、図1A乃至図1Dに示した半導体装置の変形例である。図4A乃至図4Dに示す半導体装置は、図1A乃至図1Dに示した半導体装置とは、酸化物243(酸化物243a、および酸化物243b)を有することが異なる。また、酸化物230cの形状が異なる。
<<First Modification of Semiconductor Device>>
The semiconductor device shown in FIGS. 4A to 4D is a modification of the semiconductor device shown in FIGS. 1A to 1D. The semiconductor device illustrated in FIGS. 4A to 4D is different from the semiconductor devices illustrated in FIGS. 1A to 1D in that the semiconductor device includes the oxide 243 (the oxide 243a and the oxide 243b). In addition, the shape of the oxide 230c is different.
 酸化物243(酸化物243a、および酸化物243b)は、酸素の透過を抑制する機能を有することが好ましい。ソース電極やドレイン電極として機能する導電体242と酸化物230bとの間に酸素の透過を抑制する機能を有する酸化物243を配置することで、導電体242と、酸化物230bとの間の電気抵抗が低減されるので好ましい。このような構成とすることで、トランジスタ200の電気特性およびトランジスタ200の信頼性を向上させることができる。 The oxide 243 (oxide 243a and oxide 243b) preferably has a function of suppressing permeation of oxygen. By disposing the oxide 243 having a function of suppressing permeation of oxygen between the conductor 242 functioning as a source electrode or a drain electrode and the oxide 230b, electrical conductivity between the conductor 242 and the oxide 230b can be obtained. It is preferable because the resistance is reduced. With such a structure, electric characteristics of the transistor 200 and reliability of the transistor 200 can be improved.
 酸化物243として、元素Mを有する金属酸化物を用いてもよい。特に、元素Mは、アルミニウム、ガリウム、イットリウム、または錫を用いるとよい。酸化物243は、酸化物230bよりも元素Mの濃度が高いことが好ましい。また、酸化物243として、酸化ガリウムを用いてもよい。また、酸化物243として、In−M−Zn酸化物等の金属酸化物を用いてもよい。具体的には、酸化物243に用いる金属酸化物において、Inに対する元素Mの原子数比が、酸化物230bに用いる金属酸化物における、Inに対する元素Mの原子数比より大きいことが好ましい。また、酸化物243の膜厚は、好ましくは0.5nm以上5nm以下、より好ましくは1nm以上3nm以下、さらに好ましくは1nm以上2nm以下である。また、酸化物243は、結晶性を有すると好ましい。酸化物243が結晶性を有する場合、酸化物230中の酸素の放出を好適に抑制することが出来る。例えば、酸化物243としては、六方晶などの結晶構造であれば、酸化物230中の酸素の放出を抑制できる場合がある。 As the oxide 243, a metal oxide containing the element M may be used. In particular, the element M is preferably aluminum, gallium, yttrium, or tin. The oxide 243 preferably has a higher concentration of the element M than the oxide 230b. Alternatively, gallium oxide may be used as the oxide 243. Alternatively, as the oxide 243, a metal oxide such as an In-M-Zn oxide may be used. Specifically, in the metal oxide used for the oxide 243, the atomic ratio of the element M to In is preferably higher than the atomic ratio of the element M to In in the metal oxide used for the oxide 230b. The thickness of the oxide 243 is preferably 0.5 nm or more and 5 nm or less, more preferably 1 nm or more and 3 nm or less, and further preferably 1 nm or more and 2 nm or less. Further, the oxide 243 preferably has crystallinity. When the oxide 243 has crystallinity, release of oxygen in the oxide 230 can be preferably suppressed. For example, if the oxide 243 has a hexagonal crystal structure or the like, release of oxygen in the oxide 230 can be suppressed in some cases.
 酸化物230cは、トランジスタ200毎に設けてもよい。つまり、トランジスタ200の酸化物230cと、当該トランジスタ200に隣接するトランジスタ200の酸化物230cと、は、接しなくてもよい。また、トランジスタ200の酸化物230cと、当該トランジスタ200に隣接するトランジスタ200の酸化物230cと、を、離隔してもよい。別言すると、酸化物230cが、トランジスタ200と、当該トランジスタ200に隣接するトランジスタ200との間に配置されない構成としてもよい。 The oxide 230c may be provided for each transistor 200. That is, the oxide 230c of the transistor 200 and the oxide 230c of the transistor 200 adjacent to the transistor 200 do not need to be in contact with each other. Further, the oxide 230c of the transistor 200 and the oxide 230c of the transistor 200 adjacent to the transistor 200 may be separated from each other. In other words, the oxide 230c may not be provided between the transistor 200 and the transistor 200 adjacent to the transistor 200.
 複数のトランジスタ200がチャネル幅方向に配置されている半導体装置において、上記構成にすることで、トランジスタ200に酸化物230cがそれぞれ独立して設けられる。よって、トランジスタ200と、当該トランジスタ200に隣接するトランジスタ200との間に、寄生トランジスタが生じるのを抑制し、トランジスタ200と、当該トランジスタ200に隣接するトランジスタ200との間でリークパスが生じるのを抑制することができる。したがって、良好な電気特性を有し、かつ、微細化または高集積化が可能な半導体装置を提供することができる。 In the semiconductor device in which the plurality of transistors 200 are arranged in the channel width direction, with the above structure, the oxides 230c are independently provided in the transistor 200. Therefore, a parasitic transistor is suppressed between the transistor 200 and the transistor 200 adjacent to the transistor 200, and a leakage path is suppressed between the transistor 200 and the transistor 200 adjacent to the transistor 200. can do. Therefore, it is possible to provide a semiconductor device having good electric characteristics and capable of being miniaturized or highly integrated.
 例えば、トランジスタ200のチャネル幅方向において、互いに向かい合う、トランジスタ200の酸化物230cの側端部と、当該トランジスタ200に隣接するトランジスタ200の酸化物230cの側端部との距離をLとして表すと、Lを0nmよりも大きくする。また、トランジスタ200のチャネル幅方向において、互いに向かい合う、トランジスタ200の酸化物230aの側端部と、当該トランジスタ200に隣接するトランジスタ200の酸化物230aの側端部との距離をLとして表すと、Lに対するLの比(L/L)の値は、好ましくは0より大きく1未満、より好ましくは0.1以上0.9以下、さらに好ましくは0.2以上0.8以下である。なお、Lは、互いに向かい合う、トランジスタ200の酸化物230bの側端部と、当該トランジスタ200に隣接するトランジスタ200の酸化物230bの側端部との距離であってもよい。 For example, when the distance between the side end of the oxide 230c of the transistor 200 and the side end of the oxide 230c of the transistor 200 adjacent to the transistor 200 in the channel width direction of the transistor 200 is represented as L 1. , L 1 is larger than 0 nm. Further, in the channel width direction of the transistor 200, face each other, and a side edge portion of the oxide 230a of the transistor 200, to represent the distance between the side edge portion of the oxide 230a of the transistor 200 adjacent to the transistor 200 as L 2 , the value of the ratio of L 1 (L 1 / L 2) for L 2 is preferably greater than 0 less than 1, more preferably 0.1 to 0.9, more preferably 0.2 to 0.8 Is. Note that L 2 may be a distance between the side end portion of the oxide 230b of the transistor 200 and the side end portion of the oxide 230b of the transistor 200 which is adjacent to the transistor 200 and face each other.
 上記のLに対するLの比(L/L)を小さくすることで、酸化物230cが、トランジスタ200と、当該トランジスタ200に隣接するトランジスタ200との間に配置されない領域の位置ずれが生じても、トランジスタ200の酸化物230cと、当該トランジスタ200に隣接するトランジスタ200の酸化物230cと、を、離隔することができる。 By reducing the ratio of L 1 to the above L 2 (L 1 / L 2 ), oxides 230c is a transistor 200, the positional deviation of the arrangement that are not regions between the transistors 200 adjacent to the transistor 200 Even if it occurs, the oxide 230c of the transistor 200 and the oxide 230c of the transistor 200 adjacent to the transistor 200 can be separated from each other.
 また、上記のLに対するLの比(L/L)を大きくすることで、トランジスタ200と、当該トランジスタ200に隣接するトランジスタ200との間隔を狭めても、最小加工寸法の幅を確保することができ、半導体装置のさらなる微細化または高集積化を図ることができる。 Further, by increasing the ratio of L 1 to the above L 2 (L 1 / L 2 ), the transistor 200, even by narrowing the interval between the transistor 200 adjacent to the transistor 200, the width of the minimum feature size This can be ensured, and further miniaturization or higher integration of the semiconductor device can be achieved.
 なお、導電体260、絶縁体250のそれぞれは、隣接するトランジスタ200間で共通して用いられてもよい。つまり、トランジスタ200の導電体260は、当該トランジスタ200に隣接するトランジスタ200の導電体260と連続して設けられた領域を有する。また、トランジスタ200の絶縁体250は、当該トランジスタ200に隣接するトランジスタ200の絶縁体250と連続して設けられた領域を有する。 Note that each of the conductor 260 and the insulator 250 may be commonly used between the adjacent transistors 200. That is, the conductor 260 of the transistor 200 has a region provided so as to be continuous with the conductor 260 of the transistor 200 which is adjacent to the transistor 200. In addition, the insulator 250 of the transistor 200 has a region which is provided so as to be continuous with the insulator 250 of the transistor 200 which is adjacent to the transistor 200.
 また、上記構成とすることで、絶縁体250は、トランジスタ200と、当該トランジスタ200に隣接するトランジスタ200との間に、絶縁体224に接する領域を有する。 With the above structure, the insulator 250 has a region in contact with the insulator 224 between the transistor 200 and the transistor 200 adjacent to the transistor 200.
 なお、酸化物230cを酸化物230c1と酸化物230c2との積層構造とする場合、トランジスタ200の酸化物230c1および酸化物230c2は、当該トランジスタ200に隣接するトランジスタ200の酸化物230c1および酸化物230c2と、それぞれ離隔してもよいし、トランジスタ200の酸化物230c1と、当該トランジスタ200に隣接するトランジスタ200の酸化物230c1と、を離隔し、トランジスタ200の酸化物230c2は、当該トランジスタ200に隣接するトランジスタ200の酸化物230c2と連続して設けられた領域を有してもよい。このとき、酸化物230c2は、トランジスタ200と、当該トランジスタ200に隣接するトランジスタ200との間に、絶縁体224に接する領域を有する。 Note that when the oxide 230c has a stacked-layer structure of the oxide 230c1 and the oxide 230c2, the oxide 230c1 and the oxide 230c2 of the transistor 200 are the same as the oxide 230c1 and the oxide 230c2 of the transistor 200 adjacent to the transistor 200. The oxide 230c1 of the transistor 200 may be separated from the oxide 230c1 of the transistor 200 adjacent to the transistor 200, and the oxide 230c2 of the transistor 200 may be separated from the oxide 230c2 of the transistor 200. The region may be continuous with the 200 oxide 230c2. At this time, the oxide 230c2 has a region in contact with the insulator 224 between the transistor 200 and the transistor 200 adjacent to the transistor 200.
<<半導体装置の変形例2>>
 図5A乃至図5Dに示す半導体装置は、図4A乃至図4Dに示した半導体装置の変形例である。図5A乃至図5Dに示す半導体装置は、図4A乃至図4Dに示した半導体装置とは、絶縁体283、および絶縁体284の形状が異なる。また、酸化物230d、絶縁体274、および絶縁体287を有することが異なる。
<<Modification 2 of Semiconductor Device>>
The semiconductor device shown in FIGS. 5A to 5D is a modification of the semiconductor device shown in FIGS. 4A to 4D. The semiconductor device illustrated in FIGS. 5A to 5D is different from the semiconductor devices illustrated in FIGS. 4A to 4D in shapes of an insulator 283 and an insulator 284. In addition, a difference is that the oxide 230d, the insulator 274, and the insulator 287 are included.
 図5A乃至図5Dに示す半導体装置では、絶縁体212、絶縁体214、絶縁体216、絶縁体222、絶縁体224、絶縁体272、絶縁体273、絶縁体280、および絶縁体282がパターニングされており、絶縁体212、絶縁体214、絶縁体216、絶縁体222、絶縁体224、絶縁体272、絶縁体273、絶縁体280、および絶縁体282の側面に接して、絶縁体287が設けられる。また、絶縁体283、および絶縁体284は、絶縁体212、絶縁体214、絶縁体216、絶縁体222、絶縁体224、絶縁体272、絶縁体273、絶縁体280、絶縁体282、および絶縁体287を覆う構造になっている。つまり、絶縁体283は、絶縁体282の上面と、絶縁体287の上面および側面と、絶縁体211の上面とに接し、絶縁体284は、絶縁体283の上面および側面に接する。これにより、酸化物230などを含む、絶縁体212、絶縁体214、絶縁体216、絶縁体222、絶縁体224、絶縁体272、絶縁体273、絶縁体280、絶縁体282、および絶縁体287は、絶縁体283および絶縁体284と、絶縁体211とによって、外部から隔離される。別言すると、トランジスタ200は、絶縁体283および絶縁体284と絶縁体211とで封止された領域内に配置される。 In the semiconductor device illustrated in FIGS. 5A to 5D, the insulator 212, the insulator 214, the insulator 216, the insulator 222, the insulator 224, the insulator 272, the insulator 273, the insulator 280, and the insulator 282 are patterned. The insulator 287 is provided in contact with the side surfaces of the insulator 212, the insulator 214, the insulator 216, the insulator 222, the insulator 224, the insulator 272, the insulator 273, the insulator 280, and the insulator 282. To be The insulator 283 and the insulator 284 are the insulator 212, the insulator 214, the insulator 216, the insulator 222, the insulator 224, the insulator 272, the insulator 273, the insulator 280, the insulator 282, and the insulator. The structure covers the body 287. That is, the insulator 283 is in contact with the top surface of the insulator 282, the top surface and side surfaces of the insulator 287, and the top surface of the insulator 211, and the insulator 284 is in contact with the top surface and side surfaces of the insulator 283. Accordingly, the insulator 212, the insulator 214, the insulator 216, the insulator 222, the insulator 224, the insulator 272, the insulator 273, the insulator 280, the insulator 282, and the insulator 287 including the oxide 230 and the like. Are isolated from the outside by the insulator 283, the insulator 284, and the insulator 211. In other words, the transistor 200 is arranged in a region sealed with the insulator 283 and the insulator 284 and the insulator 211.
 例えば、絶縁体212、絶縁体214、絶縁体287、および絶縁体282を、水素を捕獲および水素を固着する機能を有する材料を用いて形成し、絶縁体211、絶縁体283、および絶縁体284を水素および酸素に対する拡散を抑制する機能を有する材料を用いて形成すると好ましい。代表的には、絶縁体212、絶縁体214、絶縁体287、および絶縁体282としては、酸化アルミニウムを用いることができる。また、代表的には、絶縁体211、絶縁体283、および絶縁体284としては、窒化シリコンを用いることができる。 For example, the insulator 212, the insulator 214, the insulator 287, and the insulator 282 are formed using a material having a function of capturing hydrogen and fixing hydrogen, and the insulator 211, the insulator 283, and the insulator 284. Is preferably formed using a material having a function of suppressing diffusion of hydrogen and oxygen. Typically, aluminum oxide can be used for the insulator 212, the insulator 214, the insulator 287, and the insulator 282. Further, typically, silicon nitride can be used for the insulator 211, the insulator 283, and the insulator 284.
 上記構成にすることで、上記封止された領域外に含まれる水素が、上記封止された領域内に混入することを抑制することができる。 With the above configuration, it is possible to prevent hydrogen contained outside the sealed region from mixing into the sealed region.
 また、図5A乃至図5Dに示すトランジスタ200では、絶縁体211、絶縁体283、および絶縁体284を、単層として設ける構成について示しているが、本発明はこれに限られるものではない。例えば、絶縁体211、絶縁体283、および絶縁体284のそれぞれを2層以上の積層構造として設ける構成にしてもよい。 Although the transistor 200 illustrated in FIGS. 5A to 5D has a structure in which the insulator 211, the insulator 283, and the insulator 284 are provided as a single layer, the present invention is not limited to this. For example, the insulator 211, the insulator 283, and the insulator 284 may each have a stacked structure of two or more layers.
 絶縁体274は、層間膜として機能する。絶縁体274は、絶縁体214よりも誘電率が低いことが好ましい。誘電率が低い材料を層間膜とすることで、配線間に生じる寄生容量を低減することができる。絶縁体274は、例えば、絶縁体280と同様の材料を用いて設けることができる。 The insulator 274 functions as an interlayer film. The insulator 274 preferably has a lower dielectric constant than the insulator 214. By using a material having a low dielectric constant as the interlayer film, it is possible to reduce the parasitic capacitance generated between the wirings. The insulator 274 can be provided using, for example, a material similar to that of the insulator 280.
 また、図5A乃至図5Dに示すトランジスタ200では、酸化物230cと、絶縁体250との間に、酸化物230dを有する。 The transistor 200 illustrated in FIGS. 5A to 5D includes the oxide 230d between the oxide 230c and the insulator 250.
 酸化物230dは、例えば、酸化物230c2と同様の材料を用いて設けることができる。 The oxide 230d can be provided using, for example, the same material as the oxide 230c2.
 また、図5Cに示すように、トランジスタ200の酸化物230cと、当該トランジスタ200に隣接するトランジスタ200の酸化物230cと、を離隔し、トランジスタ200の酸化物230dは、当該トランジスタ200に隣接するトランジスタ200の酸化物230dと連続して設けられた領域を有してもよい。このとき、酸化物230dは、トランジスタ200と、当該トランジスタ200に隣接するトランジスタ200との間に、絶縁体224に接する領域を有する。 5C, the oxide 230c of the transistor 200 and the oxide 230c of the transistor 200 adjacent to the transistor 200 are separated from each other, and the oxide 230d of the transistor 200 is separated from the oxide 230c of the transistor 200. It may have a region continuous with 200 oxide 230d. At this time, the oxide 230d has a region in contact with the insulator 224 between the transistor 200 and the transistor 200 adjacent to the transistor 200.
<半導体装置の作製方法>
 次に、図4A乃至図4Dに示す、本発明の一態様である半導体装置の作製方法を、図6A乃至図15Dを用いて説明する。
<Method for manufacturing semiconductor device>
Next, a method for manufacturing the semiconductor device which is one embodiment of the present invention shown in FIGS. 4A to 4D will be described with reference to FIGS. 6A to 15D.
 図6A、図7A、図8A、図9A、図10A、図11A、図12A、図13A、図14A、および図15Aは上面図を示す。また、図6B、図7B、図8B、図9B、図10B、図11B、図12B、図13B、図14B、および図15Bはそれぞれ、図6A、図7A、図8A、図9A、図10A、図11A、図12A、図13A、図14A、および図15AにA1−A2の一点鎖線で示す部位に対応する断面図であり、トランジスタ200のチャネル長方向の断面図でもある。また、図6C、図7C、図8C、図9C、図10C、図11C、図12C、図13C、図14C、および図15Cはそれぞれ、図6A、図7A、図8A、図9A、図10A、図11A、図12A、図13A、図14A、および図15AにA3−A4の一点鎖線で示す部位に対応する断面図であり、トランジスタ200のチャネル幅方向の断面図でもある。また、図6D、図7D、図8D、図9D、図10D、図11D、図12D、図13D、図14D、および図15Dはそれぞれ、図6A、図7A、図8A、図9A、図10A、図11A、図12A、図13A、図14A、および図15AにA5−A6の一点鎖線で示す部位の断面図である。なお、図6A、図7A、図8A、図9A、図10A、図11A、図12A、図13A、図14A、および図15Aの上面図では、図の明瞭化のために一部の要素を省いている。 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, and 15A show top views. 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B, 14B, and 15B are shown in FIGS. 6A, 7A, 8A, 9A, and 10A, respectively. 11A, FIG. 12A, FIG. 13A, FIG. 14A, and FIG. 15A are cross-sectional views corresponding to the portion indicated by the dashed-dotted line A1-A2 in FIG. 15A, and are also cross-sectional views in the channel length direction of the transistor 200. 6C, 7C, 8C, 9C, 10C, 11C, 12C, 13C, 14C, and 15C are shown in FIGS. 6A, 7A, 8A, 9A, and 10A, respectively. 11A, FIG. 12A, FIG. 13A, FIG. 14A, and FIG. 15A are cross-sectional views corresponding to the portion indicated by dashed-dotted line A3-A4 in FIG. 15A, and are also cross-sectional views in the channel width direction of the transistor 200. 6D, 7D, 8D, 9D, 10D, 11D, 12D, 13D, 14D, and 15D are shown in FIGS. 6A, 7A, 8A, 9A, and 10A, respectively. It is sectional drawing of the site|part shown by the dashed-dotted line of A5-A6 in FIG. 11A, FIG. 12A, FIG. 13A, FIG. 14A, and FIG. 15A. In the top views of FIGS. 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, and 15A, some elements are omitted for clarity. I am
 まず、基板(図示しない。)を準備し、当該基板上に絶縁体211を成膜する。絶縁体211の成膜は、スパッタリング法、CVD法、分子線エピタキシー(MBE:Molecular Beam Epitaxy)法、パルスレーザ堆積(PLD:Pulsed Laser Deposition)法、ALD法などを用いて行うことができる。 First, a substrate (not shown) is prepared, and the insulator 211 is formed on the substrate. The insulator 211 can be formed by a sputtering method, a CVD method, a molecular beam epitaxy (MBE: Molecular Beam Epitaxy) method, a pulsed laser deposition (PLD: Pulsed Laser Deposition) method, an ALD method, or the like.
 なお、CVD法は、プラズマを利用するプラズマCVD(PECVD:Plasma Enhanced CVD)法、熱を利用する熱CVD(TCVD:Thermal CVD)法、光を利用する光CVD(Photo CVD)法などに分類できる。さらに用いる原料ガスによって金属CVD(MCVD:Metal CVD)法、有機金属CVD(MOCVD:Metal Organic CVD)法に分けることができる。 The CVD method can be classified into a plasma CVD method using plasma (PECVD: Plasma Enhanced CVD) method, a thermal CVD method using heat (TCVD: Thermal CVD) method, an optical CVD method using light (Photo CVD) method, and the like. .. Further, it can be divided into a metal CVD (MCVD: Metal CVD) method and a metal organic CVD (MOCVD: Metal Organic CVD) method depending on the raw material gas used.
 プラズマCVD法は、比較的低温で高品質の膜が得られる。また、熱CVD法は、プラズマを用いないため、被処理物へのプラズマダメージを小さくすることが可能な成膜方法である。例えば、半導体装置に含まれる配線、電極、素子(トランジスタ、容量素子など)などは、プラズマから電荷を受け取ることでチャージアップする場合がある。このとき、蓄積した電荷によって、半導体装置に含まれる配線、電極、素子などが破壊される場合がある。一方、プラズマを用いない熱CVD法の場合、こういったプラズマダメージが生じないため、半導体装置の歩留まりを高くすることができる。また、熱CVD法では、成膜中のプラズマダメージが生じないため、欠陥の少ない膜が得られる。 The plasma CVD method can obtain a high quality film at a relatively low temperature. Further, the thermal CVD method is a film forming method which can reduce plasma damage to an object to be processed because plasma is not used. For example, a wiring, an electrode, an element (a transistor, a capacitor, or the like) included in a semiconductor device might be charged up by receiving electric charge from plasma. At this time, the accumulated charges may destroy wirings, electrodes, elements, and the like included in the semiconductor device. On the other hand, in the case of a thermal CVD method that does not use plasma, such plasma damage does not occur, so that the yield of semiconductor devices can be increased. Further, in the thermal CVD method, since plasma damage does not occur during film formation, a film with few defects can be obtained.
 また、ALD法としては、プリカーサ及びリアクタントの反応を熱エネルギーのみで行う熱ALD(Thermal ALD)法、プラズマ励起されたリアクタントを用いるPEALD(Plasma Enhanced ALD)法などを用いることができる。 Further, as the ALD method, a thermal ALD (Thermal ALD) method in which the reaction of the precursor and the reactant is performed only with thermal energy, a PEALD (Plasma Enhanced ALD) method using a plasma-excited reactant, and the like can be used.
 また、ALD法は、原子の性質である自己制御性を利用し、一層ずつ原子を堆積することができるので、極薄の成膜が可能、アスペクト比の高い構造への成膜が可能、ピンホールなどの欠陥の少ない成膜が可能、被覆性に優れた成膜が可能、低温での成膜が可能、などの効果がある。PEALD(Plasma Enhanced ALD)法では、プラズマを利用することで、より低温での成膜が可能となり好ましい場合がある。なお、ALD法で用いるプリカーサには炭素などの不純物を含むものがある。このため、ALD法により設けられた膜は、他の成膜法により設けられた膜と比較して、炭素などの不純物を多く含む場合がある。なお、不純物の定量は、X線光電子分光法(XPS:X−ray Photoelectron Spectroscopy)を用いて行うことができる。 Further, the ALD method utilizes the self-controllability, which is a property of atoms, and can deposit atoms one by one, so that it is possible to form an extremely thin film and to form a film with a high aspect ratio. It is possible to form a film with few defects such as holes, form a film with excellent coverage, and form a film at a low temperature. In the PEALD (Plasma Enhanced ALD) method, it is sometimes preferable that the film can be formed at a lower temperature by using plasma. Note that some precursors used in the ALD method include impurities such as carbon. Therefore, a film formed by the ALD method may contain a large amount of impurities such as carbon as compared with a film formed by another film formation method. The impurities can be quantified by using X-ray photoelectron spectroscopy (XPS: X-ray Photoelectron Spectroscopy).
 CVD法およびALD法は、ターゲットなどから放出される粒子が堆積する成膜方法とは異なり、被処理物の表面における反応により膜が形成される成膜方法である。したがって、被処理物の形状の影響を受けにくく、良好な段差被覆性を有する成膜方法である。特に、ALD法は、優れた段差被覆性と、優れた厚さの均一性を有するため、アスペクト比の高い開口部の表面を被覆する場合などに好適である。ただし、ALD法は、比較的成膜速度が遅いため、成膜速度の速いCVD法などの他の成膜方法と組み合わせて用いることが好ましい場合もある。 The CVD method and the ALD method are film forming methods in which a film is formed by a reaction on the surface of an object to be processed, unlike the film forming method in which particles emitted from a target or the like are deposited. Therefore, the film forming method is not easily affected by the shape of the object to be processed and has a good step coverage. In particular, since the ALD method has excellent step coverage and excellent thickness uniformity, it is suitable for coating the surface of the opening having a high aspect ratio. However, since the ALD method has a relatively low film forming rate, it may be preferable to use it in combination with another film forming method such as a CVD method having a high film forming rate.
 CVD法およびALD法は、原料ガスの流量比によって、得られる膜の組成を制御することができる。例えば、CVD法およびALD法では、原料ガスの流量比によって、任意の組成の膜を成膜することができる。また、例えば、CVD法およびALD法では、成膜しながら原料ガスの流量比を変化させることによって、組成が連続的に変化した膜を成膜することができる。原料ガスの流量比を変化させながら成膜する場合、複数の成膜室を用いて成膜する場合と比べて、搬送や圧力調整に掛かる時間を要さない分、成膜に掛かる時間を短くすることができる。したがって、半導体装置の生産性を高めることができる場合がある。 In the CVD method and the ALD method, the composition of the obtained film can be controlled by the flow rate ratio of the source gas. For example, in the CVD method and the ALD method, a film having an arbitrary composition can be formed depending on the flow rate ratio of the source gas. In addition, for example, in the CVD method and the ALD method, a film whose composition is continuously changed can be formed by changing the flow rate ratio of the source gas during film formation. When film formation is performed while changing the flow rate ratio of the source gas, the time required for transfer and pressure adjustment is shorter than the case where film formation is performed using multiple film formation chambers. can do. Therefore, it may be possible to improve the productivity of the semiconductor device.
 本実施の形態では、絶縁体211として、CVD法によって窒化シリコンを成膜する。 In this embodiment mode, silicon nitride is formed as the insulator 211 by a CVD method.
 次に、絶縁体211上に絶縁体212を成膜する。絶縁体212の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。本実施の形態では、絶縁体212として、スパッタリング法によって窒化シリコンを成膜する。 Next, the insulator 212 is formed over the insulator 211. The insulator 212 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment, a silicon nitride film is formed as the insulator 212 by a sputtering method.
 このように、絶縁体211、および絶縁体212として、窒化シリコンなどの銅が透過しにくい絶縁体を用いることにより、絶縁体211より下層(図示せず。)の導電体に銅など拡散しやすい金属を用いても、当該金属が絶縁体211、および絶縁体212を介して上方に拡散するのを抑制することができる。また、窒化シリコンのように水、水素などの不純物が透過しにくい絶縁体を用いることにより、絶縁体211より下層に含まれる水、水素などの不純物の拡散を抑制することができる。 In this manner, by using an insulator such as silicon nitride in which copper is less likely to permeate as the insulator 211 and the insulator 212, copper or the like is easily diffused into a conductor below the insulator 211 (not shown). Even if a metal is used, it is possible to prevent the metal from diffusing upward through the insulator 211 and the insulator 212. Further, by using an insulator such as silicon nitride in which impurities such as water and hydrogen do not easily pass, diffusion of impurities such as water and hydrogen contained in a layer below the insulator 211 can be suppressed.
 次に、絶縁体212上に絶縁体214を成膜する。絶縁体214の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。本実施の形態では、絶縁体214として、酸化アルミニウムを用いる。 Next, the insulator 214 is formed over the insulator 212. The insulator 214 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment, aluminum oxide is used as the insulator 214.
 絶縁体212の水素濃度は、絶縁体211の水素濃度より低く、絶縁体214の水素濃度は、絶縁体212の水素濃度より低いことが好ましい。絶縁体212としてスパッタリング法によって窒化シリコンを成膜することで、CVD法によって窒化シリコンを成膜する絶縁体211よりも水素濃度が低い窒化シリコンを形成することができる。また、絶縁体214を酸化アルミニウムとすることで、絶縁体212よりも水素濃度を低くすることができる。 The hydrogen concentration of the insulator 212 is preferably lower than the hydrogen concentration of the insulator 211, and the hydrogen concentration of the insulator 214 is preferably lower than the hydrogen concentration of the insulator 212. By depositing silicon nitride as the insulator 212 by a sputtering method, silicon nitride having a lower hydrogen concentration than the insulator 211 that deposits silicon nitride by a CVD method can be formed. When the insulator 214 is aluminum oxide, the hydrogen concentration can be lower than that of the insulator 212.
 この後の工程にて絶縁体214上に、トランジスタ200を形成するが、トランジスタ200に近接する膜は、水素濃度が比較的低いことが好ましく、水素濃度が比較的高い膜は、トランジスタ200から遠隔して配置することが好ましい。 Although the transistor 200 is formed over the insulator 214 in a subsequent step, a film near the transistor 200 preferably has relatively low hydrogen concentration, and a film having relatively high hydrogen concentration is remote from the transistor 200. It is preferable to arrange them.
 次に、絶縁体214上に絶縁体216を成膜する。絶縁体216の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。本実施の形態では、絶縁体216として、酸化シリコンまたは酸化窒化シリコンを用いる。また、絶縁体216は、水素原子が低減または除去されたガスを用いた成膜方法で成膜することが好ましい。これにより、絶縁体216の水素濃度を低減することができる。 Next, the insulator 216 is formed over the insulator 214. The insulator 216 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment, silicon oxide or silicon oxynitride is used as the insulator 216. In addition, the insulator 216 is preferably formed by a film formation method using a gas in which hydrogen atoms are reduced or removed. Accordingly, the hydrogen concentration of the insulator 216 can be reduced.
 次に、絶縁体216に絶縁体214に達する開口を形成する。開口とは、例えば、溝やスリットなども含まれる。また、開口が形成された領域を指して開口部とする場合がある。開口の形成はウェットエッチングを用いてもよいが、ドライエッチングを用いるほうが微細加工には好ましい。また、絶縁体214は、絶縁体216をエッチングして溝を形成する際のエッチングストッパ膜として機能する絶縁体を選択することが好ましい。例えば、溝を形成する絶縁体216に酸化シリコンまたは酸化窒化シリコンを用いた場合は、絶縁体214は窒化シリコン、酸化アルミニウム、酸化ハフニウムを用いるとよい。 Next, an opening reaching the insulator 214 is formed in the insulator 216. The openings include, for example, grooves and slits. In addition, the area where the opening is formed may be referred to as an opening. The openings may be formed by wet etching, but dry etching is preferable for fine processing. As the insulator 214, it is preferable to select an insulator that functions as an etching stopper film when the insulator 216 is etched to form a groove. For example, when silicon oxide or silicon oxynitride is used for the insulator 216 which forms the groove, silicon nitride, aluminum oxide, or hafnium oxide is preferably used for the insulator 214.
 ドライエッチング装置としては、平行平板型電極を有する容量結合型プラズマ(CCP:Capacitively Coupled Plasma)エッチング装置を用いることができる。平行平板型電極を有する容量結合型プラズマエッチング装置は、平行平板型電極の一方の電極に高周波電圧を印加する構成でもよい。または平行平板型電極の一方の電極に複数の異なった高周波電圧を印加する構成でもよい。または平行平板型電極それぞれに同じ周波数の高周波電圧を印加する構成でもよい。または平行平板型電極それぞれに周波数の異なる高周波電圧を印加する構成でもよい。または高密度プラズマ源を有するドライエッチング装置を用いることができる。高密度プラズマ源を有するドライエッチング装置は、例えば、誘導結合型プラズマ(ICP:Inductively Coupled Plasma)エッチング装置などを用いることができる。 As a dry etching device, a capacitively coupled plasma (CCP) etching device having parallel plate electrodes can be used. The capacitively coupled plasma etching apparatus having the parallel plate type electrodes may be configured to apply a high frequency voltage to one of the parallel plate type electrodes. Alternatively, a plurality of different high frequency voltages may be applied to one of the parallel plate electrodes. Alternatively, the high frequency voltage of the same frequency may be applied to each of the parallel plate electrodes. Alternatively, a configuration may be adopted in which high frequency voltages having different frequencies are applied to the parallel plate electrodes. Alternatively, a dry etching apparatus having a high density plasma source can be used. As a dry etching apparatus having a high-density plasma source, for example, an inductively coupled plasma (ICP: Inductively Coupled Plasma) etching apparatus can be used.
 開口の形成後に、導電体205aとなる導電膜を成膜する。該導電膜は、酸素の透過を抑制する機能を有する導電体を含むことが望ましい。たとえば、窒化タンタル、窒化タングステン、窒化チタンなどを用いることができる。または、酸素の透過を抑制する機能を有する導電体と、タンタル、タングステン、チタン、モリブデン、アルミニウム、銅、モリブデンタングステン合金との積層膜とすることができる。該導電膜の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。 After forming the opening, a conductive film to be the conductor 205a is formed. The conductive film preferably contains a conductor having a function of suppressing permeation of oxygen. For example, tantalum nitride, tungsten nitride, titanium nitride, or the like can be used. Alternatively, a stacked film of a conductor having a function of suppressing permeation of oxygen and tantalum, tungsten, titanium, molybdenum, aluminum, copper, or a molybdenum-tungsten alloy can be used. The conductive film can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
 本実施の形態では、導電体205aとなる導電膜を多層構造とする。まず、スパッタリング法によって窒化タンタルを成膜し、当該窒化タンタルの上に窒化チタンを積層する。このような金属窒化物を導電体205bの下層に用いることにより、後述する導電体205bとなる導電膜として銅などの拡散しやすい金属を用いても、当該金属が導電体205aから外に拡散するのを防ぐことができる。 In this embodiment, the conductive film to be the conductor 205a has a multi-layer structure. First, tantalum nitride is deposited by a sputtering method, and titanium nitride is laminated on the tantalum nitride. By using such a metal nitride in the lower layer of the conductor 205b, even if a metal such as copper that easily diffuses is used as a conductive film to be the conductor 205b described later, the metal diffuses out of the conductor 205a. Can be prevented.
 次に、導電体205bとなる導電膜を成膜する。該導電膜の成膜は、メッキ法、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。本実施の形態では、該導電膜として、銅などの低抵抗導電性材料を成膜する。 Next, a conductive film to be the conductor 205b is formed. The conductive film can be formed by a plating method, a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment mode, a low-resistance conductive material such as copper is formed as the conductive film.
 次に、CMP処理を行うことで、導電体205aとなる導電膜、および導電体205bとなる導電膜の一部を除去し、絶縁体216を露出する。その結果、開口部のみに、導電体205aおよび導電体205bが残存する。これにより、上面が平坦な、導電体205を形成することができる(図6A乃至図6C参照。)。なお、当該CMP処理により、絶縁体216の一部が除去される場合がある。 Next, CMP treatment is performed to remove part of the conductive film to be the conductor 205a and the conductive film to be the conductor 205b, so that the insulator 216 is exposed. As a result, the conductors 205a and 205b remain only in the openings. Accordingly, the conductor 205 whose top surface is flat can be formed (see FIGS. 6A to 6C). Note that the insulator 216 may be partly removed by the CMP treatment.
 なお、上記においては、導電体205を絶縁体216の開口に埋め込むように形成したが、本実施の形態はこれに限られるものではない。例えば、絶縁体214上に導電体205を形成し、導電体205上に絶縁体216を成膜し、絶縁体216にCMP処理を行うことで、絶縁体216の一部を除去し、導電体205の表面を露出させればよい。 Although the conductor 205 is formed so as to be embedded in the opening of the insulator 216 in the above, the present embodiment is not limited to this. For example, the conductor 205 is formed over the insulator 214, the insulator 216 is formed over the conductor 205, and the insulator 216 is subjected to CMP treatment, whereby part of the insulator 216 is removed and the conductor 216 is removed. The surface of 205 may be exposed.
 次に、絶縁体216、および導電体205上に絶縁体222を成膜する。絶縁体222として、アルミニウムおよびハフニウムの一方または双方の酸化物を含む絶縁体を成膜するとよい。なお、アルミニウムおよびハフニウムの一方または双方の酸化物を含む絶縁体として、酸化アルミニウム、酸化ハフニウム、アルミニウムおよびハフニウムを含む酸化物(ハフニウムアルミネート)などを用いることが好ましい。アルミニウムおよびハフニウムの一方または双方の酸化物を含む絶縁体は、酸素、水素、および水に対するバリア性を有する。絶縁体222が、水素および水に対するバリア性を有することで、トランジスタ200の周辺に設けられた構造体に含まれる水素、および水が、絶縁体222を通じてトランジスタ200の内側へ拡散することが抑制され、酸化物230中の酸素欠損の生成を抑制することができる。 Next, the insulator 222 is formed over the insulator 216 and the conductor 205. As the insulator 222, an insulator containing one or both oxides of aluminum and hafnium may be formed. As the insulator containing one or both oxides of aluminum and hafnium, it is preferable to use aluminum oxide, hafnium oxide, an oxide containing aluminum and hafnium (hafnium aluminate), or the like. An insulator containing an oxide of one or both of aluminum and hafnium has a barrier property against oxygen, hydrogen, and water. Since the insulator 222 has a barrier property against hydrogen and water, hydrogen and water contained in the structure provided around the transistor 200 are suppressed from diffusing into the inside of the transistor 200 through the insulator 222. The generation of oxygen vacancies in the oxide 230 can be suppressed.
 絶縁体222の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。 The insulator 222 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
 続いて、加熱処理を行うと好ましい。加熱処理は、250℃以上650℃以下、好ましくは300℃以上500℃以下、さらに好ましくは320℃以上450℃以下で行えばよい。なお、加熱処理は、窒素ガスもしくは不活性ガスの雰囲気、または酸化性ガスを10ppm以上、1%以上、もしくは10%以上含む雰囲気で行う。また、加熱処理は減圧状態で行ってもよい。または、加熱処理は、窒素ガスもしくは不活性ガスの雰囲気で加熱処理した後に、脱離した酸素を補うために酸化性ガスを10ppm以上、1%以上、または10%以上含む雰囲気で加熱処理を行ってもよい。 Next, it is preferable to perform heat treatment. The heat treatment may be performed at 250 °C to 650 °C inclusive, preferably 300 °C to 500 °C inclusive, and more preferably 320 °C to 450 °C inclusive. Note that the heat treatment is performed in an atmosphere of nitrogen gas or an inert gas, or an atmosphere containing an oxidizing gas in an amount of 10 ppm or higher, 1% or higher, or 10% or higher. The heat treatment may be performed under reduced pressure. Alternatively, the heat treatment is performed in an atmosphere of nitrogen gas or an inert gas, and then in an atmosphere containing an oxidizing gas at 10 ppm or more, 1% or more, or 10% or more in order to supplement desorbed oxygen. May be.
 本実施の形態では、加熱処理として、絶縁体222の成膜後に窒素雰囲気にて400℃の温度で1時間の処理を行った後に、連続して酸素雰囲気にて400℃の温度で1時間の処理を行う。当該加熱処理によって、絶縁体222に含まれる水、水素などの不純物を除去することなどができる。また、加熱処理は、絶縁体224の成膜後などのタイミングで行うこともできる。 In this embodiment mode, as the heat treatment, after the insulator 222 is formed, the treatment is performed in a nitrogen atmosphere at a temperature of 400° C. for one hour, and then continuously in an oxygen atmosphere at a temperature of 400° C. for one hour. Perform processing. By the heat treatment, impurities such as water and hydrogen contained in the insulator 222 can be removed. Further, the heat treatment can be performed at a timing after the insulator 224 is formed.
 次に、絶縁体222上に絶縁体224を成膜する。絶縁体224の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。本実施の形態では、絶縁体224として、CVD法によって酸化シリコンまたは酸化窒化シリコンを成膜する。絶縁体224は、水素原子が低減または除去されたガスを用いた成膜方法で成膜することが好ましい。これにより、絶縁体224の水素濃度を低減することができる。絶縁体224は、後の工程で酸化物230aと接する絶縁体224となるので、このように水素濃度が低減されていることが好適である。 Next, the insulator 224 is formed over the insulator 222. The insulator 224 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment, as the insulator 224, silicon oxide or silicon oxynitride is formed by a CVD method. The insulator 224 is preferably formed by a film formation method using a gas in which hydrogen atoms are reduced or removed. Accordingly, the hydrogen concentration of the insulator 224 can be reduced. Since the insulator 224 becomes the insulator 224 that is in contact with the oxide 230a in a later step, it is preferable that the hydrogen concentration be reduced in this manner.
 ここで、絶縁体224に過剰酸素領域を形成するために、減圧状態で酸素を含むプラズマ処理を行ってもよい。酸素を含むプラズマ処理は、例えばマイクロ波を用いた高密度プラズマを発生させる電源を有する装置を用いることが好ましい。または、基板側にRF(Radio Frequency)を印加する電源を有してもよい。高密度プラズマを用いることより、高密度の酸素ラジカルを生成することができ、基板側にRFを印加することで、高密度プラズマによって生成された酸素ラジカルを効率よく絶縁体224内に導くことができる。または、この装置を用いて不活性ガスを含むプラズマ処理を行った後に、脱離した酸素を補うために酸素を含むプラズマ処理を行ってもよい。なお、当該プラズマ処理の条件を適宜選択することにより、絶縁体224に含まれる水、水素などの不純物を除去することができる。その場合、加熱処理は行わなくてもよい。 Here, in order to form an excess oxygen region in the insulator 224, plasma treatment containing oxygen may be performed under reduced pressure. For the plasma treatment containing oxygen, it is preferable to use an apparatus having a power source for generating high-density plasma using microwaves, for example. Alternatively, the substrate side may have a power source for applying RF (Radio Frequency). By using high-density plasma, high-density oxygen radicals can be generated, and by applying RF to the substrate side, oxygen radicals generated by high-density plasma can be efficiently introduced into the insulator 224. it can. Alternatively, plasma treatment containing an inert gas may be performed using this apparatus, and then plasma treatment containing oxygen may be performed to supplement desorbed oxygen. Note that impurities such as water and hydrogen contained in the insulator 224 can be removed by selecting appropriate conditions for the plasma treatment. In that case, heat treatment may not be performed.
 ここで、絶縁体224上に、例えば、スパッタリング法によって、酸化アルミニウムを成膜した後、絶縁体224に達するまで、CMP処理を行ってもよい。当該CMP処理を行うことで絶縁体224表面の平坦化および平滑化を行うことができる。当該酸化アルミニウムを絶縁体224上に配置してCMP処理を行うことで、CMP処理の終点検出が容易となる。また、CMP処理によって、絶縁体224の一部が研磨されて、絶縁体224の膜厚が薄くなることがあるが、絶縁体224の成膜時に膜厚を調整すればよい。絶縁体224表面の平坦化および平滑化を行うことで、後に成膜する酸化物の被覆率の悪化を防止し、半導体装置の歩留りの低下を防ぐことができる場合がある。また、絶縁体224上に、スパッタリング法によって、酸化アルミニウムを成膜することにより、絶縁体224に酸素を添加することができるので好ましい。 Here, after forming an aluminum oxide film on the insulator 224 by, for example, a sputtering method, CMP treatment may be performed until the insulator 224 is reached. By performing the CMP treatment, the surface of the insulator 224 can be planarized and smoothed. By disposing the aluminum oxide on the insulator 224 and performing the CMP process, the end point of the CMP process can be easily detected. Although part of the insulator 224 may be polished by the CMP treatment to reduce the thickness of the insulator 224, the thickness may be adjusted when the insulator 224 is formed. By planarizing and smoothing the surface of the insulator 224, deterioration of the coverage of an oxide film to be formed later can be prevented in some cases and reduction in the yield of semiconductor devices can be prevented. In addition, oxygen can be added to the insulator 224 by depositing aluminum oxide over the insulator 224 by a sputtering method, which is preferable.
 次に、絶縁体224上に、酸化膜230A、酸化膜230Bを順に成膜する(図6A乃至図6D参照。)。なお、酸化膜230Aおよび酸化膜230Bは、大気環境にさらさずに連続して成膜することが好ましい。大気開放せずに成膜することで、酸化膜230A、および酸化膜230B上に大気環境からの不純物または水分が付着することを防ぐことができ、酸化膜230Aと酸化膜230Bとの界面近傍を清浄に保つことができる。 Next, an oxide film 230A and an oxide film 230B are sequentially formed over the insulator 224 (see FIGS. 6A to 6D). Note that the oxide film 230A and the oxide film 230B are preferably formed continuously without being exposed to the atmospheric environment. By forming the film without exposing it to the atmosphere, impurities or moisture from the atmospheric environment can be prevented from adhering to the oxide film 230A and the oxide film 230B, and the vicinity of the interface between the oxide film 230A and the oxide film 230B can be prevented. Can be kept clean.
 酸化膜230A、および酸化膜230Bの成膜はスパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。 The oxide film 230A and the oxide film 230B can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
 例えば、酸化膜230A、および酸化膜230Bをスパッタリング法によって成膜する場合は、スパッタリングガスとして酸素、または、酸素と希ガスの混合ガスを用いる。スパッタリングガスに含まれる酸素の割合を高めることで、成膜される酸化膜中の過剰酸素を増やすことができる。また、上記の酸化膜をスパッタリング法によって成膜する場合は、上記のIn−M−Zn酸化物ターゲットなどを用いることができる。 For example, when the oxide film 230A and the oxide film 230B are formed by a sputtering method, oxygen or a mixed gas of oxygen and a rare gas is used as a sputtering gas. By increasing the proportion of oxygen contained in the sputtering gas, excess oxygen in the formed oxide film can be increased. When the above oxide film is formed by the sputtering method, the above In-M-Zn oxide target or the like can be used.
 特に、酸化膜230Aの成膜時に、スパッタリングガスに含まれる酸素の一部が絶縁体224に供給される場合がある。したがって、当該スパッタリングガスに含まれる酸素の割合は70%以上、好ましくは80%以上、より好ましくは100%とすればよい。 In particular, part of oxygen contained in the sputtering gas may be supplied to the insulator 224 when the oxide film 230A is formed. Therefore, the proportion of oxygen contained in the sputtering gas may be 70% or higher, preferably 80% or higher, more preferably 100%.
 また、酸化膜230Bをスパッタリング法で形成する場合、スパッタリングガスに含まれる酸素の割合を、30%を超えて100%以下、好ましくは70%以上100%以下として成膜すると、酸素過剰型の酸化物半導体が形成される。酸素過剰型の酸化物半導体をチャネル形成領域に用いたトランジスタは、比較的高い信頼性が得られる。ただし、本発明の一態様はこれに限定されない。酸化膜230Bをスパッタリング法で形成する場合、スパッタリングガスに含まれる酸素の割合を1%以上30%以下、好ましくは5%以上20%以下として成膜すると、酸素欠乏型の酸化物半導体が形成される。酸素欠乏型の酸化物半導体をチャネル形成領域に用いたトランジスタは、比較的高い電界効果移動度が得られる。また、基板を加熱しながら成膜を行うことによって、当該酸化膜の結晶性を向上させることができる。 In the case where the oxide film 230B is formed by a sputtering method, if the proportion of oxygen contained in the sputtering gas is greater than 30% and 100% or less, preferably 70% or more and 100% or less, oxygen-excessive oxidation is performed. A physical semiconductor is formed. A transistor including an oxygen-excess type oxide semiconductor in a channel formation region has relatively high reliability. However, one embodiment of the present invention is not limited to this. When the oxide film 230B is formed by a sputtering method, if the proportion of oxygen contained in the sputtering gas is 1% to 30% inclusive, preferably 5% to 20% inclusive, an oxygen-deficient oxide semiconductor is formed. It A transistor including an oxygen-deficient oxide semiconductor in a channel formation region can have relatively high field-effect mobility. Further, by forming the film while heating the substrate, the crystallinity of the oxide film can be improved.
 本実施の形態では、酸化膜230Aとして、スパッタリング法によって、In:Ga:Zn=1:3:4[原子数比]の酸化物ターゲットを用いて成膜する。また、酸化膜230Bとして、スパッタリング法によって、In:Ga:Zn=4:2:4.1[原子数比]の酸化物ターゲットを用いて成膜する。なお、各酸化膜は、成膜条件、および原子数比を適宜選択することで、酸化物230a、および酸化物230bに求める特性に合わせて形成するとよい。 In this embodiment, the oxide film 230A is formed by a sputtering method using an oxide target of In:Ga:Zn=1:3:4 [atomic ratio]. Further, the oxide film 230B is formed by a sputtering method using an oxide target of In:Ga:Zn=4:2:4.1 [atomic ratio]. Note that each oxide film may be formed in accordance with characteristics required for the oxide 230a and the oxide 230b by appropriately selecting film formation conditions and atomic ratios.
 次に、酸化膜230B上に酸化膜243Aを成膜する(図6A乃至図6D参照)。酸化膜243Aの成膜はスパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。酸化膜243Aは、Inに対するGaの原子数比が、酸化膜230BのInに対するGaの原子数比より大きいことが好ましい。本実施の形態では、酸化膜243Aとして、スパッタリング法によって、In:Ga:Zn=1:3:4[原子数比]の酸化物ターゲットを用いて成膜する。 Next, an oxide film 243A is formed on the oxide film 230B (see FIGS. 6A to 6D). The oxide film 243A can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. The oxide film 243A preferably has an atomic ratio of Ga to In that is larger than an atomic ratio of Ga to In of the oxide film 230B. In this embodiment, the oxide film 243A is formed by a sputtering method using an oxide target of In:Ga:Zn=1:3:4 [atomic ratio].
 なお、絶縁体222、絶縁体224、酸化膜230A、酸化膜230B、および酸化膜243Aを、大気に暴露することなく成膜することが好ましい。例えば、マルチチャンバー方式の成膜装置を用いればよい。 Note that it is preferable that the insulator 222, the insulator 224, the oxide film 230A, the oxide film 230B, and the oxide film 243A be formed without being exposed to the air. For example, a multi-chamber deposition apparatus may be used.
 次に、加熱処理を行ってもよい。当該加熱処理は、上述した加熱処理条件を用いることができる。当該加熱処理によって、酸化膜230A、酸化膜230B、および酸化膜243A中の水、水素などの不純物を除去することなどができる。本実施の形態では、窒素雰囲気にて400℃の温度で1時間の処理を行った後に、連続して酸素雰囲気にて400℃の温度で1時間の処理を行う。 Next, heat treatment may be performed. The heat treatment conditions described above can be used for the heat treatment. By the heat treatment, impurities such as water and hydrogen in the oxide film 230A, the oxide film 230B, and the oxide film 243A can be removed. In this embodiment mode, after a treatment at a temperature of 400° C. for 1 hour in a nitrogen atmosphere, a treatment at a temperature of 400° C. for 1 hour is continuously performed in an oxygen atmosphere.
 次に、酸化膜243A上に導電膜242Aを成膜する(図6A乃至図6D参照。)。導電膜242Aの成膜はスパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。なお、導電膜242Aの成膜前に、加熱処理を行ってもよい。当該加熱処理は、減圧下で行い、大気に暴露することなく、連続して導電膜242Aを成膜してもよい。このような処理を行うことによって、酸化膜243Aの表面などに吸着している水分および水素を除去し、さらに酸化膜230A、酸化膜230B、および酸化膜243A中の水分濃度および水素濃度を低減させることができる。加熱処理の温度は、100℃以上400℃以下が好ましい。本実施の形態では、加熱処理の温度を200℃とする。 Next, a conductive film 242A is formed over the oxide film 243A (see FIGS. 6A to 6D). The conductive film 242A can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. Note that heat treatment may be performed before the formation of the conductive film 242A. The heat treatment may be performed under reduced pressure, and the conductive film 242A may be continuously formed without being exposed to the air. By performing such a treatment, moisture and hydrogen adsorbed on the surface of the oxide film 243A or the like is removed, and the moisture concentration and hydrogen concentration in the oxide film 230A, the oxide film 230B, and the oxide film 243A are further reduced. be able to. The temperature of the heat treatment is preferably 100°C or higher and 400°C or lower. In this embodiment mode, the temperature of the heat treatment is 200° C.
 次に、リソグラフィー法を用いて、酸化膜230A、酸化膜230B、酸化膜243A、および導電膜242Aを島状に加工して、酸化物230a、酸化物230b、酸化物層243B、および導電層242Bを形成する(図7A乃至図7D参照。)。また、当該加工はドライエッチング法やウェットエッチング法を用いることができる。ドライエッチング法による加工は微細加工に適している。また、酸化膜230A、酸化膜230B、酸化膜243A、および導電膜242Aの加工は、それぞれ異なる条件で加工してもよい。なお、当該工程において、絶縁体224の酸化物230aと重ならない領域の膜厚が薄くなることがある。 Next, the oxide film 230A, the oxide film 230B, the oxide film 243A, and the conductive film 242A are processed into an island shape by a lithography method to form the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B. Are formed (see FIGS. 7A to 7D). Further, a dry etching method or a wet etching method can be used for the processing. Processing by the dry etching method is suitable for fine processing. Further, the oxide film 230A, the oxide film 230B, the oxide film 243A, and the conductive film 242A may be processed under different conditions. Note that in this step, the thickness of a region of the insulator 224 which does not overlap with the oxide 230a may be thin.
 なお、リソグラフィー法では、まず、マスクを介してレジストを露光する。次に、露光された領域を、現像液を用いて除去または残存させてレジストマスクを形成する。次に、当該レジストマスクを介してエッチング処理することで導電体、半導体、絶縁体などを所望の形状に加工することができる。例えば、KrFエキシマレーザ光、ArFエキシマレーザ光、EUV(Extreme Ultraviolet)光などを用いて、レジストを露光することでレジストマスクを形成すればよい。また、基板と投影レンズとの間に液体(例えば水)を満たして露光する、液浸技術を用いてもよい。また、前述した光に代えて、電子ビームやイオンビームを用いてもよい。なお、電子ビームやイオンビームを用いる場合には、マスクは不要となる。なお、レジストマスクは、アッシングなどのドライエッチング処理を行う、ウェットエッチング処理を行う、ドライエッチング処理後にウェットエッチング処理を行う、またはウェットエッチング処理後にドライエッチング処理を行うことで、除去することができる。 In the lithography method, first, the resist is exposed through a mask. Next, the exposed area is removed or left with a developing solution to form a resist mask. Next, the conductor, the semiconductor, the insulator, and the like can be processed into a desired shape by etching through the resist mask. For example, the resist mask may be formed by exposing the resist using KrF excimer laser light, ArF excimer laser light, EUV (Extreme Ultraviolet) light, or the like. Also, an immersion technique may be used in which a liquid (for example, water) is filled between the substrate and the projection lens to perform exposure. Further, an electron beam or an ion beam may be used instead of the above-mentioned light. If an electron beam or an ion beam is used, no mask is needed. Note that the resist mask can be removed by performing dry etching treatment such as ashing, performing wet etching treatment, performing wet etching treatment after dry etching treatment, or performing dry etching treatment after wet etching treatment.
 また、レジストマスクの代わりに絶縁体や導電体からなるハードマスクを用いてもよい。ハードマスクを用いる場合、導電膜242A上にハードマスク材料となる絶縁膜や導電膜を形成し、その上にレジストマスクを形成し、ハードマスク材料をエッチングすることで所望の形状のハードマスクを形成することができる。導電膜242Aのエッチングは、レジストマスクを除去してから行っても良いし、レジストマスクを残したまま行っても良い。後者の場合、エッチング中にレジストマスクが消失することがある。導電膜242Aのエッチング後にハードマスクをエッチングにより除去しても良い。一方、ハードマスクの材料が後工程に影響が無い、あるいは後工程で利用できる場合、必ずしもハードマスクを除去する必要は無い。 Also, a hard mask made of an insulator or a conductor may be used instead of the resist mask. When a hard mask is used, an insulating film or a conductive film serving as a hard mask material is formed over the conductive film 242A, a resist mask is formed thereover, and the hard mask material is etched to form a hard mask having a desired shape. can do. The etching of the conductive film 242A may be performed after removing the resist mask, or may be performed with the resist mask left. In the latter case, the resist mask may disappear during etching. After etching the conductive film 242A, the hard mask may be removed by etching. On the other hand, if the material of the hard mask does not affect the post-process or can be used in the post-process, it is not always necessary to remove the hard mask.
 ここで、酸化物230a、酸化物230b、酸化物層243B、および導電層242Bは、少なくとも一部が導電体205と重なるように形成する。また、酸化物230a、酸化物230b、酸化物層243B、および導電層242Bの側面は、絶縁体222の上面に対し、概略垂直であることが好ましい。酸化物230a、酸化物230b、酸化物層243B、および導電層242Bの側面が、絶縁体222の上面に対し、概略垂直であることで、複数のトランジスタ200を設ける際に、小面積化、高密度化が可能となる。または、酸化物230a、酸化物230b、酸化物層243B、および導電層242Bの側面と、絶縁体222の上面とのなす角が低い角度になる構成にしてもよい。その場合、酸化物230a、酸化物230b、酸化物層243B、および導電層242Bの側面と、絶縁体222の上面とのなす角は60度以上70度未満が好ましい。この様な形状とすることで、これより後の工程において、絶縁体272などの被覆性が向上し、鬆などの欠陥を低減することができる。 Here, the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B are formed so that at least part of them overlaps with the conductor 205. In addition, the side surfaces of the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B are preferably substantially perpendicular to the top surface of the insulator 222. Since the side surfaces of the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B are substantially perpendicular to the top surface of the insulator 222, the area and the size of the transistor 200 can be reduced when the plurality of transistors 200 are provided. Densification is possible. Alternatively, the angle between the side surface of the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B and the top surface of the insulator 222 may be low. In that case, the angle formed by the side surfaces of the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B and the upper surface of the insulator 222 is preferably greater than or equal to 60 degrees and less than 70 degrees. With such a shape, the coverage with the insulator 272 and the like can be improved and defects such as voids can be reduced in the subsequent steps.
 また、導電層242Bの側面と導電層242Bの上面との間に、湾曲面を有する。つまり、当該側面の端部と当該上面の端部は、湾曲していることが好ましい。湾曲面は、例えば、導電層242Bの端部において、曲率半径が、3nm以上10nm以下、好ましくは、5nm以上6nm以下とする。端部に角を有さないことで、以降の成膜工程における膜の被覆性が向上する。 Moreover, a curved surface is provided between the side surface of the conductive layer 242B and the upper surface of the conductive layer 242B. That is, it is preferable that the end of the side surface and the end of the upper surface are curved. The curved surface has, for example, a radius of curvature of 3 nm or more and 10 nm or less, preferably 5 nm or more and 6 nm or less at the end portion of the conductive layer 242B. By not having the corners at the ends, the coverage of the film in the subsequent film forming process is improved.
 次に、絶縁体224、酸化物230a、酸化物230b、酸化物層243B、および導電層242Bの上に、絶縁体272を成膜する(図8B乃至図8D参照。)。絶縁体272の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。本実施の形態では、絶縁体272として、スパッタリング法によって、酸化アルミニウムを成膜する。スパッタリング法によって、酸化アルミニウムを成膜することで、絶縁体224へ酸素を注入することができる。 Next, an insulator 272 is formed over the insulator 224, the oxide 230a, the oxide 230b, the oxide layer 243B, and the conductive layer 242B (see FIGS. 8B to 8D). The insulator 272 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment, a film of aluminum oxide is formed as the insulator 272 by a sputtering method. By forming an aluminum oxide film by a sputtering method, oxygen can be injected into the insulator 224.
 次に、絶縁体272上に絶縁体273を成膜する。絶縁体273の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。実施の形態では、絶縁体273として、スパッタリング法によって、窒化シリコンを成膜する(図8B乃至図8D参照)。 Next, the insulator 273 is formed over the insulator 272. The insulator 273 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In the embodiment, as the insulator 273, silicon nitride is formed by a sputtering method (see FIGS. 8B to 8D).
 次に、絶縁体273上に、絶縁体280となる絶縁膜を成膜する。当該絶縁膜の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。例えば、当該絶縁膜として、スパッタリング法を用いて酸化シリコン膜を成膜し、その上にPEALD法または熱ALD法を用いて酸化シリコン膜を成膜すればよい。また、当該絶縁膜は、水素原子が低減または除去されたガスを用いた成膜方法で成膜することが好ましい。これにより、絶縁体280の水素濃度を低減することができる。なお、当該絶縁膜の成膜前に、加熱処理を行ってもよい。加熱処理は、減圧下で行い、大気に暴露することなく、連続して当該絶縁膜を成膜してもよい。このような処理を行うことによって、絶縁体273の表面などに吸着している水分および水素を除去し、さらに酸化物230a、酸化物230b、酸化物層243B、および絶縁体224中の水分濃度および水素濃度を低減させることができる。上述した加熱処理条件を用いることができる。 Next, an insulating film to be the insulator 280 is formed over the insulator 273. The insulating film can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. For example, as the insulating film, a silicon oxide film may be formed by a sputtering method and a silicon oxide film may be formed thereover by a PEALD method or a thermal ALD method. Further, the insulating film is preferably formed by a film formation method using a gas in which hydrogen atoms are reduced or removed. Accordingly, the hydrogen concentration of the insulator 280 can be reduced. Note that heat treatment may be performed before the formation of the insulating film. The heat treatment may be performed under reduced pressure, and the insulating film may be continuously formed without being exposed to the air. By performing such a treatment, moisture and hydrogen adsorbed on the surface of the insulator 273 and the like are removed, and the moisture concentration in the oxide 230a, the oxide 230b, the oxide layer 243B, and the insulator 224 and The hydrogen concentration can be reduced. The heat treatment conditions described above can be used.
 次に、上記絶縁膜にCMP処理を行い、上面が平坦な絶縁体280を形成する(図8B乃至図8D参照。)。なお、絶縁体224と同様に、絶縁体280上に、例えば、スパッタリング法によって、酸化アルミニウムを成膜し、絶縁体280に達するまで、CMP処理を行ってもよい。 Next, CMP treatment is performed on the insulating film to form an insulator 280 having a flat upper surface (see FIGS. 8B to 8D). Note that similarly to the insulator 224, aluminum oxide may be formed over the insulator 280 by, for example, a sputtering method, and CMP treatment may be performed until the insulator 280 is reached.
 ここで、マイクロ波処理を行ってもよい。マイクロ波処理は、酸素を含む雰囲気下、および減圧下にて行うことが好ましい。マイクロ波処理を行うことにより、マイクロ波による電界が絶縁体280、酸化物230b、酸化物230aなどに与えられ、酸化物230b、および酸化物230a中のVHを酸素欠損(V)と水素(H)に分断することができる。この時分断された水素の一部は、絶縁体280が有する酸素と結合して、水分子として除去される場合がある。また、水素の一部は、絶縁体272および絶縁体273を介して、導電体242にゲッタリングされる場合がある。 Here, microwave treatment may be performed. The microwave treatment is preferably performed in an atmosphere containing oxygen and under reduced pressure. By performing the microwave treatment, the electric field insulator 280 by microwave, oxides 230b, given such an oxide 230a, oxides 230b, and an oxygen deficient V O H in the oxide 230a and (V O) It can be divided into hydrogen (H). At this time, a part of the hydrogen separated may be combined with oxygen contained in the insulator 280 to be removed as a water molecule. In addition, part of hydrogen may be gettered to the conductor 242 through the insulator 272 and the insulator 273.
 また、マイクロ波処理後に減圧状態を保ったままで、加熱処理を行ってもよい。このような処理を行うことで、絶縁体280、酸化物230b、および酸化物230a中の水素を効率よく除去することができる。なお、加熱処理温度は、300℃以上、500℃以下とすることが好ましい。 Alternatively, the heat treatment may be performed while maintaining the reduced pressure state after the microwave treatment. By performing such treatment, hydrogen in the insulator 280, the oxide 230b, and the oxide 230a can be efficiently removed. The heat treatment temperature is preferably 300° C. or higher and 500° C. or lower.
 また、マイクロ波処理を行うことにより、絶縁体280の膜質を改質することで、水素、水、不純物などの拡散を抑制することができる。したがって、絶縁体280形成以降の後工程、または熱処理などにより、絶縁体280を介して、水素、水、不純物などが、酸化物230へ拡散することを抑制することができる。 Further, by performing microwave treatment, the film quality of the insulator 280 can be modified, so that diffusion of hydrogen, water, impurities, and the like can be suppressed. Therefore, hydrogen, water, impurities, and the like can be prevented from diffusing into the oxide 230 through the insulator 280 by a post-process after the formation of the insulator 280, a heat treatment, or the like.
 次に、絶縁体280の一部、絶縁体273の一部、絶縁体272の一部、導電層242Bの一部、および酸化物層243Bの一部を加工して、酸化物230bに達する開口を形成する。当該開口は、導電体205と重なるように形成することが好ましい。当該開口の形成によって、導電体242a、導電体242b、酸化物243a、および酸化物243bを形成する(図9A乃至図9D参照。)。 Next, part of the insulator 280, part of the insulator 273, part of the insulator 272, part of the conductive layer 242B, and part of the oxide layer 243B are processed to reach the oxide 230b. To form. The opening is preferably formed so as to overlap with the conductor 205. The conductor 242a, the conductor 242b, the oxide 243a, and the oxide 243b are formed by forming the opening (see FIGS. 9A to 9D).
 なお、上記開口を形成する際に、酸化物230bの上部がわずかに除去される場合がある。酸化物230bの一部が除去されることで、酸化物230bに溝部が形成される。当該溝部の深さによっては、当該溝部を、上記開口の形成工程で形成してもよいし、上記開口の形成工程と異なる工程で形成してもよい。 Note that the upper portion of the oxide 230b may be slightly removed when forming the opening. A groove is formed in the oxide 230b by removing part of the oxide 230b. Depending on the depth of the groove, the groove may be formed in the step of forming the opening or in a step different from the step of forming the opening.
 また、絶縁体280の一部、絶縁体273の一部、絶縁体272の一部、導電層242Bの一部、酸化物層243Bの一部、および酸化物230bの一部の加工は、ドライエッチング法、またはウェットエッチング法を用いることができる。ドライエッチング法による加工は微細加工に適している。また、当該加工は、それぞれ異なる条件で加工してもよい。例えば、絶縁体280の一部をドライエッチング法で加工し、絶縁体273の一部をウェットエッチング法で加工し、絶縁体272の一部をドライエッチング法で加工し、酸化物層243Bの一部、導電層242Bの一部、および酸化物230bの一部をドライエッチング法で加工してもよい。また、酸化物層243Bの一部および導電層242Bの一部の加工と、酸化物230bの一部の加工とは、異なる条件で行ってもよい。 In addition, part of the insulator 280, part of the insulator 273, part of the insulator 272, part of the conductive layer 242B, part of the oxide layer 243B, and part of the oxide 230b are dry. An etching method or a wet etching method can be used. Processing by the dry etching method is suitable for fine processing. Further, the processing may be performed under different conditions. For example, part of the insulator 280 is processed by a dry etching method, part of the insulator 273 is processed by a wet etching method, part of the insulator 272 is processed by a dry etching method, and one of the oxide layers 243B is processed. The portion, a part of the conductive layer 242B, and a part of the oxide 230b may be processed by a dry etching method. Further, part of the oxide layer 243B and part of the conductive layer 242B may be processed under different conditions from part of the oxide 230b.
 これまでのドライエッチングなどの処理を行うことによって、エッチングガスなどに起因した不純物が酸化物230a、酸化物230bなどの表面に付着または内部に拡散することがある。不純物としては、例えば、フッ素、塩素などがある。 By performing the conventional dry etching or the like, impurities caused by the etching gas or the like may adhere to the surface of the oxide 230a or the oxide 230b or diffuse into the surface. Examples of impurities include fluorine and chlorine.
 上記の不純物などを除去するために、洗浄処理を行う。洗浄方法としては、洗浄液など用いたウェット洗浄、プラズマを用いたプラズマ処理、熱処理による洗浄などがあり、上記洗浄を適宜組み合わせて行ってもよい。 -Perform a cleaning process to remove the above impurities. Examples of the cleaning method include wet cleaning using a cleaning liquid, plasma treatment using plasma, cleaning by heat treatment, and the like, and the above cleaning may be performed in appropriate combination.
 ウェット洗浄としては、アンモニア水、シュウ酸、リン酸、フッ化水素酸などを炭酸水または純水で希釈した水溶液、純水、炭酸水などを用いて洗浄処理を行ってもよい。また、これらの水溶液、純水、または炭酸水を用いた超音波洗浄を行ってもよい。また、これらの洗浄を適宜組み合わせて行ってもよい。 As the wet cleaning, cleaning treatment may be performed using an aqueous solution of ammonia water, oxalic acid, phosphoric acid, hydrofluoric acid, etc. diluted with carbonated water or pure water, pure water, or carbonated water. Further, ultrasonic cleaning using these aqueous solutions, pure water, or carbonated water may be performed. In addition, these washings may be combined appropriately.
 このとき、当該開口と重なり、かつ酸化物230bと重ならない領域の、絶縁体224の膜厚が薄くなる場合がある。 At this time, the film thickness of the insulator 224 may be thin in a region which overlaps with the opening and does not overlap with the oxide 230b.
 これまでドライエッチングなどの加工、または上記洗浄処理によって、上記開口と重なり、かつ酸化物230bと重ならない領域の、絶縁体224の膜厚が、酸化物230bと重なる領域の、絶縁体224の膜厚より薄くなる場合がある。 A film of the insulator 224 in a region where the film thickness of the insulator 224 overlaps with the oxide 230b and does not overlap with the oxide 230b by a process such as dry etching or the above cleaning treatment. It may be thinner than the thickness.
 次に、酸化膜230Cを成膜する(図10A乃至図10D参照)。酸化膜230Cの成膜前に加熱処理を行ってもよく、当該加熱処理は、減圧下で行い、大気に暴露することなく、連続して酸化膜230Cを成膜することが好ましい。また、当該加熱処理は、酸素を含む雰囲気で行うことが好ましい。このような処理を行うことによって、酸化物230bの表面などに吸着している水分および水素を除去し、さらに酸化物230aおよび酸化物230b中の水分濃度および水素濃度を低減させることができる。加熱処理の温度は、100℃以上400℃以下が好ましい。本実施の形態では、加熱処理の温度を200℃とする。 Next, an oxide film 230C is formed (see FIGS. 10A to 10D). Heat treatment may be performed before the oxide film 230C is formed, and the heat treatment is preferably performed under reduced pressure and the oxide film 230C is continuously formed without being exposed to the air. Further, the heat treatment is preferably performed in an atmosphere containing oxygen. By performing such treatment, moisture and hydrogen adsorbed on the surface of the oxide 230b or the like can be removed, and the moisture concentration and the hydrogen concentration in the oxide 230a and the oxide 230b can be further reduced. The temperature of the heat treatment is preferably 100°C or higher and 400°C or lower. In this embodiment mode, the temperature of the heat treatment is 200° C.
 ここで、酸化膜230Cは、少なくとも酸化物230bに形成された溝部の内壁、酸化物243の側面の一部、導電体242の側面の一部、絶縁体272の側面の一部、絶縁体273の側面の一部、および絶縁体280の側面の一部と接するように設けられることが好ましい。導電体242は、酸化物243、絶縁体272、絶縁体273、および酸化膜230Cに囲まれることで、以降の工程において導電体242の酸化による導電率の低下を抑制することができる。 Here, the oxide film 230C includes at least the inner wall of the groove formed in the oxide 230b, part of the side surface of the oxide 243, part of the side surface of the conductor 242, part of the side surface of the insulator 272, and the insulator 273. Is preferably provided so as to be in contact with a part of the side surface of the insulator 280 and a part of the side surface of the insulator 280. Since the conductor 242 is surrounded by the oxide 243, the insulator 272, the insulator 273, and the oxide film 230C, the decrease in conductivity due to the oxidation of the conductor 242 in the subsequent steps can be suppressed.
 酸化膜230Cの成膜はスパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。酸化物230cに求める特性に合わせて、酸化膜230A、または酸化膜230Bと同様の成膜方法を用いて、酸化膜230Cを成膜すればよい。本実施の形態では、酸化膜230Cとして、スパッタリング法によって、In:Ga:Zn=5:1:3[原子数比]の酸化物ターゲット、In:Ga:Zn=10:1:3[原子数比]の酸化物ターゲット、またはインジウム酸化物ターゲットを用いて成膜する。 The oxide film 230C can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. The oxide film 230C may be formed by a film formation method similar to that of the oxide film 230A or the oxide film 230B depending on the characteristics required for the oxide 230c. In this embodiment, as the oxide film 230C, an oxide target of In:Ga:Zn=5:1:3 [atomic ratio], In:Ga:Zn=10:1:3 [atomic number] is formed by a sputtering method. [Ratio] oxide target or indium oxide target.
 なお、酸化膜230Cは、積層としてもよい。例えば、スパッタリング法によって、In:Ga:Zn=5:1:3[原子数比]の酸化物ターゲット、In:Ga:Zn=10:1:3[原子数比]の酸化物ターゲット、またはインジウム酸化物ターゲットを用いて成膜して、連続してIn:Ga:Zn=1:3:4[原子数比]の酸化物ターゲットを用いて成膜してもよい。 Note that the oxide film 230C may be a laminated layer. For example, an oxide target of In:Ga:Zn=5:1:3 [atomic ratio], In:Ga:Zn=10:1:3 [atomic ratio], or indium is formed by a sputtering method. The oxide target may be used for film formation, and the oxide target of In:Ga:Zn=1:3:4 [atomic ratio] may be continuously used for film formation.
 酸化膜230Cの成膜時に、スパッタリングガスに含まれる酸素の一部が酸化物230aおよび酸化物230bに供給される場合がある。または、酸化膜230Cの成膜時に、スパッタリングガスに含まれる酸素の一部が絶縁体280に供給される場合がある。したがって、酸化膜230Cのスパッタリングガスに含まれる酸素の割合は70%以上、好ましくは80%以上、より好ましくは100%とすればよい。 When forming the oxide film 230C, part of oxygen contained in the sputtering gas may be supplied to the oxide 230a and the oxide 230b. Alternatively, part of oxygen contained in the sputtering gas may be supplied to the insulator 280 when the oxide film 230C is formed. Therefore, the proportion of oxygen contained in the sputtering gas of the oxide film 230C may be 70% or higher, preferably 80% or higher, more preferably 100%.
 次に、酸化膜230C上に、リソグラフィー法により、マスクを形成する。なお、当該マスクとして、ハードマスクを用いてもよいし、レジストマスクを用いてもよい。 Next, a mask is formed on the oxide film 230C by a lithography method. Note that a hard mask or a resist mask may be used as the mask.
 次に、上記マスクを用いて、酸化膜230Cの一部を選択的に除去する。なお、酸化膜230Cの一部は、ウェットエッチング法などを用いて除去するとよい。本工程により、チャネル幅方向に隣接するトランジスタ200の間に位置する酸化膜230Cの一部を除去することができる。 Next, part of the oxide film 230C is selectively removed using the mask. Note that part of the oxide film 230C may be removed by a wet etching method or the like. Through this step, part of the oxide film 230C located between the transistors 200 adjacent in the channel width direction can be removed.
 なお、上記工程により、酸化膜230Cの一部が除去された領域では、絶縁体224の表面、絶縁体280の表面が露出する。このとき、当該領域の、絶縁体224の膜厚および絶縁体280の膜厚が薄くなる場合がある。また、当該領域の絶縁体224が除去され、絶縁体222の表面が露出する場合がある。また、上記マスクを形成する工程は、酸化膜230Cの一部を除去する工程を兼ねていてもよい。 Note that the surface of the insulator 224 and the surface of the insulator 280 are exposed in the region where part of the oxide film 230C is removed by the above process. At this time, the thickness of the insulator 224 and the thickness of the insulator 280 in the region may be thin. Further, the insulator 224 in the region may be removed and the surface of the insulator 222 may be exposed. The step of forming the mask may also serve as the step of removing a part of the oxide film 230C.
 次に、上記マスクを除去する(図11A、図11Cおよび図11D参照。)。なお、上記マスクは、エッチング法などを用いて除去するとよい。 Next, the mask is removed (see FIGS. 11A, 11C and 11D). Note that the mask may be removed by an etching method or the like.
 次に絶縁膜250Aを成膜する(図12A乃至図12D参照)。絶縁膜250Aの成膜前に加熱処理を行ってもよく、当該加熱処理は、減圧下で行い、大気に暴露することなく、連続して絶縁膜250Aを成膜してもよい。また、当該加熱処理は、酸素を含む雰囲気で行うことが好ましい。このような処理を行うことによって、酸化膜230Cの表面などに吸着している水分および水素を除去し、さらに酸化物230a、酸化物230b、および酸化膜230C中の水分濃度および水素濃度を低減させることができる。加熱処理の温度は、100℃以上400℃以下が好ましい。 Next, the insulating film 250A is formed (see FIGS. 12A to 12D). Heat treatment may be performed before the formation of the insulating film 250A, and the heat treatment may be performed under reduced pressure and the insulating film 250A may be continuously formed without being exposed to the air. Further, the heat treatment is preferably performed in an atmosphere containing oxygen. By performing such a treatment, moisture and hydrogen adsorbed on the surface of the oxide film 230C or the like are removed, and moisture concentration and hydrogen concentration in the oxide 230a, the oxide 230b, and the oxide film 230C are further reduced. be able to. The temperature of the heat treatment is preferably 100°C or higher and 400°C or lower.
 絶縁膜250Aは、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて成膜することができる。また、絶縁膜250Aは、水素原子が低減または除去されたガスを用いた成膜方法で成膜することが好ましい。これにより、絶縁膜250Aの水素濃度を低減することができる。絶縁膜250Aは、後の工程で酸化物230cと接する絶縁体250となるので、このように水素濃度が低減されていることが好適である。 The insulating film 250A can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. The insulating film 250A is preferably formed by a film forming method using a gas in which hydrogen atoms are reduced or removed. Accordingly, the hydrogen concentration of the insulating film 250A can be reduced. Since the insulating film 250A becomes the insulator 250 that is in contact with the oxide 230c in a later step, it is preferable that the hydrogen concentration be reduced in this manner.
 なお、絶縁体250を2層の積層構造とする場合、絶縁体250の下層となる絶縁膜および絶縁体250の上層となる絶縁膜は、大気環境にさらさずに連続して成膜することが好ましい。大気開放せずに成膜することで、絶縁体250の下層となる絶縁膜、および絶縁体250の上層となる絶縁膜上に大気環境からの不純物または水分が付着することを防ぐことができ、絶縁体250の下層となる絶縁膜と絶縁体250の上層となる絶縁膜との界面近傍を清浄に保つことができる。 Note that when the insulator 250 has a two-layer stacked structure, the insulating film which is a lower layer of the insulator 250 and the insulating film which is an upper layer of the insulator 250 may be formed successively without being exposed to an atmospheric environment. preferable. By forming the film without exposing to the atmosphere, it is possible to prevent impurities or moisture from the atmospheric environment from adhering to the insulating film which is the lower layer of the insulator 250 and the insulating film which is the upper layer of the insulator 250. The vicinity of the interface between the insulating film which is the lower layer of the insulator 250 and the insulating film which is the upper layer of the insulator 250 can be kept clean.
 ここで、絶縁膜250Aを成膜後に、酸素を含む雰囲気下、および減圧下にて、マイクロ波処理を行ってもよい。マイクロ波処理を行うことにより、マイクロ波による電界が絶縁膜250A、酸化膜230C、酸化物230b、酸化物230aなどに与えられ、酸化膜230C中、酸化物230b中、および酸化物230a中のVHをVと水素とに分断することができる。この時分断された水素の一部は、酸素と結合してHOとして、絶縁膜250A、酸化膜230C、酸化物230b、および酸化物230aから除去される場合がある。また、水素の一部は、導電体242(導電体242a、および導電体242b)にゲッタリングされる場合がある。このように、マイクロ波処理を行うことで、絶縁膜250A中、酸化膜230C中、酸化物230b中、および酸化物230a中の水素濃度を低減することができる。また、酸化物230a中、酸化物230b中、および酸化膜230C中のVHをVと水素とに分断した後に存在しうるVに酸素が供給されることでVを修復することができる。 Here, after forming the insulating film 250A, microwave treatment may be performed in an atmosphere containing oxygen and under reduced pressure. By performing microwave treatment, an electric field due to microwaves is applied to the insulating film 250A, the oxide film 230C, the oxide 230b, the oxide 230a, and the like, so that V in the oxide film 230C, the oxide 230b, and the oxide 230a is reduced. OH can be divided into V 2 O and hydrogen. At this time, part of the hydrogen which is separated may be combined with oxygen and converted into H 2 O, which is removed from the insulating film 250A, the oxide film 230C, the oxide 230b, and the oxide 230a. In addition, part of hydrogen may be gettered to the conductor 242 (the conductor 242a and the conductor 242b). By thus performing the microwave treatment, the hydrogen concentration in the insulating film 250A, the oxide film 230C, the oxide 230b, and the oxide 230a can be reduced. In addition, oxygen is supplied to V O that may exist after the V O H in the oxide 230a, the oxide 230b, and V O H in the oxide film 230C is divided into V O and hydrogen, so that V O is restored. You can
 また、マイクロ波処理後に減圧状態を保ったままで、加熱処理を行ってもよい。このような処理を行うことで、絶縁膜250A中、酸化膜230C中、酸化物230b中、および酸化物230a中の水素を効率よく除去することができる。また、水素の一部は、導電体242(導電体242a、および導電体242b)にゲッタリングされる場合がある。または、マイクロ波処理後に減圧状態を保ったままで、加熱処理を行うステップを複数回繰り返して行ってもよい。加熱処理を繰り返し行うことで、絶縁膜250A中、酸化膜230C中、酸化物230b中、および酸化物230a中の水素をさらに効率よく除去することができる。なお、加熱処理温度は、300℃以上500℃以下とすることが好ましい。 Alternatively, the heat treatment may be performed while maintaining the reduced pressure state after the microwave treatment. By performing such a treatment, hydrogen in the insulating film 250A, the oxide film 230C, the oxide 230b, and the oxide 230a can be efficiently removed. In addition, part of hydrogen may be gettered to the conductor 242 (the conductor 242a and the conductor 242b). Alternatively, the step of performing heat treatment may be repeated a plurality of times while maintaining the reduced pressure state after the microwave treatment. By repeating the heat treatment, hydrogen in the insulating film 250A, the oxide film 230C, the oxide 230b, and the oxide 230a can be removed more efficiently. Note that the heat treatment temperature is preferably higher than or equal to 300 °C and lower than or equal to 500 °C.
 また、マイクロ波処理を行うことにより、絶縁膜250Aの膜質を改質することで、水素、水、不純物等の拡散を抑制することができる。従って、導電体260となる導電膜の成膜などの後工程、または熱処理などの後処理により、絶縁体250を介して、水素、水、不純物等が、酸化物230b、酸化物230aなどへ拡散することを抑制することができる。 Further, by performing microwave treatment, the quality of the insulating film 250A is modified, so that diffusion of hydrogen, water, impurities, and the like can be suppressed. Therefore, hydrogen, water, impurities, or the like diffuse into the oxide 230b, the oxide 230a, or the like through the insulator 250 by a post-process such as formation of a conductive film to be the conductor 260 or a post-treatment such as heat treatment. Can be suppressed.
 次に、導電膜260A、導電膜260Bを順に成膜する(図13A乃至図13D参照。)。導電膜260Aおよび導電膜260Bの成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。本実施の形態では、ALD法を用いて導電膜260Aを成膜し、CVD法を用いて導電膜260Bを成膜する。 Next, a conductive film 260A and a conductive film 260B are sequentially formed (see FIGS. 13A to 13D). The conductive films 260A and 260B can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment mode, the conductive film 260A is formed by an ALD method, and the conductive film 260B is formed by a CVD method.
 次に、CMP処理によって、酸化膜230C、絶縁膜250A、導電膜260A、および導電膜260Bを絶縁体280が露出するまで研磨することによって、酸化物230c、絶縁体250、および導電体260(導電体260a、および導電体260b)を形成する(図14A乃至図14D参照。)。これにより、酸化物230cは、酸化物230bに達する開口および酸化物230bの溝部の内壁(側壁、および底面)を覆うように配置される。また、絶縁体250は、酸化物230cを介して、上記開口および上記溝部の内壁を覆うように配置される。また、導電体260は、酸化物230c、および絶縁体250を介して、上記開口および上記溝部を埋め込むように配置される。 Next, the oxide film 230C, the insulating film 250A, the conductive film 260A, and the conductive film 260B are polished by CMP treatment until the insulator 280 is exposed. The body 260a and the conductor 260b) are formed (see FIGS. 14A to 14D). Accordingly, the oxide 230c is arranged so as to cover the opening reaching the oxide 230b and the inner wall (side wall and bottom surface) of the groove portion of the oxide 230b. The insulator 250 is arranged so as to cover the opening and the inner wall of the groove via the oxide 230c. In addition, the conductor 260 is arranged so as to fill the opening and the groove portion with the oxide 230c and the insulator 250 interposed therebetween.
 次に、加熱処理を行ってもよい。本実施の形態では、窒素雰囲気にて400℃の温度で1時間の処理を行う。該加熱処理によって、絶縁体250および絶縁体280中の水分濃度および水素濃度を低減させることができる。なお、上記加熱処理後、大気に曝すことなく連続して、絶縁体282の成膜を行ってもよい。 Next, heat treatment may be performed. In this embodiment mode, the treatment is performed at a temperature of 400° C. for one hour in a nitrogen atmosphere. By the heat treatment, moisture concentration and hydrogen concentration in the insulator 250 and the insulator 280 can be reduced. Note that after the heat treatment, the insulator 282 may be continuously formed without being exposed to the air.
 次に、酸化物230c上、絶縁体250上、導電体260上、および絶縁体280上に、絶縁体282を形成する(図15B乃至図15D参照。)。絶縁体282の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。絶縁体282としては、例えば、スパッタリング法によって、酸化アルミニウムを成膜することが好ましい。スパッタリング法を用いて、酸素を含む雰囲気で絶縁体282の成膜を行うことで、成膜しながら、絶縁体280に酸素を添加することができる。このとき、基板加熱を行いながら、絶縁体282を成膜することが好ましい。また、導電体260の上面に接して、絶縁体282を形成することで、この後の加熱処理において、絶縁体280が有する酸素が導電体260へ吸収されることを抑制することができるので好ましい。 Next, an insulator 282 is formed over the oxide 230c, the insulator 250, the conductor 260, and the insulator 280 (see FIGS. 15B to 15D). The insulator 282 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. As the insulator 282, for example, an aluminum oxide film is preferably formed by a sputtering method. By forming the insulator 282 in an atmosphere containing oxygen by a sputtering method, oxygen can be added to the insulator 280 while forming the film. At this time, it is preferable to form the insulator 282 while heating the substrate. In addition, by forming the insulator 282 in contact with the top surface of the conductor 260, oxygen contained in the insulator 280 can be prevented from being absorbed by the conductor 260 in heat treatment performed later, which is preferable. ..
 次に、絶縁体282上に絶縁体283を成膜する(図15B乃至図15D参照。)。絶縁体283の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。絶縁体283として、窒化シリコン、または窒化酸化シリコンを成膜することが好ましい。また、絶縁体283は、多層としてもよい。例えば、スパッタリング法を用いて、窒化シリコンを成膜し、当該窒化シリコン上に、CVD法を用いて窒化シリコンを成膜してもよい。 Next, the insulator 283 is formed over the insulator 282 (see FIGS. 15B to 15D). The insulator 283 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. As the insulator 283, silicon nitride or silicon nitride oxide is preferably formed. Further, the insulator 283 may have a multi-layer structure. For example, a silicon nitride film may be formed by a sputtering method, and a silicon nitride film may be formed by a CVD method over the silicon nitride.
 次に、加熱処理を行ってもよい。本実施の形態では、窒素雰囲気にて400℃の温度で1時間の処理を行う。当該加熱処理によって、絶縁体282の成膜によって添加された酸素を絶縁体280へ拡散させ、さらに酸化物230cを介して、酸化物230a、および酸化物230bへ供給することができる。なお、当該加熱処理は、絶縁体283の成膜後に限らず、絶縁体282の成膜後に行ってもよい。 Next, heat treatment may be performed. In this embodiment mode, the treatment is performed at a temperature of 400° C. for one hour in a nitrogen atmosphere. By the heat treatment, oxygen added by forming the insulator 282 can be diffused into the insulator 280 and further supplied to the oxide 230a and the oxide 230b through the oxide 230c. Note that the heat treatment is not limited to after the insulator 283 is formed, and may be performed after the insulator 282 is formed.
 次に絶縁体283上に、絶縁体284を成膜してもよい。絶縁体284の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。絶縁体284としては、例えば、スパッタリング法によって、窒化シリコンを成膜することが好ましい。 Next, the insulator 284 may be formed over the insulator 283. The insulator 284 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. As the insulator 284, for example, a silicon nitride film is preferably formed by a sputtering method.
 次に、絶縁体272、絶縁体273、絶縁体280、絶縁体282、絶縁体283、および絶縁体284に、導電体242aおよび導電体242bに達する開口を形成する。当該開口の形成は、リソグラフィー法を用いて行えばよい。 Next, openings are formed in the insulator 272, the insulator 273, the insulator 280, the insulator 282, the insulator 283, and the insulator 284 to reach the conductor 242a and the conductor 242b. The opening may be formed by using a lithography method.
 次に、絶縁体241(絶縁体241a、および絶縁体241b)となる絶縁膜を成膜し、当該絶縁膜を異方性エッチングして絶縁体241を形成する。当該絶縁膜の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。当該絶縁膜としては、酸素の透過を抑制する機能を有する絶縁膜を用いることが好ましい。例えば、PEALD法を用いて、窒化シリコン膜を成膜することが好ましい。窒化シリコンは水素に対するブロッキング性が高いので好ましい。 Next, an insulating film to be the insulator 241 (the insulator 241a and the insulator 241b) is formed, and the insulating film is anisotropically etched to form the insulator 241. The insulating film can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. As the insulating film, an insulating film having a function of suppressing permeation of oxygen is preferably used. For example, it is preferable to form a silicon nitride film by using the PEALD method. Silicon nitride is preferable because it has a high blocking property against hydrogen.
 また、絶縁体241となる絶縁膜の異方性エッチングとしては、例えばドライエッチング法などを用いればよい。開口の側壁部に絶縁体241を設けることで、外方からの酸素の透過を抑制し、次に形成する導電体240aおよび導電体240bの酸化を防止することができる。また、導電体240aおよび導電体240bから、水、水素などの不純物が外部に拡散することを防ぐことができる。 As the anisotropic etching of the insulating film that becomes the insulator 241, for example, a dry etching method may be used. By providing the insulator 241 on the sidewall portion of the opening, permeation of oxygen from the outside can be suppressed and oxidation of the conductor 240a and the conductor 240b which are formed next can be prevented. Further, impurities such as water and hydrogen can be prevented from diffusing outside from the conductor 240a and the conductor 240b.
 次に、導電体240aおよび導電体240bとなる導電膜を成膜する。当該導電膜は、水、水素など不純物の透過を抑制する機能を有する導電体を含む積層構造とすることが望ましい。たとえば、窒化タンタル、窒化チタンなどと、タングステン、モリブデン、銅など、と、の積層とすることができる。当該導電膜の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。 Next, a conductive film to be the conductor 240a and the conductor 240b is formed. The conductive film preferably has a stacked-layer structure including a conductor having a function of suppressing permeation of impurities such as water and hydrogen. For example, a stacked layer of tantalum nitride, titanium nitride, or the like and tungsten, molybdenum, copper, or the like can be used. The conductive film can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
 次に、CMP処理を行うことで、導電体240aおよび導電体240bとなる導電膜の一部を除去し、絶縁体284を露出する。その結果、上記開口のみに、当該導電膜が残存することで上面が平坦な導電体240aおよび導電体240bを形成することができる(図4A乃至図4D参照。)。なお、当該CMP処理により、絶縁体284の一部が除去される場合がある。 Next, CMP treatment is performed to remove part of the conductive films to be the conductors 240a and 240b and expose the insulator 284. As a result, the conductor 240a and the conductor 240b whose top surfaces are flat can be formed by leaving the conductive film only in the openings (see FIGS. 4A to 4D). Note that part of the insulator 284 may be removed by the CMP treatment.
 次に、導電体246となる導電膜を成膜する。当該導電膜の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。 Next, a conductive film to be the conductor 246 is formed. The conductive film can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.
 次に、導電体246となる導電膜をリソグラフィー法によって加工し、導電体240aの上面と接する導電体246a、および導電体240bの上面と接する導電体246bを形成する(図4A乃至図4D参照。)。このとき、導電体246aおよび導電体246bと、絶縁体284とが重ならない領域の絶縁体284が除去されることがある。 Next, the conductive film to be the conductor 246 is processed by a lithography method to form the conductor 246a in contact with the top surface of the conductor 240a and the conductor 246b in contact with the top surface of the conductor 240b (see FIGS. 4A to 4D). ). At this time, the insulator 284 in a region where the conductor 246a and the conductor 246b do not overlap with the insulator 284 may be removed.
 次に、導電体246上、および絶縁体284上に、絶縁体286を成膜する(図4A乃至図4D参照)。絶縁体286の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。また、絶縁体286は、多層としてもよい。例えば、スパッタリング法を用いて、窒化シリコンを成膜し、当該窒化シリコン上に、CVD法を用いて窒化シリコンを成膜してもよい。 Next, an insulator 286 is formed over the conductor 246 and the insulator 284 (see FIGS. 4A to 4D). The insulator 286 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. Further, the insulator 286 may have a multi-layer structure. For example, a silicon nitride film may be formed by a sputtering method, and a silicon nitride film may be formed by a CVD method over the silicon nitride.
 以上により、図4A乃至図4Dに示すトランジスタ200を有する半導体装置を作製することができる。図6A乃至図15Dに示すように、本実施の形態に示す半導体装置の作製方法を用いることで、トランジスタ200を作製することができる。 Through the above steps, a semiconductor device including the transistor 200 illustrated in FIGS. 4A to 4D can be manufactured. As illustrated in FIGS. 6A to 15D, the transistor 200 can be manufactured by using the method for manufacturing the semiconductor device described in this embodiment.
<半導体装置の応用例>
 以下では、図16Aおよび図16Bを用いて、先の<半導体装置の構成例>および先の<半導体装置の変形例>で示したものとは異なる、本発明の一態様に係るトランジスタ200を有する半導体装置の一例について説明する。なお、図16Aおよび図16Bに示す半導体装置において、<<半導体装置の変形例1>>に示した半導体装置(図4A乃至図4D参照。)を構成する構造と同機能を有する構造には、同符号を付記する。なお、本項目において、トランジスタ200の構成材料については<半導体装置の構成例>および<半導体装置の変形例>で詳細に説明した材料を用いることができる。
<Application example of semiconductor device>
In the following, a transistor 200 according to one embodiment of the present invention, which is different from the above-described <Structural example of semiconductor device> and <Modified example of semiconductor device> described above with reference to FIGS. 16A and 16B, is included. An example of a semiconductor device will be described. Note that in the semiconductor device illustrated in FIGS. 16A and 16B, a structure having the same function as the structure of the semiconductor device (see FIGS. 4A to 4D) illustrated in <<Modification 1 of semiconductor device>> is The same symbols are added. Note that in this item, as the constituent material of the transistor 200, the materials described in detail in <Structure example of semiconductor device> and <Modification example of semiconductor device> can be used.
 図16Aおよび図16Bに、複数のトランジスタ(トランジスタ200_1乃至トランジスタ200_n)を、絶縁体283と絶縁体211で、包括して封止した構成について示す。なお、図16Aおよび図16Bにおいて、トランジスタ200_1乃至トランジスタ200_nは、チャネル長方向に並んでいるように見えるが、これにかぎられるものではない。トランジスタ200_1乃至トランジスタ200_nは、チャネル幅方向に並んでいてもよいし、マトリクス状に配置されていてもよい。また、設計に応じて、規則性を持たずに配置されていてもよい。 16A and 16B show a structure in which a plurality of transistors (transistors 200_1 to 200_n) are collectively sealed with an insulator 283 and an insulator 211. Note that although the transistors 200_1 to 200_n appear to be aligned in the channel length direction in FIGS. 16A and 16B, the invention is not limited thereto. The transistors 200_1 to 200_n may be arranged in the channel width direction or may be arranged in matrix. Further, they may be arranged without regularity depending on the design.
 図16Aに示すように、複数のトランジスタ(トランジスタ200_1乃至トランジスタ200_n)の外側において、絶縁体283と絶縁体211が接する部分(以下、封止部265と呼ぶ場合がある。)が形成されている。封止部265は、複数のトランジスタ(トランジスタ群ともいう。)を囲むように形成されている。このような構造にすることで、複数のトランジスタを絶縁体283と絶縁体211で包み込むことができる。よって封止部265に囲まれたトランジスタ群が、基板上に複数設けられることになる。 As illustrated in FIG. 16A, outside the plurality of transistors (transistors 200_1 to 200_n), a portion where the insulator 283 and the insulator 211 are in contact with each other (hereinafter, may be referred to as a sealing portion 265) is formed. .. The sealing portion 265 is formed so as to surround a plurality of transistors (also referred to as a transistor group). With such a structure, a plurality of transistors can be wrapped with the insulator 283 and the insulator 211. Therefore, a plurality of transistor groups surrounded by the sealing portion 265 are provided on the substrate.
 また、封止部265に重ねてダイシングライン(スクライブライン、分断ライン、又は切断ラインと呼ぶ場合がある)を設けてもよい。上記基板はダイシングラインにおいて分断されるので、封止部265に囲まれたトランジスタ群が1チップとして取り出されることになる。 Further, a dicing line (may be referred to as a scribe line, a dividing line, or a cutting line) may be provided so as to overlap the sealing portion 265. Since the substrate is divided in the dicing line, the transistor group surrounded by the sealing portion 265 is taken out as one chip.
 また、図16Aでは、複数のトランジスタ(トランジスタ200_1乃至トランジスタ200_n)を一つの封止部265で囲む例について示したが、これに限られるものではない。図16Bに示すように、複数のトランジスタを複数の封止部で囲む構成にしてもよい。図16Bでは、複数のトランジスタを封止部265aで囲み、さらに外側の封止部265bでも囲む構成にしている。 16A shows an example in which a plurality of transistors (transistors 200_1 to 200_n) are enclosed by one sealing portion 265, the present invention is not limited to this. As shown in FIG. 16B, a plurality of transistors may be surrounded by a plurality of sealing portions. In FIG. 16B, the plurality of transistors are surrounded by the sealing portion 265a and further surrounded by the outer sealing portion 265b.
 このように、複数の封止部で複数のトランジスタ(トランジスタ200_1乃至トランジスタ200_n)を囲む構成にすることで、絶縁体283と絶縁体211が接する部分が増えるので、絶縁体283と絶縁体211の密着性をより向上させることができる。これにより、より確実に複数のトランジスタを封止することができる。 By thus surrounding a plurality of transistors (transistors 200_1 to 200_n) with a plurality of sealing portions, a portion where the insulator 283 and the insulator 211 are in contact with each other is increased; thus, the insulator 283 and the insulator 211 are separated from each other. The adhesiveness can be further improved. Thereby, a plurality of transistors can be sealed more reliably.
 この場合、封止部265aまたは封止部265bに重ねてダイシングラインを設けてもよいし、封止部265aと封止部265bの間にダイシングラインを設けてもよい。 In this case, the dicing line may be provided so as to overlap the sealing portion 265a or the sealing portion 265b, or the dicing line may be provided between the sealing portion 265a and the sealing portion 265b.
 本発明の一態様により、オン電流が大きい半導体装置を提供することができる。また、本発明の一態様により、トランジスタ特性のばらつきが少ない半導体装置を提供することができる。また、本発明の一態様により、信頼性が良好な半導体装置を提供することができる。また、本発明の一態様により、良好な電気特性を有する半導体装置を提供することができる。また、本発明の一態様により、微細化または高集積化が可能な半導体装置を提供することができる。また、本発明の一態様により、低消費電力の半導体装置を提供することができる。 According to one embodiment of the present invention, a semiconductor device with high on-state current can be provided. Further, according to one embodiment of the present invention, a semiconductor device with less variation in transistor characteristics can be provided. Further, according to one embodiment of the present invention, a highly reliable semiconductor device can be provided. Further, according to one embodiment of the present invention, a semiconductor device having favorable electric characteristics can be provided. Further, according to one embodiment of the present invention, a semiconductor device which can be miniaturized or highly integrated can be provided. Further, according to one embodiment of the present invention, a semiconductor device with low power consumption can be provided.
 以上、本実施の形態に示す構成、方法などは、他の実施の形態に示す構成、方法などと適宜組み合わせて用いることができる。 As described above, the structures, methods, and the like described in this embodiment can be combined with the structures, methods, and the like described in other embodiments as appropriate.
(実施の形態2)
 本実施の形態では、半導体装置の一形態を、図17乃至図23を用いて説明する。
(Embodiment 2)
In this embodiment, one mode of a semiconductor device will be described with reference to FIGS.
[記憶装置1]
 本発明の一態様に係る半導体装置(記憶装置)の一例を図17に示す。本発明の一態様の半導体装置は、トランジスタ200はトランジスタ300の上方に設けられ、容量素子100はトランジスタ300、およびトランジスタ200の上方に設けられている。なお、トランジスタ200として、先の実施の形態で説明したトランジスタ200を用いることができる。
[Memory device 1]
FIG. 17 illustrates an example of a semiconductor device (memory device) according to one embodiment of the present invention. In the semiconductor device of one embodiment of the present invention, the transistor 200 is provided above the transistor 300 and the capacitor 100 is provided above the transistor 300 and the transistor 200. Note that the transistor 200 described in any of the above embodiments can be used as the transistor 200.
 トランジスタ200は、酸化物半導体を有する半導体層にチャネルが形成されるトランジスタである。トランジスタ200は、オフ電流が小さいため、これを記憶装置に用いることにより長期にわたり記憶内容を保持することが可能である。つまり、リフレッシュ動作を必要としない、あるいは、リフレッシュ動作の頻度が極めて少ないため、記憶装置の消費電力を十分に低減することができる。 The transistor 200 is a transistor in which a channel is formed in a semiconductor layer including an oxide semiconductor. Since the off-state current of the transistor 200 is small, the stored content can be held for a long time by using the transistor 200 in a memory device. That is, the refresh operation is not required or the frequency of the refresh operation is extremely low, so that the power consumption of the memory device can be sufficiently reduced.
 図17に示す半導体装置において、配線1001はトランジスタ300のソースと電気的に接続され、配線1002はトランジスタ300のドレインと電気的に接続されている。また、配線1003はトランジスタ200のソースおよびドレインの一方と電気的に接続され、配線1004はトランジスタ200の第1のゲートと電気的に接続され、配線1006はトランジスタ200の第2のゲートと電気的に接続されている。そして、トランジスタ300のゲート、およびトランジスタ200のソースおよびドレインの他方は、容量素子100の電極の一方と電気的に接続され、配線1005は容量素子100の電極の他方と電気的に接続されている。 In the semiconductor device illustrated in FIG. 17, the wiring 1001 is electrically connected to the source of the transistor 300 and the wiring 1002 is electrically connected to the drain of the transistor 300. The wiring 1003 is electrically connected to one of a source and a drain of the transistor 200, the wiring 1004 is electrically connected to a first gate of the transistor 200, and the wiring 1006 is electrically connected to a second gate of the transistor 200. It is connected to the. The gate of the transistor 300 and the other of the source and the drain of the transistor 200 are electrically connected to one of the electrodes of the capacitor 100 and the wiring 1005 is electrically connected to the other of the electrodes of the capacitor 100. ..
 また、図17に示す記憶装置は、マトリクス状に配置することで、メモリセルアレイを構成することができる。 The memory devices shown in FIG. 17 can be arranged in a matrix to form a memory cell array.
<トランジスタ300>
 トランジスタ300は、基板311上に設けられ、ゲートとして機能する導電体316、ゲート絶縁体として機能する絶縁体315、基板311の一部からなる半導体領域313、およびソース領域またはドレイン領域として機能する低抵抗領域314a、および低抵抗領域314bを有する。トランジスタ300は、pチャネル型、あるいはnチャネル型のいずれでもよい。
<Transistor 300>
The transistor 300 is provided over the substrate 311 and includes a conductor 316 which functions as a gate, an insulator 315 which functions as a gate insulator, a semiconductor region 313 which is a part of the substrate 311, and a low region which functions as a source region or a drain region. It has a resistance region 314a and a low resistance region 314b. The transistor 300 may be either a p-channel type or an n-channel type.
 ここで、図17に示すトランジスタ300はチャネルが形成される半導体領域313(基板311の一部)が凸形状を有する。また、半導体領域313の側面および上面を、絶縁体315を介して、導電体316が覆うように設けられている。なお、導電体316は仕事関数を調整する材料を用いてもよい。このようなトランジスタ300は半導体基板の凸部を利用していることからFIN型トランジスタとも呼ばれる。なお、凸部の上部に接して、凸部を形成するためのマスクとして機能する絶縁体を有していてもよい。また、ここでは半導体基板の一部を加工して凸部を形成する場合を示したが、SOI基板を加工して凸形状を有する半導体膜を形成してもよい。 Here, in the transistor 300 illustrated in FIG. 17, a semiconductor region 313 (a part of the substrate 311) in which a channel is formed has a convex shape. Further, the side surface and the upper surface of the semiconductor region 313 are provided so as to cover the conductor 316 with the insulator 315 interposed therebetween. Note that the conductor 316 may be formed using a material whose work function is adjusted. Such a transistor 300 is also called a FIN-type transistor because it uses a convex portion of a semiconductor substrate. Note that an insulator which functions as a mask for forming the protrusion may be provided in contact with the top of the protrusion. Further, although the case where a part of the semiconductor substrate is processed to form the convex portion is shown here, the SOI substrate may be processed to form a semiconductor film having a convex shape.
 なお、図17に示すトランジスタ300は一例であり、その構造に限定されず、回路構成や駆動方法に応じて適切なトランジスタを用いればよい。 Note that the transistor 300 illustrated in FIG. 17 is an example, and the structure is not limited thereto, and an appropriate transistor may be used depending on a circuit configuration or a driving method.
<容量素子100>
 容量素子100は、トランジスタ200の上方に設けられる。容量素子100は、第1の電極として機能する導電体110と、第2の電極として機能する導電体120と、誘電体として機能する絶縁体130とを有する。ここで、絶縁体130は、上記実施の形態に示す絶縁体286として用いることができる絶縁体を用いることが好ましい。
<Capacitance element 100>
The capacitor 100 is provided above the transistor 200. The capacitor 100 includes a conductor 110 that functions as a first electrode, a conductor 120 that functions as a second electrode, and an insulator 130 that functions as a dielectric. Here, the insulator 130 is preferably an insulator that can be used as the insulator 286 described in the above embodiment.
 また、例えば、導電体246上に設けた導電体112と、導電体110は、同時に形成することができる。なお、導電体112は、容量素子100、トランジスタ200、またはトランジスタ300と電気的に接続するプラグ、または配線としての機能を有する。 Further, for example, the conductor 112 provided on the conductor 246 and the conductor 110 can be formed at the same time. Note that the conductor 112 has a function as a plug or a wiring which is electrically connected to the capacitor 100, the transistor 200, or the transistor 300.
 図17では、導電体112、および導電体110は単層構造を示したが、当該構成に限定されず、2層以上の積層構造でもよい。例えば、バリア性を有する導電体と導電性が高い導電体との間に、バリア性を有する導電体、および導電性が高い導電体に対して密着性が高い導電体を形成してもよい。 Although the conductor 112 and the conductor 110 each have a single-layer structure in FIG. 17, the structure is not limited to this and may have a stacked structure of two or more layers. For example, a conductor having a barrier property and a conductor having high adhesion to the conductor having high conductivity may be formed between the conductor having barrier property and the conductor having high conductivity.
 また、絶縁体130は、例えば、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、酸化アルミニウム、酸化窒化アルミニウム、窒化酸化アルミニウム、窒化アルミニウム、酸化ハフニウム、酸化窒化ハフニウム、窒化酸化ハフニウム、窒化ハフニウムなどを用いればよく、積層または単層で設けることができる。 The insulator 130 is, for example, silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, aluminum oxide, aluminum oxynitride, aluminum nitride oxide, aluminum nitride, hafnium oxide, hafnium oxynitride, hafnium nitride oxide, or hafnium nitride. Etc. may be used, and they can be provided as a laminated layer or a single layer.
 例えば、絶縁体130には、酸化窒化シリコンなどの絶縁耐力が大きい材料と、高誘電率(high−k)材料との積層構造を用いることが好ましい。当該構成により、容量素子100は、高誘電率(high−k)の絶縁体を有することで、十分な容量を確保でき、絶縁耐力が大きい絶縁体を有することで、絶縁耐力が向上し、容量素子100の静電破壊を抑制することができる。 For example, it is preferable to use a laminated structure of a material having a high dielectric strength such as silicon oxynitride and a high dielectric constant (high-k) material for the insulator 130. With this configuration, the capacitor 100 has an insulator having a high dielectric constant (high-k), so that a sufficient capacity can be secured, and an insulator having a large dielectric strength improves the dielectric strength and the capacitance. Electrostatic breakdown of the device 100 can be suppressed.
 なお、高誘電率(high−k)材料(高い比誘電率の材料)としては、酸化ガリウム、酸化ハフニウム、酸化ジルコニウム、アルミニウムおよびハフニウムを有する酸化物、アルミニウムおよびハフニウムを有する酸化窒化物、シリコンおよびハフニウムを有する酸化物、シリコンおよびハフニウムを有する酸化窒化物またはシリコンおよびハフニウムを有する窒化物などがある。 Note that as a high dielectric constant (high-k) material (a material having a high relative dielectric constant), gallium oxide, hafnium oxide, zirconium oxide, an oxide containing aluminum and hafnium, an oxynitride containing aluminum and hafnium, silicon, For example, an oxide containing hafnium, an oxynitride containing silicon and hafnium, or a nitride containing silicon and hafnium.
 一方、絶縁耐力が大きい材料(低い比誘電率の材料)としては、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、フッ素を添加した酸化シリコン、炭素を添加した酸化シリコン、炭素および窒素を添加した酸化シリコン、空孔を有する酸化シリコンまたは樹脂などがある。 On the other hand, as a material having a high dielectric strength (a material having a low relative dielectric constant), silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, fluorine-added silicon oxide, carbon-added silicon oxide, carbon and nitrogen are used. Examples thereof include added silicon oxide, silicon oxide having pores, or resin.
<配線層>
 各構造体の間には、層間膜、配線、およびプラグ等が設けられた配線層が設けられていてもよい。また、配線層は、設計に応じて複数層設けることができる。ここで、プラグまたは配線としての機能を有する導電体は、複数の構造をまとめて同一の符号を付与する場合がある。また、本明細書等において、配線と、配線と電気的に接続するプラグとが一体物であってもよい。すなわち、導電体の一部が配線として機能する場合、および導電体の一部がプラグとして機能する場合もある。
<Wiring layer>
A wiring layer provided with an interlayer film, a wiring, a plug, and the like may be provided between the structures. Further, a plurality of wiring layers can be provided according to the design. Here, the conductor having a function as a plug or a wiring may have a plurality of structures collectively given the same reference numeral. Further, in this specification and the like, the wiring and the plug electrically connected to the wiring may be integrated. That is, part of the conductor may function as a wiring, and part of the conductor may function as a plug.
 例えば、トランジスタ300上には、層間膜として、絶縁体320、絶縁体322、絶縁体324、および絶縁体326が順に積層して設けられている。また、絶縁体320、絶縁体322、絶縁体324、および絶縁体326には容量素子100、またはトランジスタ200と電気的に接続する導電体328、および導電体330等が埋め込まれている。なお、導電体328、および導電体330はプラグ、または配線として機能する。 For example, an insulator 320, an insulator 322, an insulator 324, and an insulator 326 are sequentially stacked as an interlayer film over the transistor 300. Further, the insulator 320, the insulator 322, the insulator 324, and the insulator 326 are embedded with a conductor 328, a conductor 330, and the like which are electrically connected to the capacitor 100 or the transistor 200. Note that the conductor 328 and the conductor 330 function as a plug or a wiring.
 また、層間膜として機能する絶縁体は、その下方の凹凸形状を被覆する平坦化膜として機能してもよい。例えば、絶縁体322の上面は、平坦性を高めるために化学機械研磨(CMP)法等を用いた平坦化処理により平坦化されていてもよい。 Also, the insulator functioning as an interlayer film may function as a flattening film that covers the uneven shape below the insulator. For example, the upper surface of the insulator 322 may be planarized by a planarization treatment using a chemical mechanical polishing (CMP) method or the like in order to enhance planarity.
 絶縁体326、および導電体330上に、配線層を設けてもよい。例えば、図17において、絶縁体350、絶縁体352、及び絶縁体354が順に積層して設けられている。また、絶縁体350、絶縁体352、及び絶縁体354には、導電体356が形成されている。導電体356は、プラグ、または配線として機能する。 A wiring layer may be provided on the insulator 326 and the conductor 330. For example, in FIG. 17, an insulator 350, an insulator 352, and an insulator 354 are sequentially stacked and provided. Further, a conductor 356 is formed over the insulator 350, the insulator 352, and the insulator 354. The conductor 356 functions as a plug or a wiring.
 同様に、絶縁体210、絶縁体211、絶縁体212、絶縁体214、および絶縁体216には、導電体218、及びトランジスタ200を構成する導電体(導電体205)等が埋め込まれている。なお、導電体218は、容量素子100、またはトランジスタ300と電気的に接続するプラグ、または配線としての機能を有する。さらに、導電体120、および絶縁体130上には、絶縁体150が設けられている。 Similarly, a conductor 218, a conductor (conductor 205) included in the transistor 200, and the like are embedded in the insulator 210, the insulator 211, the insulator 212, the insulator 214, and the insulator 216. Note that the conductor 218 has a function as a plug or a wiring which is electrically connected to the capacitor 100 or the transistor 300. Further, an insulator 150 is provided over the conductor 120 and the insulator 130.
 ここで、上記実施の形態に示す絶縁体241と同様に、プラグとして機能する導電体218の側面に接して絶縁体217が設けられる。絶縁体217は、絶縁体210、絶縁体211、絶縁体212、絶縁体214、および絶縁体216に形成された開口の内壁に接して設けられている。つまり、絶縁体217は、導電体218と、絶縁体210、絶縁体211、絶縁体212、絶縁体214、および絶縁体216と、の間に設けられている。なお、導電体205は導電体218と並行して形成することができるので、導電体205の側面に接して絶縁体217が形成される場合もある。 Here, similarly to the insulator 241 described in the above embodiment, the insulator 217 is provided in contact with the side surface of the conductor 218 functioning as a plug. The insulator 217 is provided in contact with the inner walls of the openings formed in the insulator 210, the insulator 211, the insulator 212, the insulator 214, and the insulator 216. That is, the insulator 217 is provided between the conductor 218 and the insulator 210, the insulator 211, the insulator 212, the insulator 214, and the insulator 216. Since the conductor 205 can be formed in parallel with the conductor 218, the insulator 217 may be formed in contact with the side surface of the conductor 205 in some cases.
 絶縁体217としては、例えば、窒化シリコン、酸化アルミニウム、または窒化酸化シリコンなどの絶縁体を用いればよい。絶縁体217は、絶縁体210、絶縁体211、絶縁体212、絶縁体214、および絶縁体222に接して設けられるので、絶縁体210または絶縁体216などから水または水素などの不純物が、導電体218を通じて酸化物230に混入するのを抑制することができる。特に、窒化シリコンは水素に対するブロッキング性が高いので好適である。また、絶縁体210または絶縁体216に含まれる酸素が導電体218に吸収されるのを防ぐことができる。 As the insulator 217, for example, an insulator such as silicon nitride, aluminum oxide, or silicon nitride oxide may be used. Since the insulator 217 is provided in contact with the insulator 210, the insulator 211, the insulator 212, the insulator 214, and the insulator 222, impurities such as water or hydrogen are transferred from the insulator 210 or the insulator 216, or the like. Mixing into the oxide 230 through the body 218 can be suppressed. In particular, silicon nitride is preferable because it has a high blocking property against hydrogen. Further, oxygen contained in the insulator 210 or the insulator 216 can be prevented from being absorbed by the conductor 218.
 絶縁体217は、絶縁体241と同様の方法で形成することができる。例えば、PEALD法を用いて、窒化シリコンを成膜し、異方性エッチングを用いて導電体356に達する開口を形成すればよい。 The insulator 217 can be formed by a method similar to that of the insulator 241. For example, a PEALD method may be used to form a silicon nitride film and anisotropic etching may be used to form an opening reaching the conductor 356.
 層間膜として用いることができる絶縁体としては、絶縁性を有する酸化物、窒化物、酸化窒化物、窒化酸化物、金属酸化物、金属酸化窒化物、金属窒化酸化物などがある。 The insulators that can be used as the interlayer film include oxides, nitrides, oxynitrides, nitride oxides, metal oxides, metal oxynitrides, metal nitride oxides, etc., which have insulating properties.
 例えば、層間膜として機能する絶縁体には、比誘電率が低い材料を用いることで、配線間に生じる寄生容量を低減することができる。したがって、絶縁体の機能に応じて、材料を選択するとよい。 For example, by using a material having a low relative dielectric constant for the insulator functioning as an interlayer film, it is possible to reduce the parasitic capacitance generated between wirings. Therefore, the material may be selected depending on the function of the insulator.
 例えば、絶縁体150、絶縁体210、絶縁体352、および絶縁体354等には、比誘電率の低い絶縁体を有することが好ましい。例えば、当該絶縁体は、窒化酸化シリコン、窒化シリコン、フッ素を添加した酸化シリコン、炭素を添加した酸化シリコン、炭素および窒素を添加した酸化シリコン、空孔を有する酸化シリコンまたは樹脂などを有することが好ましい。または、当該絶縁体は、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、フッ素を添加した酸化シリコン、炭素を添加した酸化シリコン、炭素および窒素を添加した酸化シリコンまたは空孔を有する酸化シリコンと、樹脂との積層構造を有することが好ましい。酸化シリコンおよび酸化窒化シリコンは、熱的に安定であるため、樹脂と組み合わせることで、熱的に安定かつ比誘電率の低い積層構造とすることができる。樹脂としては、例えば、ポリエステル、ポリオレフィン、ポリアミド(ナイロン、アラミドなど)、ポリイミド、ポリカーボネートまたはアクリルなどがある。 For example, it is preferable that the insulator 150, the insulator 210, the insulator 352, the insulator 354, and the like have insulators with low relative permittivity. For example, the insulator may include silicon nitride oxide, silicon nitride, fluorine-added silicon oxide, carbon-added silicon oxide, carbon-nitrogen-added silicon oxide, silicon oxide having holes, or a resin. preferable. Alternatively, the insulator is silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, fluorine-added silicon oxide, carbon-added silicon oxide, carbon-nitrogen-added silicon oxide, or silicon oxide having holes. And a laminated structure of a resin. Since silicon oxide and silicon oxynitride are thermally stable, by combining with a resin, a laminated structure having thermal stability and a low relative dielectric constant can be obtained. Examples of the resin include polyester, polyolefin, polyamide (nylon, aramid, etc.), polyimide, polycarbonate, acrylic and the like.
 また、酸化物半導体を用いたトランジスタは、水素などの不純物および酸素の透過を抑制する機能を有する絶縁体で囲うことによって、トランジスタの電気特性を安定にすることができる。従って、絶縁体214、絶縁体211、絶縁体212および絶縁体350等には、水素などの不純物および酸素の透過を抑制する機能を有する絶縁体を用いればよい。 Also, a transistor including an oxide semiconductor can have stable electrical characteristics by being surrounded by an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen. Therefore, for the insulator 214, the insulator 211, the insulator 212, the insulator 350, and the like, an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen may be used.
 水素などの不純物および酸素の透過を抑制する機能を有する絶縁体としては、例えば、ホウ素、炭素、窒素、酸素、フッ素、マグネシウム、アルミニウム、シリコン、リン、塩素、アルゴン、ガリウム、ゲルマニウム、イットリウム、ジルコニウム、ランタン、ネオジム、ハフニウムまたはタンタルを含む絶縁体を、単層で、または積層で用いればよい。具体的には、水素などの不純物および酸素の透過を抑制する機能を有する絶縁体として、酸化アルミニウム、酸化マグネシウム、酸化ガリウム、酸化ゲルマニウム、酸化イットリウム、酸化ジルコニウム、酸化ランタン、酸化ネオジム、酸化ハフニウムまたは酸化タンタルなどの金属酸化物、窒化酸化シリコンまたは窒化シリコンなどを用いることができる。 As the insulator having a function of suppressing the permeation of impurities such as hydrogen and oxygen, for example, boron, carbon, nitrogen, oxygen, fluorine, magnesium, aluminum, silicon, phosphorus, chlorine, argon, gallium, germanium, yttrium, zirconium. The insulator containing lanthanum, lanthanum, neodymium, hafnium, or tantalum may be used as a single layer or as a stacked layer. Specifically, as an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen, aluminum oxide, magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconium oxide, lanthanum oxide, neodymium oxide, hafnium oxide, or A metal oxide such as tantalum oxide, silicon nitride oxide, silicon nitride, or the like can be used.
 配線、プラグに用いることができる導電体としては、アルミニウム、クロム、銅、銀、金、白金、タンタル、ニッケル、チタン、モリブデン、タングステン、ハフニウム、バナジウム、ニオブ、マンガン、マグネシウム、ジルコニウム、ベリリウム、インジウム、ルテニウムなどから選ばれた金属元素を1種以上含む材料を用いることができる。また、リン等の不純物元素を含有させた多結晶シリコンに代表される、電気伝導度が高い半導体、ニッケルシリサイドなどのシリサイドを用いてもよい。 Conductors that can be used for wiring and plugs include aluminum, chromium, copper, silver, gold, platinum, tantalum, nickel, titanium, molybdenum, tungsten, hafnium, vanadium, niobium, manganese, magnesium, zirconium, beryllium, indium. A material containing at least one metal element selected from ruthenium, ruthenium, and the like can be used. Alternatively, a semiconductor having high electric conductivity, which is typified by polycrystalline silicon containing an impurity element such as phosphorus, or silicide such as nickel silicide may be used.
 例えば、導電体328、導電体330、導電体356、導電体218、および導電体112等としては、上記の材料で形成される金属材料、合金材料、金属窒化物材料、または金属酸化物材料などの導電性材料を、単層または積層して用いることができる。耐熱性と導電性を両立するタングステンやモリブデンなどの高融点材料を用いることが好ましく、タングステンを用いることが好ましい。または、アルミニウムや銅などの低抵抗導電性材料で形成することが好ましい。低抵抗導電性材料を用いることで配線抵抗を低くすることができる。 For example, as the conductor 328, the conductor 330, the conductor 356, the conductor 218, the conductor 112, and the like, a metal material, an alloy material, a metal nitride material, a metal oxide material, or the like formed using any of the above materials. The conductive material of can be used as a single layer or a laminate. It is preferable to use a high melting point material such as tungsten or molybdenum, which has both heat resistance and conductivity, and it is preferable to use tungsten. Alternatively, it is preferably formed of a low resistance conductive material such as aluminum or copper. Wiring resistance can be reduced by using a low-resistance conductive material.
<酸化物半導体が設けられた層の配線、またはプラグ>
 なお、トランジスタ200に、酸化物半導体を用いる場合、酸化物半導体の近傍に過剰酸素領域を有する絶縁体が設けることがある。その場合、該過剰酸素領域を有する絶縁体と、該過剰酸素領域を有する絶縁体に設ける導電体との間に、バリア性を有する絶縁体を設けることが好ましい。
<Wiring or plug in a layer provided with an oxide semiconductor>
Note that when an oxide semiconductor is used for the transistor 200, an insulator having an excess oxygen region may be provided in the vicinity of the oxide semiconductor. In that case, an insulator having a barrier property is preferably provided between the insulator having the excess oxygen region and the conductor provided in the insulator having the excess oxygen region.
 例えば、図17では、過剰酸素を有する絶縁体224および絶縁体280と、導電体240との間に、絶縁体241を設けるとよい。絶縁体241と、絶縁体222、絶縁体272、絶縁体273、絶縁体282、絶縁体283、および絶縁体284とが接して設けられることで、絶縁体224、およびトランジスタ200は、バリア性を有する絶縁体により、封止する構造とすることができる。 For example, in FIG. 17, the insulator 241 is preferably provided between the insulator 240 and the insulator 280 having excess oxygen, and the conductor 240. When the insulator 241 is provided in contact with the insulator 222, the insulator 272, the insulator 273, the insulator 282, the insulator 283, and the insulator 284, the insulator 224 and the transistor 200 have barrier properties. A structure for sealing can be formed with the insulator.
 つまり、絶縁体241を設けることで、絶縁体224および絶縁体280が有する過剰酸素が、導電体240に吸収されることを抑制することができる。また、絶縁体241を有することで、不純物である水素が、導電体240を介して、トランジスタ200へ拡散することを抑制することができる。 That is, by providing the insulator 241, the excess oxygen contained in the insulator 224 and the insulator 280 can be suppressed from being absorbed by the conductor 240. Further, with the insulator 241, hydrogen, which is an impurity, can be suppressed from diffusing into the transistor 200 through the conductor 240.
 なお、絶縁体241としては、水または水素などの不純物、および酸素の拡散を抑制する機能を有する絶縁性材料を用いるとよい。例えば、窒化シリコン、窒化酸化シリコン、酸化アルミニウムまたは酸化ハフニウムなどを用いることが好ましい。特に、窒化シリコンは水素に対するブロッキング性が高いため好ましい。また、他にも、例えば、酸化マグネシウム、酸化ガリウム、酸化ゲルマニウム、酸化イットリウム、酸化ジルコニウム、酸化ランタン、酸化ネオジムまたは酸化タンタルなどの金属酸化物などを用いることができる。 Note that as the insulator 241, an insulating material having a function of suppressing diffusion of impurities such as water or hydrogen and oxygen is preferable. For example, it is preferable to use silicon nitride, silicon nitride oxide, aluminum oxide, hafnium oxide, or the like. In particular, silicon nitride is preferable because it has a high blocking property against hydrogen. Besides, for example, a metal oxide such as magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconium oxide, lanthanum oxide, neodymium oxide, or tantalum oxide can be used.
 また、上記実施の形態と同様に、トランジスタ200は、絶縁体211、絶縁体212、絶縁体214、絶縁体287、絶縁体282、絶縁体283、および絶縁体284で封止されることが好ましい。このような構成とすることで、絶縁体274、絶縁体150などに含まれる水素が絶縁体280などに混入するのを低減することができる。 Further, similarly to the above embodiment modes, the transistor 200 is preferably sealed with the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, and the insulator 284. .. With such a structure, hydrogen contained in the insulator 274, the insulator 150, and the like can be prevented from entering the insulator 280 and the like.
 ここで、絶縁体284、絶縁体283、および絶縁体282には導電体240が、絶縁体214、絶縁体212、および絶縁体211には導電体218が貫通しているが、上記の通り、絶縁体241が導電体240に接して設けられ、絶縁体217が導電体218に接して設けられている。これにより、導電体240および導電体218を介して、絶縁体211、絶縁体212、絶縁体214、絶縁体287、絶縁体282、絶縁体283、および絶縁体284の内側に混入する水素を低減することができる。このようにして、絶縁体211、絶縁体212、絶縁体214、絶縁体287、絶縁体282、絶縁体283、絶縁体284、絶縁体241、および絶縁体217でトランジスタ200をより確実に封止し、絶縁体274等に含まれる水素などの不純物が外側から混入するのを低減することができる。 Here, the conductor 240 penetrates the insulator 284, the insulator 283, and the insulator 282, and the conductor 218 penetrates the insulator 214, the insulator 212, and the insulator 211. The insulator 241 is provided in contact with the conductor 240, and the insulator 217 is provided in contact with the conductor 218. Accordingly, hydrogen mixed in the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, and the insulator 284 through the conductor 240 and the conductor 218 is reduced. can do. In this manner, the transistor 200 is more reliably sealed with the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, the insulator 284, the insulator 241, and the insulator 217. However, impurities such as hydrogen contained in the insulator 274 and the like can be prevented from entering from the outside.
 また、絶縁体216、絶縁体224、絶縁体280、絶縁体250、および絶縁体274は、先の実施の形態に示すように、水素原子が低減または除去されたガスを用いた成膜方法で形成されることが好ましい。これにより、絶縁体216、絶縁体224、絶縁体280、絶縁体250、および絶縁体274の水素濃度を低減することができる。 Further, the insulator 216, the insulator 224, the insulator 280, the insulator 250, and the insulator 274 are formed by a film formation method using a gas in which hydrogen atoms are reduced or removed as described in the above embodiment. It is preferably formed. Accordingly, the hydrogen concentration of the insulator 216, the insulator 224, the insulator 280, the insulator 250, and the insulator 274 can be reduced.
 このようにして、トランジスタ200近傍のシリコン系絶縁膜の水素濃度を低減し、酸化物230の水素濃度を低減することができる。 In this way, the hydrogen concentration of the silicon-based insulating film near the transistor 200 can be reduced and the hydrogen concentration of the oxide 230 can be reduced.
<ダイシングライン>
 以下では、大面積基板を半導体素子ごとに分断することによって、複数の半導体装置をチップ状で取り出す場合に設けられるダイシングライン(スクライブライン、分断ライン、又は切断ラインと呼ぶ場合がある)について説明する。分断方法としては、例えば、まず、基板に半導体素子を分断するための溝(ダイシングライン)を形成した後、ダイシングラインにおいて切断し、複数の半導体装置に分断(分割)する場合がある。
<Dicing line>
Hereinafter, a dicing line (may be referred to as a scribe line, a dividing line, or a cutting line) provided when a plurality of semiconductor devices are taken out in a chip shape by dividing a large-area substrate into semiconductor elements will be described. .. As a dividing method, for example, first, after forming a groove (dicing line) for dividing a semiconductor element on a substrate, cutting may be performed at the dicing line to divide (divide) into a plurality of semiconductor devices.
 ここで、例えば、図17に示すように、絶縁体283と、絶縁体211とが接する領域がダイシングラインと重なるように設計することが好ましい。つまり、複数のトランジスタ200を有するメモリセルの外縁に設けられるダイシングラインとなる領域近傍において、絶縁体282、絶縁体280、絶縁体273、絶縁体272、絶縁体224、絶縁体222、絶縁体216、絶縁体214、および絶縁体212に開口を設ける。 Here, for example, as shown in FIG. 17, it is preferable to design so that a region where the insulator 283 and the insulator 211 are in contact with each other overlaps the dicing line. That is, the insulator 282, the insulator 280, the insulator 273, the insulator 272, the insulator 224, the insulator 222, and the insulator 216 are provided in the vicinity of a region serving as a dicing line which is provided on the outer edge of the memory cell including the plurality of transistors 200. Openings are provided in the insulator 214 and the insulator 212.
 つまり、上記絶縁体282、絶縁体280、絶縁体273、絶縁体272、絶縁体224、絶縁体222、絶縁体216、絶縁体214、および絶縁体212に設けた開口において、絶縁体211と、絶縁体283とが接する。また、絶縁体282、絶縁体280、絶縁体273、絶縁体272、絶縁体224、絶縁体222、絶縁体216、および絶縁体214に開口を設け、絶縁体212と絶縁体283が接する構成にしてもよい。例えば、このとき、絶縁体212と、絶縁体283とを同材料及び同方法を用いて形成してもよい。絶縁体212、および絶縁体283を、同材料、および同方法で設けることで、密着性を高めることができる。例えば、窒化シリコンを用いることが好ましい。 That is, in the openings provided in the insulator 282, the insulator 280, the insulator 273, the insulator 272, the insulator 224, the insulator 222, the insulator 216, the insulator 214, and the insulator 212, the insulator 211, It contacts the insulator 283. In addition, openings are provided in the insulator 282, the insulator 280, the insulator 273, the insulator 272, the insulator 224, the insulator 222, the insulator 216, and the insulator 214 so that the insulator 212 and the insulator 283 are in contact with each other. May be. For example, at this time, the insulator 212 and the insulator 283 may be formed using the same material and the same method. By providing the insulator 212 and the insulator 283 with the same material and the same method, adhesion can be improved. For example, it is preferable to use silicon nitride.
 当該構造により、絶縁体211、絶縁体212、絶縁体214、絶縁体287、絶縁体282、絶縁体283、および絶縁体284で、トランジスタ200を包み込むことができる。絶縁体211、絶縁体212、絶縁体214、絶縁体287、絶縁体282、絶縁体283、および絶縁体284の少なくとも一は、酸素、水素、及び水の拡散を抑制する機能を有しているため、本実施の形態に示す半導体素子が形成された回路領域ごとに、基板を分断することにより、複数のチップに加工しても、分断した基板の側面方向から、水素又は水などの不純物が混入し、トランジスタ200に拡散することを防ぐことができる。 With the structure, the transistor 200 can be wrapped with the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, and the insulator 284. At least one of the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, and the insulator 284 has a function of suppressing diffusion of oxygen, hydrogen, and water. Therefore, by dividing the substrate for each circuit region in which the semiconductor element described in this embodiment is formed, even when processed into a plurality of chips, impurities such as hydrogen or water are generated from the side surface direction of the divided substrate. It is possible to prevent the contamination and the diffusion to the transistor 200.
 また、当該構造により、絶縁体280、および絶縁体224の過剰酸素が外部に拡散することを防ぐことができる。従って、絶縁体280、および絶縁体224の過剰酸素は、効率的にトランジスタ200におけるチャネルが形成される酸化物に供給される。当該酸素により、トランジスタ200におけるチャネルが形成される酸化物の酸素欠損を低減することができる。これにより、トランジスタ200におけるチャネルが形成される酸化物を欠陥準位密度が低い、安定な特性を有する酸化物半導体とすることができる。つまり、トランジスタ200の電気特性の変動を抑制すると共に、信頼性を向上させることができる。 Further, with the structure, excess oxygen in the insulator 280 and the insulator 224 can be prevented from diffusing to the outside. Therefore, the excess oxygen in the insulator 280 and the insulator 224 is efficiently supplied to the oxide forming the channel in the transistor 200. With the oxygen, oxygen vacancies in the oxide forming the channel in the transistor 200 can be reduced. Thus, the oxide in which the channel in the transistor 200 is formed can be an oxide semiconductor with low density of defect states and stable characteristics. That is, variation in electrical characteristics of the transistor 200 can be suppressed and reliability can be improved.
 なお、図17に示す記憶装置では、容量素子100の形状をプレーナ型としたが、本実施の形態に示す記憶装置はこれに限られるものではない。たとえば、図18に示すように、容量素子100の形状をシリンダ型にしてもよい。なお、図18に示す記憶装置は、絶縁体150より下の構成は、図17に示す半導体装置と同様である。 Note that, in the memory device illustrated in FIG. 17, the shape of the capacitor 100 is a planar type, but the memory device described in this embodiment is not limited to this. For example, as shown in FIG. 18, the shape of the capacitive element 100 may be a cylinder type. The structure of the memory device illustrated in FIG. 18 below the insulator 150 is similar to that of the semiconductor device illustrated in FIG.
 図18に示す容量素子100は、絶縁体130上の絶縁体150と、絶縁体150上の絶縁体142と、絶縁体150および絶縁体142に形成された開口の中に配置された導電体115と、導電体115および絶縁体142上の絶縁体145と、絶縁体145上の導電体125と、導電体125および絶縁体145上の絶縁体152と、を有する。ここで、絶縁体150および絶縁体142に形成された開口の中に導電体115、絶縁体145、および導電体125の少なくとも一部が配置される。 The capacitor 100 illustrated in FIG. 18 includes the insulator 150 over the insulator 130, the insulator 142 over the insulator 150, and the conductor 115 arranged in the insulator 150 and the opening formed in the insulator 142. And an insulator 145 over the conductor 115 and the insulator 142, a conductor 125 over the insulator 145, and an insulator 152 over the conductor 125 and the insulator 145. Here, at least a part of the conductor 115, the insulator 145, and the conductor 125 is placed in the openings formed in the insulator 150 and the insulator 142.
 導電体115は容量素子100の下部電極として機能し、導電体125は容量素子100の上部電極として機能し、絶縁体145は、容量素子100の誘電体として機能する。容量素子100は、絶縁体150および絶縁体142の開口において、底面だけでなく、側面においても上部電極と下部電極とが誘電体を挟んで対向する構成となっており、単位面積当たりの静電容量を大きくすることができる。よって、当該開口の深さを深くするほど、容量素子100の静電容量を大きくすることができる。このように容量素子100の単位面積当たりの静電容量を大きくすることにより、半導体装置の微細化または高集積化を推し進めることができる。 The conductor 115 functions as a lower electrode of the capacitor 100, the conductor 125 functions as an upper electrode of the capacitor 100, and the insulator 145 functions as a dielectric of the capacitor 100. In the capacitor 100, in the openings of the insulator 150 and the insulator 142, the upper electrode and the lower electrode face each other across the dielectric not only on the bottom surface but also on the side surface. The capacity can be increased. Therefore, the capacitance of the capacitive element 100 can be increased as the depth of the opening is increased. By thus increasing the capacitance per unit area of the capacitive element 100, miniaturization or high integration of the semiconductor device can be promoted.
 絶縁体152は、絶縁体280に用いることができる絶縁体を用いればよい。また、絶縁体142は、絶縁体150の開口を形成するときのエッチングストッパとして機能することが好ましく、絶縁体214に用いることができる絶縁体を用いればよい。 As the insulator 152, an insulator that can be used for the insulator 280 may be used. Further, the insulator 142 preferably functions as an etching stopper when the opening of the insulator 150 is formed, and an insulator that can be used for the insulator 214 may be used.
 絶縁体150および絶縁体142に形成された開口を上面から見た形状は、四角形としてもよいし、四角形以外の多角形状としてもよいし、多角形状において角部を湾曲させた形状としてもよいし、楕円を含む円形状としてもよい。ここで、上面視において、当該開口とトランジスタ200の重なる面積が多い方が好ましい。このような構成にすることにより、容量素子100とトランジスタ200を有する半導体装置の占有面積を低減することができる。 The shape of the opening formed in the insulator 150 and the insulator 142 as viewed from above may be a quadrangle, a polygonal shape other than the quadrangle, or a shape in which corners are curved in the polygonal shape. The shape may be circular including an ellipse. Here, it is preferable that the area where the opening and the transistor 200 overlap with each other in the top view is large. With such a structure, the area occupied by the semiconductor device including the capacitor 100 and the transistor 200 can be reduced.
 導電体115は、絶縁体142、および絶縁体150に形成された開口に接して配置される。導電体115の上面は、絶縁体142の上面と略一致することが好ましい。また、導電体115の下面は、絶縁体130の開口を介して導電体110に接する。導電体115は、ALD法またはCVD法などを用いて成膜することが好ましく、例えば、導電体205に用いることができる導電体を用いればよい。 The conductor 115 is arranged in contact with the openings formed in the insulator 142 and the insulator 150. It is preferable that the top surface of the conductor 115 substantially match the top surface of the insulator 142. Further, the lower surface of the conductor 115 is in contact with the conductor 110 through the opening of the insulator 130. The conductor 115 is preferably formed by an ALD method, a CVD method, or the like. For example, a conductor that can be used as the conductor 205 may be used.
 絶縁体145は、導電体115および絶縁体142を覆うように配置される。例えば、ALD法またはCVD法などを用いて絶縁体145を成膜することが好ましい。絶縁体145は、例えば、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、酸化ジルコニウム、酸化アルミニウム、酸化窒化アルミニウム、窒化酸化アルミニウム、窒化アルミニウム、酸化ハフニウム、酸化窒化ハフニウム、窒化酸化ハフニウム、窒化ハフニウムなどを用いればよく、積層または単層で設けることができる。例えば、絶縁体145として、酸化ジルコニウム、酸化アルミニウム、酸化ジルコニウムの順番で積層された絶縁膜を用いることができる。 The insulator 145 is arranged so as to cover the conductor 115 and the insulator 142. For example, the insulator 145 is preferably formed by an ALD method, a CVD method, or the like. The insulator 145 includes, for example, silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, zirconium oxide, aluminum oxide, aluminum oxynitride, aluminum nitride oxide, aluminum nitride, hafnium oxide, hafnium oxynitride, hafnium nitride oxide, and nitride. Hafnium or the like may be used and can be provided as a stacked layer or a single layer. For example, as the insulator 145, an insulating film in which zirconium oxide, aluminum oxide, and zirconium oxide are stacked in this order can be used.
 また、絶縁体145には、酸化窒化シリコンなどの絶縁耐力が大きい材料、または高誘電率(high−k)材料を用いることが好ましい。または、絶縁耐力が大きい材料と高誘電率(high−k)材料の積層構造を用いてもよい。 Further, it is preferable to use a material having a large dielectric strength such as silicon oxynitride or a material having a high dielectric constant (high-k) for the insulator 145. Alternatively, a stacked structure of a material having high dielectric strength and a high dielectric constant (high-k) material may be used.
 なお、高誘電率(high−k)材料(高い比誘電率の材料)としては、酸化ガリウム、酸化ハフニウム、酸化ジルコニウム、アルミニウムおよびハフニウムを有する酸化物、アルミニウムおよびハフニウムを有する酸化窒化物、シリコンおよびハフニウムを有する酸化物、シリコンおよびハフニウムを有する酸化窒化物、シリコンおよびハフニウムを有する窒化物などがある。このようなhigh−k材料を用いることで、絶縁体145を厚くしても容量素子100の静電容量を十分確保することができる。絶縁体145を厚くすることにより、導電体115と導電体125の間に生じるリーク電流を抑制することができる。 Note that as a high dielectric constant (high-k) material (a material having a high relative dielectric constant), gallium oxide, hafnium oxide, zirconium oxide, an oxide containing aluminum and hafnium, an oxynitride containing aluminum and hafnium, silicon, There are oxides containing hafnium, oxynitrides containing silicon and hafnium, nitrides containing silicon and hafnium, and the like. By using such a high-k material, the capacitance of the capacitor 100 can be sufficiently secured even if the insulator 145 is thickened. By making the insulator 145 thick, a leak current generated between the conductor 115 and the conductor 125 can be suppressed.
 一方、絶縁耐力が大きい材料としては、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、フッ素を添加した酸化シリコン、炭素を添加した酸化シリコン、炭素および窒素を添加した酸化シリコン、空孔を有する酸化シリコン、樹脂などがある。例えば、ALD法を用いて成膜した窒化シリコン(SiN)、PEALD法を用いて成膜した酸化シリコン(SiO)、ALD法を用いて成膜した窒化シリコン(SiN)の順番で積層された絶縁膜を用いることができる。このような、絶縁耐力が大きい絶縁体を用いることで、絶縁耐力が向上し、容量素子100の静電破壊を抑制することができる。 On the other hand, as materials having high dielectric strength, silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide added with fluorine, silicon oxide added with carbon, silicon oxide added with carbon and nitrogen, and holes are used. There are silicon oxide, resin, and the like. For example, laminated in the order of silicon nitride was deposited using ALD (SiN x), silicon oxide was deposited using PEALD method (SiO x), silicon nitride was deposited using ALD (SiN x) Insulated film can be used. By using such an insulator having a large dielectric strength, the dielectric strength is improved and electrostatic breakdown of the capacitor 100 can be suppressed.
 導電体125は、絶縁体142および絶縁体150に形成された開口を埋めるように配置される。また、導電体125は、導電体140、および導電体153を介して配線1005と電気的に接続している。導電体125は、ALD法またはCVD法などを用いて成膜することが好ましく、例えば、導電体205に用いることができる導電体を用いればよい。 The conductor 125 is arranged so as to fill the openings formed in the insulator 142 and the insulator 150. The conductor 125 is electrically connected to the wiring 1005 through the conductor 140 and the conductor 153. The conductor 125 is preferably formed by an ALD method, a CVD method, or the like. For example, a conductor that can be used as the conductor 205 may be used.
 また、導電体153は、絶縁体154上に設けられており、絶縁体156に覆われている。導電体153は、導電体112に用いることができる導電体を用いればよく、絶縁体156は、絶縁体152に用いることができる絶縁体を用いればよい。ここで、導電体153は導電体140の上面に接しており、容量素子100、トランジスタ200、またはトランジスタ300の端子として機能する。 The conductor 153 is provided on the insulator 154 and covered with the insulator 156. For the conductor 153, a conductor that can be used for the conductor 112 may be used, and for the insulator 156, an insulator that can be used for the insulator 152 may be used. Here, the conductor 153 is in contact with the top surface of the conductor 140 and functions as a terminal of the capacitor 100, the transistor 200, or the transistor 300.
[記憶装置2]
 本発明の一態様である半導体装置を使用した、記憶装置の一例を図19に示す。図19に示す記憶装置は、図17で示したトランジスタ200、トランジスタ300、および容量素子100を有する半導体装置に加え、トランジスタ400を有している。
[Memory device 2]
FIG. 19 illustrates an example of a memory device using the semiconductor device which is one embodiment of the present invention. The memory device illustrated in FIG. 19 includes a transistor 400 in addition to the semiconductor device including the transistor 200, the transistor 300, and the capacitor 100 illustrated in FIG.
 トランジスタ400は、トランジスタ200の第2のゲート電圧を制御することができる。例えば、トランジスタ400の第1のゲート及び第2のゲートをソースとダイオード接続し、トランジスタ400のソースと、トランジスタ200の第2のゲートを接続する構成とする。当該構成でトランジスタ200の第2のゲートの負電位を保持するとき、トランジスタ400の第1のゲート−ソース間の電圧および、第2のゲート−ソース間の電圧は、0Vになる。トランジスタ400において、第2のゲート電圧及び第1のゲート電圧が0Vのときのドレイン電流が非常に小さいため、トランジスタ200およびトランジスタ400に電源供給をしなくても、トランジスタ200の第2のゲートの負電位を長時間維持することができる。これにより、トランジスタ200、およびトランジスタ400を有する記憶装置は、長期にわたり記憶内容を保持することが可能である。 The transistor 400 can control the second gate voltage of the transistor 200. For example, the first gate and the second gate of the transistor 400 are diode-connected to the source, and the source of the transistor 400 is connected to the second gate of the transistor 200. When the negative potential of the second gate of the transistor 200 is held in this structure, the first gate-source voltage and the second gate-source voltage of the transistor 400 are 0V. In the transistor 400, since the drain currents when the second gate voltage and the first gate voltage are 0 V are extremely small, the second gate voltage of the transistor 200 can be reduced without supplying power to the transistor 200 and the transistor 400. The negative potential can be maintained for a long time. Accordingly, the memory device including the transistor 200 and the transistor 400 can hold the memory content for a long time.
 従って、図19において、配線1001はトランジスタ300のソースと電気的に接続され、配線1002はトランジスタ300のドレインと電気的に接続されている。また、配線1003はトランジスタ200のソースおよびドレインの一方と電気的に接続され、配線1004はトランジスタ200の第1のゲートと電気的に接続され、配線1006はトランジスタ200の第2のゲートと電気的に接続されている。そして、トランジスタ300のゲート、およびトランジスタ200のソースおよびドレインの他方は、容量素子100の電極の一方と電気的に接続され、配線1005は容量素子100の電極の他方と電気的に接続されている。配線1007はトランジスタ400のソースと電気的に接続され、配線1008はトランジスタ400の第1のゲートと電気的に接続され、配線1009はトランジスタ400の第2のゲートと電気的に接続され、配線1010はトランジスタ400のドレインと電気的に接続されている。ここで、配線1006、配線1007、配線1008、及び配線1009が電気的に接続されている。 Therefore, in FIG. 19, the wiring 1001 is electrically connected to the source of the transistor 300 and the wiring 1002 is electrically connected to the drain of the transistor 300. The wiring 1003 is electrically connected to one of a source and a drain of the transistor 200, the wiring 1004 is electrically connected to a first gate of the transistor 200, and the wiring 1006 is electrically connected to a second gate of the transistor 200. It is connected to the. The gate of the transistor 300 and the other of the source and the drain of the transistor 200 are electrically connected to one of the electrodes of the capacitor 100 and the wiring 1005 is electrically connected to the other of the electrodes of the capacitor 100. .. The wiring 1007 is electrically connected to the source of the transistor 400, the wiring 1008 is electrically connected to the first gate of the transistor 400, the wiring 1009 is electrically connected to the second gate of the transistor 400, and the wiring 1010. Are electrically connected to the drain of the transistor 400. Here, the wiring 1006, the wiring 1007, the wiring 1008, and the wiring 1009 are electrically connected.
 また、図19に示す記憶装置は、図17に示す記憶装置と同様に、マトリクス状に配置することで、メモリセルアレイを構成することができる。なお、1個のトランジスタ400は、複数のトランジスタ200の第2のゲート電圧を制御することができる。そのため、トランジスタ400は、トランジスタ200よりも、少ない個数を設けるとよい。また、また、図19に示す記憶装置は、図17に示す記憶装置と同様に、トランジスタ200、およびトランジスタ400を、絶縁体211、絶縁体212、絶縁体214、絶縁体287、絶縁体282、絶縁体283、および絶縁体284で封止することができる。 The memory device shown in FIG. 19 can form a memory cell array by arranging the memory device shown in FIG. 17 in a matrix, like the memory device shown in FIG. Note that one transistor 400 can control the second gate voltage of the plurality of transistors 200. Therefore, the transistor 400 may be provided in a smaller number than the transistor 200. In addition, the memory device illustrated in FIG. 19 is similar to the memory device illustrated in FIG. 17 except that the transistor 200 and the transistor 400 are replaced by an insulator 211, an insulator 212, an insulator 214, an insulator 287, and an insulator 282. It can be sealed with the insulator 283 and the insulator 284.
<トランジスタ400>
 トランジスタ400は、トランジスタ200と、同じ層に形成されており、並行して作製することができるトランジスタである。トランジスタ400は、第1のゲートとして機能する導電体460(導電体460a、および導電体460b)と、第2のゲートとして機能する導電体405と、ゲート絶縁層として機能する絶縁体222、絶縁体224、および絶縁体450と、チャネル形成領域を有する酸化物430dと、ソースとして機能する導電体442a、酸化物443a、酸化物431a、および酸化物431bと、ドレインとして機能する導電体442b、酸化物443b、酸化物432a、および酸化物432bと、を有する。また、トランジスタ200と同様に、プラグとして機能する導電体が、導電体442aと、導電体442bに接して設けられる。
<Transistor 400>
The transistor 400 is formed in the same layer as the transistor 200 and can be manufactured in parallel. The transistor 400 includes a conductor 460 (a conductor 460a and a conductor 460b) which functions as a first gate, a conductor 405 which functions as a second gate, an insulator 222 which functions as a gate insulating layer, and an insulator. 224, an insulator 450, an oxide 430d having a channel formation region, a conductor 442a, an oxide 443a, an oxide 431a, and an oxide 431b which function as a source, and a conductor 442b and an oxide which function as a drain. 443b, the oxide 432a, and the oxide 432b. Similarly to the transistor 200, a conductor functioning as a plug is provided in contact with the conductors 442a and 442b.
 導電体405と、導電体205とは、同じ層に形成される。酸化物431a、および酸化物432aと、酸化物230aとは、同じ層に形成され、酸化物431b、および酸化物432bと、酸化物230bとは、同じ層に形成される。導電体442a、および導電体442bと、導電体242とは、同じ層に形成される。酸化物443a、および酸化物443bと、酸化物243とは、同じ層に形成される。酸化物430dと、酸化物230dとは、同じ層に形成される。絶縁体450と、絶縁体250とは、同じ層に形成される。導電体460と、導電体260とは、同じ層に形成される。 The conductor 405 and the conductor 205 are formed in the same layer. The oxide 431a and the oxide 432a and the oxide 230a are formed in the same layer, and the oxide 431b and the oxide 432b and the oxide 230b are formed in the same layer. The conductor 442a, the conductor 442b, and the conductor 242 are formed in the same layer. The oxide 443a, the oxide 443b, and the oxide 243 are formed in the same layer. The oxide 430d and the oxide 230d are formed in the same layer. The insulator 450 and the insulator 250 are formed in the same layer. The conductor 460 and the conductor 260 are formed in the same layer.
 なお、同じ層に形成された構造体は、同時に形成することができる。例えば、酸化物430dは、酸化物230dとなる酸化膜を加工することで、形成することができる。 Note that structures formed on the same layer can be formed at the same time. For example, the oxide 430d can be formed by processing an oxide film to be the oxide 230d.
 トランジスタ400の活性層として機能する酸化物430dは、酸化物230などと同様に、酸素欠損が低減され、水素、水などの不純物が低減されている。これにより、トランジスタ400のしきい値電圧をより大きくし、オフ電流を低減し、第2のゲート電圧及び第1のゲート電圧が0Vのときのドレイン電流を非常に小さくすることができる。 Like the oxide 230, the oxide 430d functioning as an active layer of the transistor 400 has reduced oxygen vacancies and reduced impurities such as hydrogen and water. Accordingly, the threshold voltage of the transistor 400 can be increased, the off-state current can be reduced, and the drain current when the second gate voltage and the first gate voltage are 0 V can be extremely reduced.
 トランジスタ400では、導電体460と重なる領域の、酸化物230cとなる酸化膜を除去し、酸化物230dとなる酸化膜を成膜・加工して、酸化物430dを形成することが好ましい。なお、導電体460と重なる領域の、酸化物230cとなる酸化膜は、ウェットエッチングなどを用いて酸化膜230Cの一部を除去するタイミングで除去するとよい。 In the transistor 400, it is preferable that the oxide film to be the oxide 230c in a region overlapping with the conductor 460 be removed and the oxide film to be the oxide 230d be formed and processed to form the oxide 430d. Note that the oxide film to be the oxide 230c in a region overlapping with the conductor 460 may be removed at a timing at which part of the oxide film 230C is removed by wet etching or the like.
[記憶装置3]
 本発明の一態様に係る半導体装置(記憶装置)の一例を図20に示す。
[Memory device 3]
FIG. 20 illustrates an example of a semiconductor device (memory device) according to one embodiment of the present invention.
<メモリデバイスの構成例>
 図20は、メモリデバイス290を有する半導体装置の断面図である。図20に示すメモリデバイス290は、図4A乃至図4Dに示すトランジスタ200に加えて、容量デバイス292を有する。図20は、トランジスタ200のチャネル長方向の断面図に相当する。
<Memory device configuration example>
FIG. 20 is a cross-sectional view of a semiconductor device having the memory device 290. The memory device 290 illustrated in FIG. 20 includes a capacitor device 292 in addition to the transistor 200 illustrated in FIGS. 4A to 4D. 20 corresponds to a cross-sectional view of the transistor 200 in the channel length direction.
 容量デバイス292は、導電体242bと、導電体242b上に設けられた絶縁体272、および絶縁体273と、絶縁体273上に設けられた導電体294と、を有する。すなわち、容量デバイス292は、MIM(Metal−Insulator−Metal)容量を構成している。なお、容量デバイス292が有する一対の電極の一方、すなわち導電体242bは、トランジスタのソース電極またはドレイン電極を兼ねることができる。また、容量デバイス292が有する誘電体層は、トランジスタに設けられる保護層、すなわち絶縁体272、および絶縁体273を兼ねることができる。したがって、容量デバイス292の作製工程において、トランジスタ200の作製工程の一部を兼用することができるため、生産性の高い半導体装置とすることができる。また、容量デバイス292が有する一対の電極の一方、すなわち導電体242bは、トランジスタ200のソース電極またはドレイン電極と兼ねているため、トランジスタ200と、容量デバイス292とが配置される面積を低減させることが可能となる。 The capacitive device 292 includes a conductor 242b, an insulator 272 provided over the conductor 242b, an insulator 273, and a conductor 294 provided over the insulator 273. That is, the capacitance device 292 constitutes a MIM (Metal-Insulator-Metal) capacitance. Note that one of the pair of electrodes included in the capacitor device 292, that is, the conductor 242b can also serve as a source electrode or a drain electrode of the transistor. The dielectric layer included in the capacitor device 292 can also serve as a protective layer provided in the transistor, that is, the insulator 272 and the insulator 273. Therefore, part of the manufacturing process of the transistor 200 can be used in the manufacturing process of the capacitor device 292, so that the semiconductor device can have high productivity. In addition, one of the pair of electrodes included in the capacitor device 292, that is, the conductor 242b also serves as a source electrode or a drain electrode of the transistor 200; thus, the area where the transistor 200 and the capacitor device 292 are provided can be reduced. Is possible.
 なお、導電体294としては、例えば、導電体242に用いることのできる材料を用いればよい。 Note that as the conductor 294, for example, a material that can be used for the conductor 242 may be used.
<メモリデバイスの変形例>
 以下では、図21A、図21B、図22、および図23を用いて、先の<メモリデバイスの構成例>で示したものとは異なる、本発明の一態様に係るトランジスタ200、および容量デバイス292を有する半導体装置の一例について説明する。なお図21A、図21B、図22、および図23に示す半導体装置において、先の実施の形態および<メモリデバイスの構成例>に示した半導体装置を構成する構造と同機能を有する構造には、同符号を付記する。なお、本項目において、トランジスタ200、および容量デバイス292の構成材料については、先の実施の形態および<メモリデバイスの構成例>で詳細に説明した材料を用いることができる。
<Modification of memory device>
Hereinafter, with reference to FIGS. 21A, 21B, 22, and 23, a transistor 200 and a capacitor device 292 according to one embodiment of the present invention, which are different from those described above in <Structure example of memory device>, are described. An example of a semiconductor device having a will be described. In the semiconductor devices shown in FIGS. 21A, 21B, 22, and 23, a structure having the same function as the structure of the semiconductor device shown in the above embodiment and <Structure example of memory device> is The same symbols are added. Note that in this item, as the constituent material of the transistor 200 and the capacitor device 292, the material described in detail in the above embodiment and <Structure example of memory device> can be used.
<<メモリデバイスの変形例1>>
 以下では、本発明の一態様に係るトランジスタ200a、トランジスタ200b、容量デバイス292a、および容量デバイス292bを有する半導体装置600の一例について図21Aを用いて説明する。
<<First Modification of Memory Device>>
Hereinafter, an example of the semiconductor device 600 including the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b according to one embodiment of the present invention will be described with reference to FIG. 21A.
 図21Aは、トランジスタ200a、トランジスタ200b、容量デバイス292a、および容量デバイス292bを有する半導体装置600のチャネル長方向の断面図である。半導体装置600は、図21Aに示すように、A3−A4の一点鎖線を対称軸とした線対称の構成となっている。トランジスタ200aのソース電極またはドレイン電極の一方と、トランジスタ200bのソース電極またはドレイン電極の一方は、導電体242cが兼ねる構成となっている。また、配線として機能する導電体246と、トランジスタ200a、およびトランジスタ200bとの接続もプラグとして機能する導電体240が、兼ねる構成となっている。このように、2つのトランジスタと、2つの容量デバイスと、配線とプラグとの接続を上述の構成とすることで、微細化または高集積化が可能な半導体装置を提供することができる。 FIG. 21A is a cross-sectional view in the channel length direction of a semiconductor device 600 including the transistor 200a, the transistor 200b, the capacitive device 292a, and the capacitive device 292b. As shown in FIG. 21A, the semiconductor device 600 has a line-symmetrical structure with the dashed-dotted line A3-A4 as the axis of symmetry. The conductor 242c serves as one of a source electrode and a drain electrode of the transistor 200a and one of a source electrode and a drain electrode of the transistor 200b. In addition, the conductor 246 which functions as a wiring and the conductor 240 which also functions as a plug also serve as a connection between the transistor 200a and the transistor 200b. As described above, the two transistors, the two capacitive devices, and the connection between the wiring and the plug are configured as described above, whereby a semiconductor device which can be miniaturized or highly integrated can be provided.
 トランジスタ200a、トランジスタ200b、容量デバイス292a、および容量デバイス292bのそれぞれの構成および効果については、図4A乃至図4D、および図20に示す半導体装置の構成例を参酌することができる。 For the configurations and effects of the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b, the configuration example of the semiconductor device illustrated in FIGS. 4A to 4D and FIG. 20 can be referred to.
<<メモリデバイスの変形例2>>
 上記においては、半導体装置の構成例としてトランジスタ200a、トランジスタ200b、容量デバイス292aおよび容量デバイス292bを挙げたが、本実施の形態に示す半導体装置はこれに限られるものではない。例えば、図21Bに示すように半導体装置600と、半導体装置600と同様の構成を有する半導体装置が容量部を介して接続されている構成としてもよい。本明細書では、トランジスタ200a、トランジスタ200b、容量デバイス292a、および容量デバイス292bを有する半導体装置をセルと称する。トランジスタ200a、トランジスタ200b、容量デバイス292aおよび容量デバイス292bの構成については、上述のトランジスタ200a、トランジスタ200b、容量デバイス292aおよび容量デバイス292bに係る記載を参酌することができる。
<<Modification 2 of Memory Device>>
Although the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b are given as examples of the structure of the semiconductor device in the above, the semiconductor device described in this embodiment is not limited to this. For example, as illustrated in FIG. 21B, the semiconductor device 600 and a semiconductor device having a structure similar to that of the semiconductor device 600 may be connected to each other through a capacitor portion. In this specification, a semiconductor device including the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b is referred to as a cell. For the structures of the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b, the above description of the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b can be referred to.
 図21Bは、トランジスタ200a、トランジスタ200b、容量デバイス292a、および容量デバイス292bを有する半導体装置600と、半導体装置600と同様の構成を有するセルが容量部を介して接続されている断面図である。 21B is a cross-sectional view in which a semiconductor device 600 including the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b, and a cell having a structure similar to that of the semiconductor device 600 are connected to each other through a capacitor portion.
 図21Bに示すように、半導体装置600が有する容量デバイス292bの一方の電極として機能する導電体294bは、半導体装置600と同様の構成を有する半導体装置601が有する容量デバイスの一方の電極を兼ねる構成となっている。また、図示しないが、半導体装置600が有する容量デバイス292aの一方の電極として機能する導電体294aが、半導体装置600の左側、つまり図21Bにおいて、A1方向に隣接する半導体装置の容量デバイスの一方の電極を兼ねている。また、半導体装置601の右側、つまり、図21Bにおいて、A2方向のセルについても同様の構成となっている。つまりセルアレイ(メモリデバイス層ともいう。)を構成することができる。この様なセルアレイの構成とすることで、隣り合うセルの間隔を小さくすることができるので、セルアレイの投影面積を小さくすることができ、高集積化が可能となる。また、図21Bに示すセルアレイの構成を、マトリクス状に配置することで、マトリクス状のセルアレイを構成することができる。 As shown in FIG. 21B, the conductor 294b functioning as one electrode of the capacitor device 292b included in the semiconductor device 600 also serves as one electrode of the capacitor device included in the semiconductor device 601 having the same structure as the semiconductor device 600. Has become. Although not illustrated, the conductor 294a which functions as one electrode of the capacitor device 292a included in the semiconductor device 600 is provided on the left side of the semiconductor device 600, that is, in one of the capacitor devices of the semiconductor device which are adjacent to each other in the direction A1 in FIG. 21B. Also serves as an electrode. Further, the right side of the semiconductor device 601, that is, the cell in the A2 direction in FIG. 21B has the same configuration. That is, a cell array (also referred to as a memory device layer) can be formed. With such a cell array configuration, the interval between adjacent cells can be reduced, so that the projected area of the cell array can be reduced and high integration can be achieved. Further, by arranging the configuration of the cell array shown in FIG. 21B in a matrix, a matrix cell array can be constructed.
 上述のように、本実施の形態に示す構成で、トランジスタ200a、トランジスタ200b、容量デバイス292aおよび容量デバイス292bを形成することにより、セルの面積を低減し、セルアレイを有する半導体装置の微細化または高集積化を図ることができる。 As described above, by forming the transistor 200a, the transistor 200b, the capacitor device 292a, and the capacitor device 292b with the structure described in this embodiment, the area of the cell is reduced and a semiconductor device including a cell array is downsized or improved. It can be integrated.
 また、上記セルアレイを平面のみでなく積層する構成としてもよい。図22にセルアレイ610をn層積層する構成の断面図を示す。図22に示すように、複数のセルアレイ(セルアレイ610_1乃至セルアレイ610_n)を積層することにより、セルアレイの専有面積を増やすことなく、セルを集積して配置することができる。つまり、3Dセルアレイを構成することができる。 Also, the cell arrays may be stacked not only on a plane. FIG. 22 shows a cross-sectional view of a structure in which the cell array 610 is laminated in n layers. As shown in FIG. 22, by stacking a plurality of cell arrays (cell arrays 610_1 to 610_n), cells can be integrated and arranged without increasing the area occupied by the cell arrays. That is, a 3D cell array can be configured.
<<メモリデバイスの変形例3>>
 図23は、メモリユニット470がトランジスタ200Tを有するトランジスタ層413と、4層のメモリデバイス層415(メモリデバイス層415_1乃至メモリデバイス層415_4)を有する例を示す。
<<Modification 3 of Memory Device>>
FIG. 23 illustrates an example in which the memory unit 470 includes a transistor layer 413 including a transistor 200T and four memory device layers 415 (memory device layers 415_1 to 415_4).
 メモリデバイス層415_1乃至メモリデバイス層415_4は、それぞれ複数のメモリデバイス420を有する。 Each of the memory device layers 415_1 to 415_4 has a plurality of memory devices 420.
 メモリデバイス420は、導電体424、および導電体205を介して異なるメモリデバイス層415が有するメモリデバイス420、およびトランジスタ層413が有するトランジスタ200Tと電気的に接続する。 The memory device 420 is electrically connected to the memory device 420 included in the different memory device layer 415 and the transistor 200T included in the transistor layer 413 through the conductor 424 and the conductor 205.
 メモリユニット470は、絶縁体211、絶縁体212、絶縁体214、絶縁体287、絶縁体282、絶縁体283、および絶縁体284により封止される(便宜的に、以下では封止構造と呼ぶ)。絶縁体284の周囲には絶縁体274が設けられる。また、絶縁体274、絶縁体284、絶縁体283、および絶縁体211には導電体440が設けられ、素子層411と電気的に接続する。 The memory unit 470 is sealed by the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, and the insulator 284 (hereinafter, referred to as a sealing structure for convenience). ). An insulator 274 is provided around the insulator 284. Further, a conductor 440 is provided in the insulator 274, the insulator 284, the insulator 283, and the insulator 211, and is electrically connected to the element layer 411.
 また、封止構造の内部には、絶縁体280が設けられる。絶縁体280は、加熱により酸素を放出する機能を有する。または、絶縁体280は、過剰酸素領域を有する。 Also, an insulator 280 is provided inside the sealing structure. The insulator 280 has a function of releasing oxygen by heating. Alternatively, the insulator 280 has an excess oxygen region.
 なお、絶縁体211、絶縁体283、及び絶縁体284は、水素に対するブロッキング性が高い機能を有する材料であると好適である。また、絶縁体214、絶縁体282、及び絶縁体287は、水素を捕獲、または水素を固着する機能を有する材料であると好適である。 Note that the insulator 211, the insulator 283, and the insulator 284 are preferably materials having a function of high blocking property against hydrogen. Further, the insulator 214, the insulator 282, and the insulator 287 are preferably a material having a function of trapping hydrogen or fixing hydrogen.
 例えば、上記水素に対するブロッキング性が高い機能を有する材料は、窒化シリコン、または窒化酸化シリコンなどが挙げられる。また、上記水素を捕獲、または水素を固着する機能を有する材料は、酸化アルミニウム、酸化ハフニウム、並びにアルミニウムおよびハフニウムを含む酸化物(ハフニウムアルミネート)などが挙げられる。 For example, as the material having the function of having a high blocking property against hydrogen, silicon nitride, silicon nitride oxide, or the like can be given. Examples of the material having a function of capturing hydrogen or fixing hydrogen include aluminum oxide, hafnium oxide, and oxides containing aluminum and hafnium (hafnium aluminate).
 なお、本明細書において、バリア性とは、対応する物質の拡散を抑制する機能(透過性が低いともいう)とする。または、対応する物質を、捕獲、および固着する(ゲッタリングともいう)機能とする。 Note that, in the present specification, the barrier property is a function of suppressing diffusion of a corresponding substance (also referred to as low permeability). Alternatively, the corresponding substance has a function of capturing and fixing (also referred to as gettering).
 なお、絶縁体211、絶縁体212、絶縁体214、絶縁体287、絶縁体282、絶縁体283、および絶縁体284に用いる材料の結晶構造については、特に限定は無いが、非晶質または結晶性を有する構造とすればよい。例えば、水素を捕獲、または水素を固着する機能を有する材料として、非晶質の酸化アルミニウム膜を用いると好適である。非晶質の酸化アルミニウムは、結晶性の高い酸化アルミニウムよりも、水素の捕獲、および固着する量が大きい場合がある。 Note that there is no particular limitation on a crystal structure of a material used for the insulator 211, the insulator 212, the insulator 214, the insulator 287, the insulator 282, the insulator 283, and the insulator 284; A structure having properties may be used. For example, an amorphous aluminum oxide film is preferably used as a material having a function of capturing hydrogen or fixing hydrogen. Amorphous aluminum oxide may have a larger amount of trapping and fixing hydrogen than aluminum oxide having high crystallinity.
 ここで、絶縁体280中の過剰酸素は、絶縁体280と接する酸化物半導体中の水素の拡散に対し、下記のようなモデルが考えられる。 Here, excess oxygen in the insulator 280 can be modeled as follows with respect to diffusion of hydrogen in the oxide semiconductor in contact with the insulator 280.
 酸化物半導体中に存在する水素は、酸化物半導体に接する絶縁体280を介して、他の構造体へと拡散する。当該水素は、絶縁体280中の過剰酸素と反応しOH結合となり、OHとして絶縁体280中を拡散する。OH結合を有した水素原子は、水素を捕獲、または水素を固着する機能を有する材料(代表的には、絶縁体282)に到達した際に、絶縁体282中の原子(例えば、金属原子など)と結合した酸素原子と反応し、絶縁体282中に捕獲、または固着される。一方、OH結合を有していた過剰酸素は、過剰酸素として絶縁体280中に残ると推測される。つまり、当該水素の拡散において、絶縁体280中の過剰酸素が、橋渡し的な役割を担う蓋然性が高い。 Hydrogen existing in the oxide semiconductor diffuses to another structure through the insulator 280 which is in contact with the oxide semiconductor. The hydrogen reacts with excess oxygen in the insulator 280 to form an OH bond, and diffuses in the insulator 280 as OH. A hydrogen atom having an OH bond is an atom in the insulator 282 (e.g., a metal atom, etc.) when reaching a material (typically, the insulator 282) having a function of trapping hydrogen or fixing hydrogen. ), and is trapped or fixed in the insulator 282. On the other hand, it is presumed that the excess oxygen having the OH bond remains in the insulator 280 as excess oxygen. That is, it is highly possible that excess oxygen in the insulator 280 plays a bridging role in the diffusion of hydrogen.
 上記のモデルを満たすためには、半導体装置の作製プロセスが重要な要素の一つとなる。 In order to satisfy the above model, the semiconductor device manufacturing process is one of the important factors.
 一例として、酸化物半導体に、過剰酸素を有する絶縁体280を形成し、その後、絶縁体282を形成する。そのあとに、加熱処理を行うことが好ましい。当該加熱処理は、具体的には、酸素を含む雰囲気、窒素を含む雰囲気、または酸素と窒素の混合雰囲気にて、350℃以上、好ましくは400℃以上の温度で行う。加熱処理の時間は、1時間以上、好ましくは4時間以上、さらに好ましくは8時間以上とする。 As an example, the insulator 280 having excess oxygen is formed in the oxide semiconductor, and then the insulator 282 is formed. After that, heat treatment is preferably performed. Specifically, the heat treatment is performed in an atmosphere containing oxygen, an atmosphere containing nitrogen, or a mixed atmosphere of oxygen and nitrogen at a temperature of 350° C. or higher, preferably 400° C. or higher. The heat treatment time is 1 hour or longer, preferably 4 hours or longer, more preferably 8 hours or longer.
 上記の加熱処理によって、酸化物半導体中の水素が、絶縁体280、絶縁体282、および絶縁体287を介して、外方に拡散することができる。つまり、酸化物半導体、及び当該酸化物半導体近傍に存在する水素の絶対量を低減することができる。 By the above heat treatment, hydrogen in the oxide semiconductor can diffuse outward through the insulator 280, the insulator 282, and the insulator 287. That is, the absolute amount of hydrogen existing in the oxide semiconductor and in the vicinity of the oxide semiconductor can be reduced.
 上記加熱処理のあと、絶縁体283、及び絶縁体284を形成する。絶縁体283、及び絶縁体284は、水素に対するブロッキング性が高い機能を有する材料であるため、外方に拡散させた水素、または外部に存在する水素を、内部、具体的には、酸化物半導体、または絶縁体280側に入り込むのを抑制することができる。 After the heat treatment, the insulator 283 and the insulator 284 are formed. The insulator 283 and the insulator 284 are materials having a function of high blocking property against hydrogen; therefore, hydrogen diffused outward or hydrogen existing outside can be stored inside, specifically, in an oxide semiconductor. Alternatively, it is possible to suppress the entry into the insulator 280 side.
 なお、上記の加熱処理については、絶縁体282を形成したあとに行う構成について、例示したが、これに限定されない。例えば、トランジスタ層413の形成後、またはメモリデバイス層415_1乃至メモリデバイス層415_3の形成後に、それぞれ上記加熱処理を行っても良い。また、上記加熱処理によって、水素を外方に拡散させる際には、トランジスタ層413の上方または横方向に水素が拡散される。同様に、メモリデバイス層415_1乃至メモリデバイス層415_3形成後に加熱処理をする場合においては、水素は上方または横方向に拡散される。 Note that the above heat treatment has been described as an example of the structure performed after the insulator 282 is formed; however, the present invention is not limited to this. For example, the above heat treatment may be performed after each of the transistor layer 413 and the memory device layers 415_1 to 415_3. Further, when hydrogen is diffused outward by the above heat treatment, hydrogen is diffused above or in the lateral direction of the transistor layer 413. Similarly, in the case where heat treatment is performed after formation of the memory device layers 415_1 to 415_3, hydrogen is diffused upward or laterally.
 なお、上記の作製プロセスとすることで、絶縁体211と、絶縁体283と、が接着することで、上述した封止構造が形成される。 By the above manufacturing process, the insulator 211 and the insulator 283 are bonded to each other, whereby the above-described sealing structure is formed.
 以上のように、上記の構造、及び上記の作製プロセスとすることで、水素濃度が低減された酸化物半導体を用いた半導体装置を提供することができる。従って、信頼性が良好な半導体装置を提供することができる。また、本発明の一態様により、良好な電気特性を有する半導体装置を提供することができる。 As described above, with the above structure and the above manufacturing process, a semiconductor device using an oxide semiconductor with reduced hydrogen concentration can be provided. Therefore, a highly reliable semiconductor device can be provided. Further, according to one embodiment of the present invention, a semiconductor device having favorable electric characteristics can be provided.
 本実施の形態に示す構成、方法などは、他の実施の形態に示す構成、構造、方法などと適宜組み合わせて用いることができる。 The structure, the method, and the like described in this embodiment can be combined with the structure, the structure, the method, and the like described in other embodiments as appropriate.
(実施の形態3)
 本実施の形態では、図24A、図24Bおよび図25A乃至図25Hを用いて、本発明の一態様に係る、酸化物を半導体に用いたトランジスタ(以下、OSトランジスタと呼ぶ場合がある。)、および容量素子が適用されている記憶装置(以下、OSメモリ装置と呼ぶ場合がある。)について説明する。OSメモリ装置は、少なくとも容量素子と、容量素子の充放電を制御するOSトランジスタを有する記憶装置である。OSトランジスタのオフ電流は極めて小さいので、OSメモリ装置は優れた保持特性をもち、不揮発性メモリとして機能させることができる。
(Embodiment 3)
In this embodiment, with reference to FIGS. 24A, 24B, and 25A to 25H, a transistor including an oxide as a semiconductor (hereinafter, referred to as an OS transistor in some cases) according to one embodiment of the present invention, A storage device to which a capacitor is applied (hereinafter also referred to as an OS memory device in some cases) will be described. An OS memory device is a storage device including at least a capacitor and an OS transistor that controls charge and discharge of the capacitor. Since the off-state current of the OS transistor is extremely small, the OS memory device has excellent retention characteristics and can function as a nonvolatile memory.
<記憶装置の構成例>
 図24AにOSメモリ装置の構成の一例を示す。記憶装置1400は、周辺回路1411、およびメモリセルアレイ1470を有する。周辺回路1411は、行回路1420、列回路1430、出力回路1440、およびコントロールロジック回路1460を有する。
<Structure example of storage device>
FIG. 24A shows an example of the configuration of the OS memory device. The memory device 1400 includes a peripheral circuit 1411 and a memory cell array 1470. The peripheral circuit 1411 includes a row circuit 1420, a column circuit 1430, an output circuit 1440, and a control logic circuit 1460.
 列回路1430は、例えば、列デコーダ、プリチャージ回路、センスアンプ、書き込み回路等を有する。プリチャージ回路は、配線をプリチャージする機能を有する。センスアンプは、メモリセルから読み出されたデータ信号を増幅する機能を有する。なお、上記配線は、メモリセルアレイ1470が有するメモリセルに接続されている配線であり、詳しくは後述する。増幅されたデータ信号は、出力回路1440を介して、データ信号RDATAとして記憶装置1400の外部に出力される。また、行回路1420は、例えば、行デコーダ、ワード線ドライバ回路等を有し、アクセスする行を選択することができる。 The column circuit 1430 has, for example, a column decoder, a precharge circuit, a sense amplifier, a write circuit, and the like. The precharge circuit has a function of precharging the wiring. The sense amplifier has a function of amplifying a data signal read from the memory cell. Note that the wiring is a wiring connected to a memory cell included in the memory cell array 1470 and will be described later in detail. The amplified data signal is output to the outside of the storage device 1400 as the data signal RDATA via the output circuit 1440. The row circuit 1420 has a row decoder, a word line driver circuit, and the like, for example, and can select a row to be accessed.
 記憶装置1400には、外部から電源電圧として低電源電圧(VSS)、周辺回路1411用の高電源電圧(VDD)、メモリセルアレイ1470用の高電源電圧(VIL)が供給される。また、記憶装置1400には、制御信号(CE、WE、RE)、アドレス信号ADDR、データ信号WDATAが外部から入力される。アドレス信号ADDRは、行デコーダおよび列デコーダに入力され、データ信号WDATAは書き込み回路に入力される。 A low power supply voltage (VSS), a high power supply voltage (VDD) for the peripheral circuit 1411, and a high power supply voltage (VIL) for the memory cell array 1470 are externally supplied to the storage device 1400 as power supply voltages. Further, a control signal (CE, WE, RE), an address signal ADDR, and a data signal WDATA are externally input to the memory device 1400. The address signal ADDR is input to the row decoder and the column decoder, and the data signal WDATA is input to the write circuit.
 コントロールロジック回路1460は、外部から入力される制御信号(CE、WE、RE)を処理して、行デコーダ、列デコーダの制御信号を生成する。制御信号CEは、チップイネーブル信号であり、制御信号WEは、書き込みイネーブル信号であり、制御信号REは、読み出しイネーブル信号である。コントロールロジック回路1460が処理する信号は、これに限定されるものではなく、必要に応じて、他の制御信号を入力すればよい。 The control logic circuit 1460 processes control signals (CE, WE, RE) input from the outside to generate control signals for the row decoder and the column decoder. The control signal CE is a chip enable signal, the control signal WE is a write enable signal, and the control signal RE is a read enable signal. The signal processed by the control logic circuit 1460 is not limited to this, and another control signal may be input as necessary.
 メモリセルアレイ1470は、行列状に配置された、複数個のメモリセルMCと、複数の配線を有する。なお、メモリセルアレイ1470と行回路1420とを接続している配線の数は、メモリセルMCの構成、一列に有するメモリセルMCの数などによって決まる。また、メモリセルアレイ1470と列回路1430とを接続している配線の数は、メモリセルMCの構成、一行に有するメモリセルMCの数などによって決まる。 The memory cell array 1470 has a plurality of memory cells MC and a plurality of wirings arranged in a matrix. Note that the number of wirings connecting the memory cell array 1470 and the row circuit 1420 is determined by the structure of the memory cell MC, the number of memory cells MC in one column, and the like. Further, the number of wirings that connect the memory cell array 1470 and the column circuit 1430 is determined by the configuration of the memory cell MC, the number of memory cells MC in one row, and the like.
 なお、図24Aにおいて、周辺回路1411とメモリセルアレイ1470を同一平面上に形成する例について示したが、本実施の形態はこれに限られるものではない。例えば、図24Bに示すように、周辺回路1411の一部の上に、メモリセルアレイ1470が重なるように設けられてもよい。例えば、メモリセルアレイ1470の下に重なるように、センスアンプを設ける構成にしてもよい。 Note that although FIG. 24A shows an example in which the peripheral circuit 1411 and the memory cell array 1470 are formed on the same plane, the present embodiment is not limited to this. For example, as shown in FIG. 24B, a memory cell array 1470 may be provided so as to overlap with part of the peripheral circuit 1411. For example, a sense amplifier may be provided so as to overlap under the memory cell array 1470.
 図25A乃至図25Hに上述のメモリセルMCに適用できるメモリセルの構成例について説明する。 25A to 25H, an example of the configuration of a memory cell applicable to the above memory cell MC will be described.
[DOSRAM]
 図25A乃至図25Cに、DRAMのメモリセルの回路構成例を示す。本明細書等において、1OSトランジスタ1容量素子型のメモリセルを用いたDRAMを、DOSRAM(Dynamic Oxide Semiconductor Random Access Memory)と呼ぶ場合がある。図25Aに示す、メモリセル1471は、トランジスタM1と、容量素子CAと、を有する。なお、トランジスタM1は、ゲート(トップゲートと呼ぶ場合がある。)、及びバックゲートを有する。
[DOSRAM]
25A to 25C show examples of circuit configurations of DRAM memory cells. In this specification and the like, a DRAM including a 1-OS transistor 1-capacitive element memory cell may be referred to as a DOSRAM (Dynamic Oxide Semiconductor Random Access Memory). The memory cell 1471 illustrated in FIG. 25A includes the transistor M1 and the capacitor CA. Note that the transistor M1 has a gate (sometimes referred to as a top gate) and a back gate.
 トランジスタM1の第1端子は、容量素子CAの第1端子と接続され、トランジスタM1の第2端子は、配線BILと接続され、トランジスタM1のゲートは、配線WOLと接続され、トランジスタM1のバックゲートは、配線BGLと接続されている。容量素子CAの第2端子は、配線CALと接続されている。 The first terminal of the transistor M1 is connected to the first terminal of the capacitor CA, the second terminal of the transistor M1 is connected to the wiring BIL, the gate of the transistor M1 is connected to the wiring WOL, and the back gate of the transistor M1 is connected. Are connected to the wiring BGL. The second terminal of the capacitor CA is connected to the wiring CAL.
 配線BILは、ビット線として機能し、配線WOLは、ワード線として機能する。配線CALは、容量素子CAの第2端子に所定の電位を印加するための配線として機能する。データの書き込み時、及び読み出し時において、配線CALには、低レベル電位を印加するのが好ましい。配線BGLは、トランジスタM1のバックゲートに電位を印加するための配線として機能する。配線BGLに任意の電位を印加することによって、トランジスタM1のしきい値電圧を増減することができる。 The wiring BIL functions as a bit line, and the wiring WOL functions as a word line. The wiring CAL functions as a wiring for applying a predetermined potential to the second terminal of the capacitor CA. It is preferable to apply a low-level potential to the wiring CAL at the time of writing and reading data. The wiring BGL functions as a wiring for applying a potential to the back gate of the transistor M1. By applying an arbitrary potential to the wiring BGL, the threshold voltage of the transistor M1 can be increased or decreased.
 ここで、図25Aに示すメモリセル1471は、図20に示す記憶装置に対応している。つまり、トランジスタM1はトランジスタ200に、容量素子CAは容量デバイス292に対応している。 Here, the memory cell 1471 shown in FIG. 25A corresponds to the storage device shown in FIG. That is, the transistor M1 corresponds to the transistor 200 and the capacitor CA corresponds to the capacitor device 292.
 また、メモリセルMCは、メモリセル1471に限定されず、回路構成の変更を行うことができる。例えば、メモリセルMCは、図25Bに示すメモリセル1472のように、トランジスタM1のバックゲートが、配線BGLでなく、配線WOLと接続される構成にしてもよい。また、例えば、メモリセルMCは、図25Cに示すメモリセル1473のように、シングルゲート構造のトランジスタ、つまりバックゲートを有さないトランジスタM1で構成されたメモリセルとしてもよい。 Also, the memory cell MC is not limited to the memory cell 1471, and the circuit configuration can be changed. For example, in the memory cell MC, like the memory cell 1472 illustrated in FIG. 25B, the back gate of the transistor M1 may be connected to the wiring WOL instead of the wiring BGL. Further, for example, the memory cell MC may be a memory cell including a transistor having a single-gate structure, that is, a transistor M1 having no back gate, like the memory cell 1473 illustrated in FIG. 25C.
 上記実施の形態に示す半導体装置をメモリセル1471等に用いる場合、トランジスタM1としてトランジスタ200を用い、容量素子CAとして容量素子100を用いることができる。トランジスタM1としてOSトランジスタを用いることによって、トランジスタM1のリーク電流を非常に小さくすることができる。つまり、書き込んだデータをトランジスタM1によって長時間保持することができるため、メモリセルのリフレッシュの頻度を少なくすることができる。または、メモリセルのリフレッシュ動作を不要にすることができる。また、リーク電流が非常に小さいため、メモリセル1471、メモリセル1472、メモリセル1473に対して多値データ、又はアナログデータを保持することができる。 When the semiconductor device described in any of the above embodiments is used for the memory cell 1471 or the like, the transistor 200 can be used as the transistor M1 and the capacitor 100 can be used as the capacitor CA. By using an OS transistor as the transistor M1, the leak current of the transistor M1 can be made extremely small. That is, since the written data can be held for a long time by the transistor M1, the frequency of refreshing the memory cell can be reduced. Alternatively, the refresh operation of the memory cell can be made unnecessary. Further, since the leak current is extremely small, multi-valued data or analog data can be held in the memory cell 1471, the memory cell 1472, and the memory cell 1473.
 また、DOSRAMにおいて、上記のように、メモリセルアレイ1470の下に重なるように、センスアンプを設ける構成にすると、ビット線を短くすることができる。これにより、ビット線容量が小さくなり、メモリセルの保持容量を低減することができる。 Further, in the DOSRAM, if the sense amplifier is provided so as to overlap under the memory cell array 1470 as described above, the bit line can be shortened. As a result, the bit line capacity is reduced and the storage capacity of the memory cell can be reduced.
[NOSRAM]
 図25D乃至図25Gに、2トランジスタ1容量素子のゲインセル型のメモリセルの回路構成例を示す。図25Dに示す、メモリセル1474は、トランジスタM2と、トランジスタM3と、容量素子CBと、を有する。なお、トランジスタM2は、トップゲート(単にゲートと呼ぶ場合がある。)、及びバックゲートを有する。本明細書等において、トランジスタM2にOSトランジスタを用いたゲインセル型のメモリセルを有する記憶装置を、NOSRAM(Nonvolatile Oxide Semiconductor RAM)と呼ぶ場合がある。
[NOSRAM]
25D to 25G show circuit configuration examples of a gain cell type memory cell having two transistors and one capacitor. The memory cell 1474 illustrated in FIG. 25D includes a transistor M2, a transistor M3, and a capacitor CB. Note that the transistor M2 has a top gate (may be simply referred to as a gate) and a back gate. In this specification and the like, a memory device including a gain cell type memory cell in which an OS transistor is used as the transistor M2 may be referred to as a NOSRAM (Nonvolatile Oxide Semiconductor RAM).
 トランジスタM2の第1端子は、容量素子CBの第1端子と接続され、トランジスタM2の第2端子は、配線WBLと接続され、トランジスタM2のゲートは、配線WOLと接続され、トランジスタM2のバックゲートは、配線BGLと接続されている。容量素子CBの第2端子は、配線CALと接続されている。トランジスタM3の第1端子は、配線RBLと接続され、トランジスタM3の第2端子は、配線SLと接続され、トランジスタM3のゲートは、容量素子CBの第1端子と接続されている。 The first terminal of the transistor M2 is connected to the first terminal of the capacitor CB, the second terminal of the transistor M2 is connected to the wiring WBL, the gate of the transistor M2 is connected to the wiring WOL, and the back gate of the transistor M2 is connected. Are connected to the wiring BGL. The second terminal of the capacitor CB is connected to the wiring CAL. The first terminal of the transistor M3 is connected to the wiring RBL, the second terminal of the transistor M3 is connected to the wiring SL, and the gate of the transistor M3 is connected to the first terminal of the capacitive element CB.
 配線WBLは、書き込みビット線として機能し、配線RBLは、読み出しビット線として機能し、配線WOLは、ワード線として機能する。配線CALは、容量素子CBの第2端子に所定の電位を印加するための配線として機能する。データの書き込み時、データ保持の最中、データの読み出し時において、配線CALには、低レベル電位を印加するのが好ましい。配線BGLは、トランジスタM2のバックゲートに電位を印加するための配線として機能する。配線BGLに任意の電位を印加することによって、トランジスタM2のしきい値電圧を増減することができる。 The wiring WBL functions as a write bit line, the wiring RBL functions as a read bit line, and the wiring WOL functions as a word line. The wiring CAL functions as a wiring for applying a predetermined potential to the second terminal of the capacitor CB. It is preferable to apply a low-level potential to the wiring CAL during data writing, during data retention, and during data reading. The wiring BGL functions as a wiring for applying a potential to the back gate of the transistor M2. By applying an arbitrary potential to the wiring BGL, the threshold voltage of the transistor M2 can be increased or decreased.
 ここで、図25Dに示すメモリセル1474は、図17に示す記憶装置に対応している。つまり、トランジスタM2はトランジスタ200に、容量素子CBは容量素子100に、トランジスタM3はトランジスタ300に、配線WBLは配線1003に、配線WOLは配線1004に、配線BGLは配線1006に、配線CALは配線1005に、配線RBLは配線1002に、配線SLは配線1001に対応している。 Here, the memory cell 1474 shown in FIG. 25D corresponds to the storage device shown in FIG. That is, the transistor M2 is the transistor 200, the capacitor CB is the capacitor 100, the transistor M3 is the transistor 300, the wiring WBL is the wiring 1003, the wiring WOL is the wiring 1004, the wiring BGL is the wiring 1006, and the wiring CAL is the wiring. 1005, the wiring RBL corresponds to the wiring 1002, and the wiring SL corresponds to the wiring 1001.
 また、メモリセルMCは、メモリセル1474に限定されず、回路の構成を適宜変更することができる。例えば、メモリセルMCは、図25Eに示すメモリセル1475のように、トランジスタM2のバックゲートが、配線BGLでなく、配線WOLと接続される構成にしてもよい。また、例えば、メモリセルMCは、図25Fに示すメモリセル1476のように、シングルゲート構造のトランジスタ、つまりバックゲートを有さないトランジスタM2で構成されたメモリセルとしてもよい。また、例えば、メモリセルMCは、図25Gに示すメモリセル1477のように、配線WBLと配線RBLを一本の配線BILとしてまとめた構成であってもよい。 The memory cell MC is not limited to the memory cell 1474, and the circuit configuration can be changed as appropriate. For example, in the memory cell MC, like the memory cell 1475 illustrated in FIG. 25E, the back gate of the transistor M2 may be connected to the wiring WOL instead of the wiring BGL. Further, for example, the memory cell MC may be a memory cell including a transistor having a single gate structure, that is, a transistor M2 having no back gate, like the memory cell 1476 shown in FIG. 25F. Further, for example, the memory cell MC may have a configuration in which the wiring WBL and the wiring RBL are combined into one wiring BIL like the memory cell 1477 illustrated in FIG. 25G.
 上記実施の形態に示す半導体装置をメモリセル1474等に用いる場合、トランジスタM2としてトランジスタ200を用い、トランジスタM3としてトランジスタ300を用い、容量素子CBとして容量素子100を用いることができる。トランジスタM2としてOSトランジスタを用いることによって、トランジスタM2のリーク電流を非常に小さくすることができる。これにより、書き込んだデータをトランジスタM2によって長時間保持することができるため、メモリセルのリフレッシュの頻度を少なくすることができる。または、メモリセルのリフレッシュ動作を不要にすることができる。また、リーク電流が非常に小さいため、メモリセル1474に多値データ、又はアナログデータを保持することができる。メモリセル1475乃至メモリセル1477も同様である。 When the semiconductor device described in any of the above embodiments is used for the memory cell 1474 or the like, the transistor 200 can be used as the transistor M2, the transistor 300 can be used as the transistor M3, and the capacitor 100 can be used as the capacitor CB. By using an OS transistor as the transistor M2, the leak current of the transistor M2 can be made extremely small. Accordingly, the written data can be held for a long time by the transistor M2, so that the frequency of refreshing the memory cell can be reduced. Alternatively, the refresh operation of the memory cell can be made unnecessary. Further, since the leak current is very small, multi-valued data or analog data can be held in the memory cell 1474. The same applies to the memory cells 1475 to 1477.
 なお、トランジスタM3は、チャネル形成領域にシリコンを有するトランジスタ(以下、Siトランジスタと呼ぶ場合がある)であってもよい。Siトランジスタの導電型は、nチャネル型としてもよいし、pチャネル型としてもよい。Siトランジスタは、OSトランジスタよりも電界効果移動度が高くなる場合がある。よって、読み出しトランジスタとして機能するトランジスタM3として、Siトランジスタを用いてもよい。また、トランジスタM3にSiトランジスタを用いることで、トランジスタM3の上に積層してトランジスタM2を設けることができるので、メモリセルの占有面積を低減し、記憶装置の高集積化を図ることができる。 Note that the transistor M3 may be a transistor having silicon in the channel formation region (hereinafter, also referred to as Si transistor). The conductivity type of the Si transistor may be an n-channel type or a p-channel type. The Si transistor may have higher field effect mobility than the OS transistor. Therefore, a Si transistor may be used as the transistor M3 that functions as a read transistor. Further, by using a Si transistor for the transistor M3, the transistor M2 can be provided by being stacked over the transistor M3, so that the area occupied by the memory cell can be reduced and the memory device can be highly integrated.
 また、トランジスタM3はOSトランジスタであってもよい。トランジスタM2およびトランジスタM3にOSトランジスタを用いた場合、メモリセルアレイ1470をn型トランジスタのみを用いて回路を構成することができる。 Also, the transistor M3 may be an OS transistor. When OS transistors are used for the transistors M2 and M3, the memory cell array 1470 can be formed using only n-type transistors.
 また、図25Hに3トランジスタ1容量素子のゲインセル型のメモリセルの一例を示す。図25Hに示すメモリセル1478は、トランジスタM4乃至トランジスタM6、および容量素子CCを有する。容量素子CCは適宜設けられる。メモリセル1478は、配線BIL、配線RWL、配線WWL、配線BGL、および配線GNDLに電気的に接続されている。配線GNDLは低レベル電位を与える配線である。なお、メモリセル1478を、配線BILに代えて、配線RBL、配線WBLに電気的に接続してもよい。 Further, FIG. 25H shows an example of a gain cell type memory cell having three transistors and one capacitor. The memory cell 1478 illustrated in FIG. 25H includes transistors M4 to M6 and a capacitor CC. The capacitive element CC is provided as appropriate. The memory cell 1478 is electrically connected to the wiring BIL, the wiring RWL, the wiring WWL, the wiring BGL, and the wiring GNDL. The wiring GNDL is a wiring which gives a low-level potential. Note that the memory cell 1478 may be electrically connected to the wiring RBL and the wiring WBL instead of the wiring BIL.
 トランジスタM4は、バックゲートを有するOSトランジスタであり、バックゲートは配線BGLに電気的に接続されている。なお、トランジスタM4のバックゲートとゲートとを互いに電気的に接続してもよい。あるいは、トランジスタM4はバックゲートを有さなくてもよい。 The transistor M4 is an OS transistor having a back gate, and the back gate is electrically connected to the wiring BGL. Note that the back gate and the gate of the transistor M4 may be electrically connected to each other. Alternatively, the transistor M4 may not have a back gate.
 なお、トランジスタM5、トランジスタM6はそれぞれ、nチャネル型Siトランジスタまたはpチャネル型Siトランジスタでもよい。或いは、トランジスタM4乃至トランジスタM6がOSトランジスタでもよい。この場合、メモリセルアレイ1470をn型トランジスタのみを用いて回路を構成することができる。 The transistors M5 and M6 may be n-channel Si transistors or p-channel Si transistors, respectively. Alternatively, the transistors M4 to M6 may be OS transistors. In this case, the memory cell array 1470 can be configured using only n-type transistors.
 上記実施の形態に示す半導体装置をメモリセル1478に用いる場合、トランジスタM4としてトランジスタ200を用い、トランジスタM5、トランジスタM6としてトランジスタ300を用い、容量素子CCとして容量素子100を用いることができる。トランジスタM4としてOSトランジスタを用いることによって、トランジスタM4のリーク電流を非常に小さくすることができる。 When the semiconductor device described in any of the above embodiments is used for the memory cell 1478, the transistor 200 can be used as the transistor M4, the transistor 300 can be used as the transistors M5, M6, and the capacitor 100 can be used as the capacitor CC. By using an OS transistor as the transistor M4, the leak current of the transistor M4 can be made extremely small.
 なお、本実施の形態に示す、周辺回路1411、メモリセルアレイ1470等の構成は、上記に限定されるものではない。これらの回路、および当該回路に接続される配線、回路素子等の、配置または機能は、必要に応じて、変更、削除、または追加してもよい。 Note that the structures of the peripheral circuit 1411, the memory cell array 1470, and the like shown in this embodiment are not limited to the above. Arrangement or function of these circuits and wirings, circuit elements, and the like connected to the circuits may be changed, deleted, or added as necessary.
 一般に、コンピュータなどの半導体装置では、用途に応じて様々な記憶装置(メモリ)が用いられる。図26に、各種の記憶装置を階層ごとに示す。上層に位置する記憶装置ほど速いアクセス速度が求められ、下層に位置する記憶装置ほど大きな記憶容量と高い記録密度が求められる。図26では、最上層から順に、CPUなどの演算処理装置にレジスタとして混載されるメモリ、SRAM(Static Random Access Memory)、DRAM(Dynamic Random Access Memory)、3D NANDメモリを示している。 Generally, in semiconductor devices such as computers, various storage devices (memory) are used depending on the application. FIG. 26 shows various storage devices for each hierarchy. A storage device located in the upper layer is required to have a high access speed, and a storage device located in the lower layer is required to have a large storage capacity and a high recording density. In FIG. 26, a memory, an SRAM (Static Random Access Memory), a DRAM (Dynamic Random Access Memory), and a 3D NAND memory that are mixedly mounted as a register in an arithmetic processing unit such as a CPU are shown in order from the top layer.
 CPUなどの演算処理装置にレジスタとして混載されるメモリは、演算結果の一時保存などに用いられるため、演算処理装置からのアクセス頻度が高い。よって、記憶容量よりも速い動作速度が求められる。また、レジスタは演算処理装置の設定情報などを保持する機能も有する。 The memory that is embedded as a register in an arithmetic processing unit such as a CPU is used for temporary storage of arithmetic results, and so is frequently accessed by the arithmetic processing unit. Therefore, an operation speed faster than the storage capacity is required. The register also has a function of holding setting information of the arithmetic processing unit.
 SRAMは、例えばキャッシュに用いられる。キャッシュは、メインメモリに保持されている情報の一部を複製して保持する機能を有する。使用頻繁が高いデータをキャッシュに複製しておくことで、データへのアクセス速度を高めることができる。 SRAM is used for cache, for example. The cache has a function of copying a part of the information held in the main memory and holding it. By duplicating frequently used data in the cache, the access speed to the data can be increased.
 DRAMは、例えばメインメモリに用いられる。メインメモリは、ストレージから読み出されたプログラムやデータを保持する機能を有する。DRAMの記録密度は、おおよそ0.1乃至0.3Gbit/mmである。 The DRAM is used as, for example, a main memory. The main memory has a function of holding programs and data read from the storage. The recording density of DRAM is approximately 0.1 to 0.3 Gbit/mm 2 .
 3D NANDメモリは、例えばストレージに用いられる。ストレージは、長期保存が必要なデータや、演算処理装置で使用する各種のプログラムなどを保持する機能を有する。よって、ストレージには動作速度よりも大きな記憶容量と高い記録密度が求められる。ストレージに用いられる記憶装置の記録密度は、おおよそ0.6乃至6.0Gbit/mmである。 The 3D NAND memory is used for storage, for example. The storage has a function of holding data that needs to be stored for a long time, various programs used in the arithmetic processing device, and the like. Therefore, the storage is required to have a storage capacity larger than the operating speed and a high recording density. The storage density of a storage device used for storage is approximately 0.6 to 6.0 Gbit/mm 2 .
 本発明の一態様の記憶装置は、動作速度が速く、長期間のデータ保持が可能である。本発明の一態様の記憶装置は、キャッシュが位置する階層とメインメモリが位置する階層の双方を含む境界領域901に位置する記憶装置として好適に用いることができる。また、本発明の一態様の記憶装置は、メインメモリが位置する階層とストレージが位置する階層の双方を含む境界領域902に位置する記憶装置として好適に用いることができる。 The storage device of one embodiment of the present invention has high operation speed and can hold data for a long time. The storage device of one embodiment of the present invention can be preferably used as a storage device located in a boundary area 901 including both a hierarchy where a cache is located and a hierarchy where a main memory is located. Further, the storage device of one embodiment of the present invention can be favorably used as a storage device located in the boundary area 902 including both the hierarchy where the main memory is located and the hierarchy where the storage is located.
 本実施の形態に示す構成は、他の実施の形態に示す構成と適宜組み合わせて用いることができる。 The structure described in this embodiment can be combined with any of the structures described in the other embodiments as appropriate.
(実施の形態4)
 本実施の形態では、図27Aおよび図27Bを用いて、本発明の半導体装置が実装されたチップ1200の一例を示す。チップ1200には、複数の回路(システム)が実装されている。このように、複数の回路(システム)を一つのチップに集積する技術を、システムオンチップ(System on Chip:SoC)と呼ぶ場合がある。
(Embodiment 4)
In this embodiment mode, an example of a chip 1200 in which a semiconductor device of the present invention is mounted is shown with reference to FIGS. 27A and 27B. A plurality of circuits (systems) are mounted on the chip 1200. The technique of integrating a plurality of circuits (systems) on a single chip in this manner may be referred to as a system on chip (SoC).
 図27Aに示すように、チップ1200は、CPU1211、GPU1212、一または複数のアナログ演算部1213、一または複数のメモリコントローラ1214、一または複数のインターフェース1215、一または複数のネットワーク回路1216等を有する。 As shown in FIG. 27A, the chip 1200 includes a CPU 1211, a GPU 1212, one or more analog arithmetic units 1213, one or more memory controllers 1214, one or more interfaces 1215, one or more network circuits 1216, and the like.
 チップ1200には、バンプ(図示しない)が設けられ、図27Bに示すように、プリント基板(Printed Circuit Board:PCB)1201の第1の面と接続する。また、PCB1201の第1の面の裏面には、複数のバンプ1202が設けられており、マザーボード1203と接続する。 A bump (not shown) is provided on the chip 1200, and is connected to a first surface of a printed circuit board (Printed Circuit Board: PCB) 1201 as shown in FIG. 27B. A plurality of bumps 1202 are provided on the back surface of the first surface of the PCB 1201 and are connected to the mother board 1203.
 マザーボード1203には、DRAM1221、フラッシュメモリ1222等の記憶装置が設けられていてもよい。例えば、DRAM1221に先の実施の形態に示すDOSRAMを用いることができる。また、例えば、フラッシュメモリ1222に先の実施の形態に示すNOSRAMを用いることができる。 The motherboard 1203 may be provided with a storage device such as a DRAM 1221 and a flash memory 1222. For example, the DOSRAM described in any of the above embodiments can be used as the DRAM 1221. Further, for example, the NOSRAM described in the above embodiment can be used for the flash memory 1222.
 CPU1211は、複数のCPUコアを有することが好ましい。また、GPU1212は、複数のGPUコアを有することが好ましい。また、CPU1211、およびGPU1212は、それぞれ一時的にデータを格納するメモリを有していてもよい。または、CPU1211、およびGPU1212に共通のメモリが、チップ1200に設けられていてもよい。該メモリには、前述したNOSRAMや、DOSRAMを用いることができる。また、GPU1212は、多数のデータの並列計算に適しており、画像処理や積和演算に用いることができる。GPU1212に、本発明の酸化物半導体を用いた画像処理回路や、積和演算回路を設けることで、画像処理、および積和演算を低消費電力で実行することが可能になる。 The CPU 1211 preferably has a plurality of CPU cores. Further, the GPU 1212 preferably has a plurality of GPU cores. Further, the CPU 1211 and the GPU 1212 may each have a memory for temporarily storing data. Alternatively, a memory common to the CPU 1211 and the GPU 1212 may be provided in the chip 1200. As the memory, the above-mentioned NOSRAM or DOSRAM can be used. Further, the GPU 1212 is suitable for parallel calculation of a large number of data and can be used for image processing and product-sum calculation. By providing the GPU 1212 with an image processing circuit using the oxide semiconductor of the present invention or a product-sum operation circuit, image processing and product-sum operation can be performed with low power consumption.
 また、CPU1211、およびGPU1212が同一チップに設けられていることで、CPU1211およびGPU1212間の配線を短くすることができ、CPU1211からGPU1212へのデータ転送、CPU1211、およびGPU1212が有するメモリ間のデータ転送、およびGPU1212での演算後に、GPU1212からCPU1211への演算結果の転送を高速に行うことができる。 Since the CPU 1211 and the GPU 1212 are provided in the same chip, wiring between the CPU 1211 and the GPU 1212 can be shortened, data transfer from the CPU 1211 to the GPU 1212, data transfer between the memories included in the CPU 1211 and the GPU 1212, Further, after the calculation in the GPU 1212, the calculation result can be transferred from the GPU 1212 to the CPU 1211 at high speed.
 アナログ演算部1213はA/D(アナログ/デジタル)変換回路、およびD/A(デジタル/アナログ)変換回路の一、または両方を有する。また、アナログ演算部1213に上記積和演算回路を設けてもよい。 The analog operation unit 1213 has one or both of an A/D (analog/digital) conversion circuit and a D/A (digital/analog) conversion circuit. Further, the analog-calculation unit 1213 may be provided with the product-sum calculation circuit.
 メモリコントローラ1214は、DRAM1221のコントローラとして機能する回路、およびフラッシュメモリ1222のインターフェースとして機能する回路を有する。 The memory controller 1214 has a circuit that functions as a controller of the DRAM 1221 and a circuit that functions as an interface of the flash memory 1222.
 インターフェース1215は、表示装置、スピーカー、マイクロフォン、カメラ、コントローラなどの外部接続機器とのインターフェース回路を有する。コントローラとは、マウス、キーボード、ゲーム用コントローラなどを含む。このようなインターフェースとして、USB(Universal Serial Bus)、HDMI(登録商標)(High−Definition Multimedia Interface)などを用いることができる。 The interface 1215 has an interface circuit with externally connected devices such as a display device, a speaker, a microphone, a camera, and a controller. The controller includes a mouse, a keyboard, a game controller, and the like. As such an interface, USB (Universal Serial Bus), HDMI (registered trademark) (High-Definition Multimedia Interface), or the like can be used.
 ネットワーク回路1216は、LAN(Local Area Network)などのネットワーク用の回路を有する。また、ネットワークセキュリティー用の回路を有してもよい。 The network circuit 1216 has a network circuit such as a LAN (Local Area Network). In addition, a circuit for network security may be included.
 チップ1200には、上記回路(システム)を同一の製造プロセスで形成することが可能である。そのため、チップ1200に必要な回路の数が増えても、製造プロセスを増やす必要が無く、チップ1200を低コストで作製することができる。 The above circuit (system) can be formed on the chip 1200 by the same manufacturing process. Therefore, even if the number of circuits required for the chip 1200 increases, it is not necessary to increase the manufacturing process, and the chip 1200 can be manufactured at low cost.
 GPU1212を有するチップ1200が設けられたPCB1201、DRAM1221、およびフラッシュメモリ1222が設けられたマザーボード1203は、GPUモジュール1204と呼ぶことができる。 The PCB 1201 provided with the chip 1200 having the GPU 1212, the DRAM 1221, and the motherboard 1203 provided with the flash memory 1222 can be called a GPU module 1204.
 GPUモジュール1204は、SoC技術を用いたチップ1200を有しているため、そのサイズを小さくすることができる。また、画像処理に優れていることから、スマートフォン、タブレット端末、ラップトップPC、携帯型(持ち出し可能な)ゲーム機などの携帯型電子機器に用いることが好適である。また、GPU1212を用いた積和演算回路により、ディープニューラルネットワーク(DNN)、畳み込みニューラルネットワーク(CNN)、再帰型ニューラルネットワーク(RNN)、自己符号化器、深層ボルツマンマシン(DBM)、深層信念ネットワーク(DBN)などの手法を実行することができるため、チップ1200をAIチップ、またはGPUモジュール1204をAIシステムモジュールとして用いることができる。 Since the GPU module 1204 has the chip 1200 using the SoC technology, its size can be reduced. Moreover, since it is excellent in image processing, it is suitable for use in portable electronic devices such as smartphones, tablet terminals, laptop PCs, portable (carry-out) game machines, and the like. Further, a product-sum operation circuit using the GPU 1212 allows deep neural networks (DNN), convolutional neural networks (CNN), recurrent neural networks (RNN), self-encoders, deep Boltzmann machines (DBM), deep belief networks ( The chip 1200 can be used as an AI chip, or the GPU module 1204 can be used as an AI system module because a technique such as DBN) can be performed.
 本実施の形態に示す構成は、他の実施の形態に示す構成と適宜組み合わせて用いることができる。 The structure described in this embodiment can be combined with any of the structures described in the other embodiments as appropriate.
(実施の形態5)
 本実施の形態は、上記実施の形態に示す記憶装置などが組み込まれた電子部品および電子機器の一例を示す。
(Embodiment 5)
This embodiment mode shows an example of an electronic component and an electronic device in which the memory device or the like described in the above embodiment mode is incorporated.
<電子部品>
 まず、記憶装置720が組み込まれた電子部品の例を、図28Aおよび図28Bを用いて説明を行う。
<Electronic parts>
First, an example of an electronic component in which the memory device 720 is incorporated will be described with reference to FIGS. 28A and 28B.
 図28Aに電子部品700および電子部品700が実装された基板(実装基板704)の斜視図を示す。図28Aに示す電子部品700は、モールド711内に記憶装置720を有している。図28Aは、電子部品700の内部を示すために、一部を省略している。電子部品700は、モールド711の外側にランド712を有する。ランド712は電極パッド713と電気的に接続され、電極パッド713は記憶装置720とワイヤ714によって電気的に接続されている。電子部品700は、例えばプリント基板702に実装される。このような電子部品が複数組み合わされて、それぞれがプリント基板702上で電気的に接続されることで実装基板704が完成する。 FIG. 28A shows a perspective view of electronic component 700 and a substrate (mounting substrate 704) on which electronic component 700 is mounted. The electronic component 700 shown in FIG. 28A has a storage device 720 in a mold 711. 28A, part of the electronic component 700 is omitted in order to show the inside thereof. The electronic component 700 has a land 712 outside the mold 711. The land 712 is electrically connected to the electrode pad 713, and the electrode pad 713 is electrically connected to the memory device 720 by the wire 714. The electronic component 700 is mounted on the printed board 702, for example. The mounting board 704 is completed by combining a plurality of such electronic components and electrically connecting them to each other on the printed board 702.
 記憶装置720は、駆動回路層721と、記憶回路層722と、を有する。 The storage device 720 has a drive circuit layer 721 and a storage circuit layer 722.
 図28Bに電子部品730の斜視図を示す。電子部品730は、SiP(System in package)またはMCM(Multi Chip Module)の一例である。電子部品730は、パッケージ基板732(プリント基板)上にインターポーザ731が設けられ、インターポーザ731上に半導体装置735、および複数の記憶装置720が設けられている。 28B shows a perspective view of the electronic component 730. The electronic component 730 is an example of SiP (System in package) or MCM (Multi Chip Module). In the electronic component 730, an interposer 731 is provided on a package board 732 (printed board), and a semiconductor device 735 and a plurality of storage devices 720 are provided on the interposer 731.
 電子部品730では、記憶装置720を広帯域メモリ(HBM:High Bandwidth Memory)として用いる例を示している。また、半導体装置735は、CPU、GPU、FPGAなどの集積回路(半導体装置)を用いることができる。 The electronic component 730 shows an example in which the storage device 720 is used as a wideband memory (HBM: High Bandwidth Memory). Further, as the semiconductor device 735, an integrated circuit (semiconductor device) such as a CPU, a GPU, or an FPGA can be used.
 パッケージ基板732は、セラミック基板、プラスチック基板、ガラスエポキシ基板などを用いることができる。インターポーザ731は、シリコンインターポーザ、樹脂インターポーザなどを用いることができる。 As the package substrate 732, a ceramic substrate, a plastic substrate, a glass epoxy substrate, or the like can be used. As the interposer 731, a silicon interposer, a resin interposer, or the like can be used.
 インターポーザ731は、複数の配線を有し、端子ピッチの異なる複数の集積回路を電気的に接続する機能を有する。複数の配線は、単層または多層で設けられる。また、インターポーザ731は、インターポーザ731上に設けられた集積回路をパッケージ基板732に設けられた電極と電気的に接続する機能を有する。これらのことから、インターポーザを「再配線基板」または「中間基板」と呼ぶ場合がある。また、インターポーザ731に貫通電極を設けて、当該貫通電極を用いて集積回路とパッケージ基板732を電気的に接続する場合もある。また、シリコンインターポーザでは、貫通電極として、TSV(Through Silicon Via)を用いることも出来る。 The interposer 731 has a plurality of wirings and has a function of electrically connecting a plurality of integrated circuits having different terminal pitches. The plurality of wirings are provided in a single layer or a multilayer. In addition, the interposer 731 has a function of electrically connecting an integrated circuit provided over the interposer 731 to an electrode provided over the package substrate 732. From these things, an interposer may be called a "redistribution board" or an "intermediate board." In addition, a through electrode may be provided in the interposer 731, and the integrated circuit and the package substrate 732 may be electrically connected using the through electrode. In the silicon interposer, TSV (Through Silicon Via) can also be used as the through electrode.
 インターポーザ731としてシリコンインターポーザを用いることが好ましい。シリコンインターポーザでは能動素子を設ける必要が無いため、集積回路よりも低コストで作製することができる。一方で、シリコンインターポーザの配線形成は半導体プロセスで行なうことができるため、樹脂インターポーザでは難しい微細配線の形成が容易である。 It is preferable to use a silicon interposer as the interposer 731. Since the silicon interposer does not require an active element, it can be manufactured at a lower cost than an integrated circuit. On the other hand, since the wiring of the silicon interposer can be formed by a semiconductor process, it is easy to form fine wiring, which is difficult with the resin interposer.
 HBMでは、広いメモリバンド幅を実現するために多くの配線を接続する必要がある。このため、HBMを実装するインターポーザには、微細かつ高密度の配線形成が求められる。よって、HBMを実装するインターポーザには、シリコンインターポーザを用いることが好ましい。 In HBM, it is necessary to connect many wirings in order to realize a wide memory bandwidth. Therefore, the interposer on which the HBM is mounted is required to form fine and high-density wiring. Therefore, it is preferable to use the silicon interposer as the interposer for mounting the HBM.
 また、シリコンインターポーザを用いたSiPやMCMなどでは、集積回路とインターポーザ間の膨張係数の違いによる信頼性の低下が生じにくい。また、シリコンインターポーザは表面の平坦性が高いため、シリコンインターポーザ上に設ける集積回路とシリコンインターポーザ間の接続不良が生じにくい。特に、インターポーザ上に複数の集積回路を横に並べて配置する2.5Dパッケージ(2.5次元実装)では、シリコンインターポーザを用いることが好ましい。 Also, with SiP and MCM that use a silicon interposer, it is difficult for reliability to decrease due to the difference in expansion coefficient between the integrated circuit and the interposer. Further, since the silicon interposer has a high surface flatness, a poor connection between the integrated circuit provided on the silicon interposer and the silicon interposer is unlikely to occur. In particular, in a 2.5D package (2.5-dimensional mounting) in which a plurality of integrated circuits are arranged side by side on the interposer, it is preferable to use a silicon interposer.
 また、電子部品730と重ねてヒートシンク(放熱板)を設けてもよい。ヒートシンクを設ける場合は、インターポーザ731上に設ける集積回路の高さを揃えることが好ましい。例えば、本実施の形態に示す電子部品730では、記憶装置720と半導体装置735の高さを揃えることが好ましい。 Also, a heat sink (heat dissipation plate) may be provided so as to overlap with the electronic component 730. When the heat sink is provided, it is preferable that the heights of the integrated circuits provided on the interposer 731 are uniform. For example, in the electronic component 730 described in this embodiment, it is preferable that the memory device 720 and the semiconductor device 735 have the same height.
 電子部品730を他の基板に実装するため、パッケージ基板732の底部に電極733を設けてもよい。図28Bでは、電極733を半田ボールで形成する例を示している。パッケージ基板732の底部に半田ボールをマトリクス状に設けることで、BGA(Ball Grid Array)実装を実現できる。また、電極733を導電性のピンで形成してもよい。パッケージ基板732の底部に導電性のピンをマトリクス状に設けることで、PGA(Pin Grid Array)実装を実現できる。 An electrode 733 may be provided on the bottom of the package substrate 732 to mount the electronic component 730 on another substrate. FIG. 28B shows an example in which the electrode 733 is formed of a solder ball. BGA (Ball Grid Array) mounting can be realized by providing solder balls in a matrix on the bottom of the package substrate 732. Alternatively, the electrode 733 may be formed of a conductive pin. PGA (Pin Grid Array) mounting can be realized by providing conductive pins in a matrix on the bottom of the package substrate 732.
 電子部品730は、BGAおよびPGAに限らず様々な実装方法を用いて他の基板に実装することができる。例えば、SPGA(Staggered Pin Grid Array)、LGA(Land Grid Array)、QFP(Quad Flat Package)、QFJ(Quad Flat J−leaded package)、またはQFN(Quad Flat Non−leaded package)などの実装方法を用いることができる。 The electronic component 730 can be mounted on another board by using various mounting methods other than BGA and PGA. For example, SPGA (Staggered Pin Grid Array), LGA (Land Grid Array), QFP (Quad Flat Package), QFJ (Quad Flat J-leaded package), or QFN (Quad-on-adhesive) method or QFN (Quad-on-Flade) method. be able to.
 本実施の形態は、他の実施の形態などに記載した構成と適宜組み合わせて実施することが可能である。 This embodiment can be implemented in appropriate combination with the structures described in the other embodiments.
(実施の形態6)
 本実施の形態では、先の実施の形態に示す半導体装置を用いた記憶装置の応用例について説明する。先の実施の形態に示す半導体装置は、例えば、各種電子機器(例えば、情報端末、コンピュータ、スマートフォン、電子書籍端末、デジタルカメラ(ビデオカメラも含む)、録画再生装置、ナビゲーションシステムなど)の記憶装置に適用できる。なお、ここで、コンピュータとは、タブレット型のコンピュータ、ノート型のコンピュータ、デスクトップ型のコンピュータの他、サーバシステムのような大型のコンピュータを含むものである。または、先の実施の形態に示す半導体装置は、メモリカード(例えば、SDカード)、USBメモリ、SSD(ソリッド・ステート・ドライブ)等の各種のリムーバブル記憶装置に適用される。図29A乃至図29Eにリムーバブル記憶装置の幾つかの構成例を模式的に示す。例えば、先の実施の形態に示す半導体装置は、パッケージングされたメモリチップに加工され、様々なストレージ装置、リムーバブルメモリに用いられる。
(Embodiment 6)
In this embodiment, application examples of a memory device including any of the semiconductor devices described in the above embodiments will be described. The semiconductor device described in the above embodiment is, for example, a storage device of various electronic devices (eg, information terminals, computers, smartphones, electronic book terminals, digital cameras (including video cameras), recording/playback devices, navigation systems, and the like). Applicable to Here, the computer includes a tablet computer, a notebook computer, a desktop computer, and a large computer such as a server system. Alternatively, the semiconductor device described in any of the above embodiments is applied to various removable storage devices such as a memory card (eg, an SD card), a USB memory, an SSD (solid state drive), and the like. 29A to 29E schematically show some configuration examples of the removable storage device. For example, the semiconductor device described in any of the above embodiments is processed into a packaged memory chip and used for various storage devices and removable memories.
 図29AはUSBメモリの模式図である。USBメモリ1100は、筐体1101、キャップ1102、USBコネクタ1103および基板1104を有する。基板1104は、筐体1101に収納されている。例えば、基板1104には、メモリチップ1105、コントローラチップ1106が取り付けられている。メモリチップ1105などに先の実施の形態に示す半導体装置を組み込むことができる。 FIG. 29A is a schematic diagram of a USB memory. The USB memory 1100 has a housing 1101, a cap 1102, a USB connector 1103, and a substrate 1104. The substrate 1104 is housed in the housing 1101. For example, a memory chip 1105 and a controller chip 1106 are attached to the substrate 1104. The semiconductor device described in any of the above embodiments can be incorporated in the memory chip 1105 or the like.
 図29BはSDカードの外観の模式図であり、図29Cは、SDカードの内部構造の模式図である。SDカード1110は、筐体1111、コネクタ1112および基板1113を有する。基板1113は筐体1111に収納されている。例えば、基板1113には、メモリチップ1114、コントローラチップ1115が取り付けられている。基板1113の裏面側にもメモリチップ1114を設けることで、SDカード1110の容量を増やすことができる。また、無線通信機能を備えた無線チップを基板1113に設けてもよい。これによって、ホスト装置とSDカード1110間の無線通信によって、メモリチップ1114のデータの読み出し、書き込みが可能となる。メモリチップ1114などに先の実施の形態に示す半導体装置を組み込むことができる。 FIG. 29B is a schematic diagram of the external appearance of the SD card, and FIG. 29C is a schematic diagram of the internal structure of the SD card. The SD card 1110 has a housing 1111, a connector 1112, and a board 1113. The substrate 1113 is housed in the housing 1111. For example, the memory chip 1114 and the controller chip 1115 are attached to the substrate 1113. By providing the memory chip 1114 also on the back surface side of the substrate 1113, the capacity of the SD card 1110 can be increased. In addition, a wireless chip having a wireless communication function may be provided over the substrate 1113. As a result, the data in the memory chip 1114 can be read and written by wireless communication between the host device and the SD card 1110. The semiconductor device described in any of the above embodiments can be incorporated in the memory chip 1114 or the like.
 図29DはSSDの外観の模式図であり、図29Eは、SSDの内部構造の模式図である。SSD1150は、筐体1151、コネクタ1152および基板1153を有する。基板1153は筐体1151に収納されている。例えば、基板1153には、メモリチップ1154、メモリチップ1155、コントローラチップ1156が取り付けられている。メモリチップ1155はコントローラチップ1156のワークメモリであり、例えばDOSRAMチップを用いればよい。基板1153の裏面側にもメモリチップ1154を設けることで、SSD1150の容量を増やすことができる。メモリチップ1154などに先の実施の形態に示す半導体装置を組み込むことができる。 FIG. 29D is a schematic diagram of the external appearance of the SSD, and FIG. 29E is a schematic diagram of the internal structure of the SSD. The SSD 1150 has a housing 1151, a connector 1152, and a board 1153. The substrate 1153 is housed in the housing 1151. For example, the memory chip 1154, the memory chip 1155, and the controller chip 1156 are attached to the substrate 1153. The memory chip 1155 is a work memory of the controller chip 1156, and for example, a DOSRAM chip may be used. By providing the memory chip 1154 also on the back surface side of the substrate 1153, the capacity of the SSD 1150 can be increased. The semiconductor device described in any of the above embodiments can be incorporated in the memory chip 1154 or the like.
 本実施の形態は、他の実施の形態に記載した構成と適宜組み合わせて実施することが可能である。 This embodiment can be implemented in appropriate combination with any of the structures described in the other embodiments.
(実施の形態7)
 本発明の一態様に係る半導体装置は、CPUやGPUなどのプロセッサ、またはチップに用いることができる。図30A乃至図30Hに、本発明の一態様に係るCPUやGPUなどのプロセッサ、またはチップを備えた電子機器の具体例を示す。
(Embodiment 7)
The semiconductor device according to one embodiment of the present invention can be used for a processor such as a CPU or a GPU, or a chip. 30A to 30H show specific examples of electronic devices each including a processor such as a CPU or a GPU or a chip according to one embodiment of the present invention.
<電子機器・システム>
 本発明の一態様に係るGPUまたはチップは、様々な電子機器に搭載することができる。電子機器の例としては、例えば、テレビジョン装置、デスクトップ型またはノート型の情報端末用などのモニタ、デジタルサイネージ(Digital Signage:電子看板)、パチンコ機などの大型ゲーム機、などの比較的大きな画面を備える電子機器の他、デジタルカメラ、デジタルビデオカメラ、デジタルフォトフレーム、電子ブックリーダー、携帯電話機、携帯型ゲーム機、携帯情報端末、音響再生装置、などが挙げられる。また、本発明の一態様に係るGPUまたはチップを電子機器に設けることにより、電子機器に人工知能を搭載することができる。
<Electronic devices and systems>
The GPU or the chip according to one embodiment of the present invention can be mounted on various electronic devices. Examples of the electronic device include a relatively large screen such as a television device, a monitor for a desktop or notebook information terminal, a digital signage (digital signage), a large game machine such as a pachinko machine, and the like. In addition to electronic devices including, a digital camera, a digital video camera, a digital photo frame, an electronic book reader, a mobile phone, a portable game machine, a personal digital assistant, a sound reproducing device, and the like. By providing the electronic device with the GPU or the chip according to one embodiment of the present invention, artificial intelligence can be mounted on the electronic device.
 本発明の一態様の電子機器は、アンテナを有していてもよい。アンテナで信号を受信することで、表示部で映像や情報等の表示を行うことができる。また、電子機器がアンテナ及び二次電池を有する場合、アンテナを、非接触電力伝送に用いてもよい。 The electronic device of one embodiment of the present invention may include an antenna. By receiving the signal with the antenna, images, information, and the like can be displayed on the display portion. When the electronic device has an antenna and a secondary battery, the antenna may be used for contactless power transmission.
 本発明の一態様の電子機器は、センサ(力、変位、位置、速度、加速度、角速度、回転数、距離、光、液、磁気、温度、化学物質、音声、時間、硬度、電場、電流、電圧、電力、放射線、流量、湿度、傾度、振動、においまたは赤外線を測定する機能を含むもの)を有していてもよい。 The electronic device according to one embodiment of the present invention includes a sensor (force, displacement, position, velocity, acceleration, angular velocity, rotation speed, distance, light, liquid, magnetism, temperature, chemical substance, voice, time, hardness, electric field, current, It has a function of measuring voltage, electric power, radiation, flow rate, humidity, gradient, vibration, odor or infrared light).
 本発明の一態様の電子機器は、様々な機能を有することができる。例えば、様々な情報(静止画、動画、テキスト画像など)を表示部に表示する機能、タッチパネル機能、カレンダー、日付または時刻などを表示する機能、様々なソフトウェア(プログラム)を実行する機能、無線通信機能、記録媒体に記録されているプログラムまたはデータを読み出す機能等を有することができる。図30A乃至図30Hに、電子機器の例を示す。 The electronic device of one embodiment of the present invention can have various functions. For example, a function of displaying various information (still images, moving images, text images, etc.) on the display unit, a touch panel function, a function of displaying a calendar, date or time, a function of executing various software (programs), wireless communication It can have a function, a function of reading a program or data recorded in a recording medium, and the like. 30A to 30H show examples of electronic devices.
[情報端末]
 図30Aには、情報端末の一種である携帯電話(スマートフォン)が図示されている。情報端末5100は、筐体5101と、表示部5102と、を有しており、入力用インターフェースとして、タッチパネルが表示部5102に備えられ、ボタンが筐体5101に備えられている。
[Information terminal]
FIG. 30A illustrates a mobile phone (smartphone) that is a type of information terminal. The information terminal 5100 includes a housing 5101 and a display portion 5102. A touch panel is provided in the display portion 5102 and a button is provided in the housing 5101 as an input interface.
 情報端末5100は、本発明の一態様のチップを適用することで、人工知能を利用したアプリケーションを実行することができる。人工知能を利用したアプリケーションとしては、例えば、会話を認識してその会話内容を表示部5102に表示するアプリケーション、表示部5102に備えるタッチパネルに対してユーザが入力した文字、図形などを認識して、表示部5102に表示するアプリケーション、指紋や声紋などの生体認証を行うアプリケーションなどが挙げられる。 By applying the chip of one embodiment of the present invention, the information terminal 5100 can execute an application utilizing artificial intelligence. As an application using artificial intelligence, for example, an application that recognizes a conversation and displays the content of the conversation on the display unit 5102, recognizes a character or a figure input by a user on a touch panel included in the display unit 5102, An application displayed on the display portion 5102, an application for biometric authentication such as a fingerprint or a voiceprint, and the like can be given.
 図30Bには、ノート型情報端末5200が図示されている。ノート型情報端末5200は、情報端末の本体5201と、表示部5202と、キーボード5203と、を有する。 FIG. 30B shows a notebook information terminal 5200. The laptop information terminal 5200 includes a main body 5201 of the information terminal, a display portion 5202, and a keyboard 5203.
 ノート型情報端末5200は、先述した情報端末5100と同様に、本発明の一態様のチップを適用することで、人工知能を利用したアプリケーションを実行することができる。人工知能を利用したアプリケーションとしては、例えば、設計支援ソフトウェア、文章添削ソフトウェア、献立自動生成ソフトウェアなどが挙げられる。また、ノート型情報端末5200を用いることで、新規の人工知能の開発を行うことができる。 Like the information terminal 5100 described above, the notebook information terminal 5200 can execute an application utilizing artificial intelligence by applying the chip of one embodiment of the present invention. Examples of applications using artificial intelligence include design support software, text correction software, and menu automatic generation software. Further, by using the notebook information terminal 5200, new artificial intelligence can be developed.
 なお、上述では、電子機器としてスマートフォン、およびノート型情報端末を例として、それぞれ図30A、図30Bに図示したが、スマートフォン、およびノート型情報端末以外の情報端末を適用することができる。スマートフォン、およびノート型情報端末以外の情報端末としては、例えば、PDA(Personal Digital Assistant)、デスクトップ型情報端末、ワークステーションなどが挙げられる。 Note that, in the above description, although a smartphone and a notebook information terminal are illustrated as an electronic device in FIGS. 30A and 30B, respectively, information terminals other than the smartphone and the notebook information terminal can be applied. Examples of information terminals other than smartphones and notebook information terminals include PDA (Personal Digital Assistant), desktop information terminals, workstations, and the like.
[ゲーム機]
 図30Cは、ゲーム機の一例である携帯ゲーム機5300を示している。携帯ゲーム機5300は、筐体5301、筐体5302、筐体5303、表示部5304、接続部5305、操作キー5306等を有する。筐体5302、および筐体5303は、筐体5301から取り外すことが可能である。筐体5301に設けられている接続部5305を別の筐体(図示せず)に取り付けることで、表示部5304に出力される映像を、別の映像機器(図示せず)に出力することができる。このとき、筐体5302、および筐体5303は、それぞれ操作部として機能することができる。これにより、複数のプレイヤーが同時にゲームを行うことができる。筐体5301、筐体5302、および筐体5303の基板に設けられているチップなどに先の実施の形態に示すチップを組み込むことができる。
[game machine]
FIG. 30C shows a portable game machine 5300 which is an example of a game machine. The portable game machine 5300 includes a housing 5301, a housing 5302, a housing 5303, a display portion 5304, a connection portion 5305, operation keys 5306, and the like. The housings 5302 and 5303 can be removed from the housing 5301. By attaching the connection portion 5305 provided in the housing 5301 to another housing (not shown), an image output to the display portion 5304 can be output to another video device (not shown). it can. At this time, the housing 5302 and the housing 5303 can each function as an operation portion. This allows a plurality of players to play the game at the same time. The chip described in any of the above embodiments can be incorporated in chips provided on the substrates of the housings 5301, 5302, and 5303.
 また、図30Dは、ゲーム機の一例である据え置き型ゲーム機5400を示している。据え置き型ゲーム機5400には、無線または有線でコントローラ5402が接続されている。 Further, FIG. 30D shows a stationary game machine 5400 which is an example of a game machine. A controller 5402 is connected to the stationary game machine 5400 wirelessly or by wire.
 携帯ゲーム機5300、据え置き型ゲーム機5400などのゲーム機に本発明の一態様のGPUまたはチップを適用することによって、低消費電力のゲーム機を実現することができる。また、低消費電力により、回路からの発熱を低減することができるため、発熱によるその回路自体、周辺回路、およびモジュールへの影響を少なくすることができる。 By applying the GPU or the chip of one embodiment of the present invention to a game machine such as the portable game machine 5300 or the stationary game machine 5400, a game machine with low power consumption can be realized. In addition, low power consumption can reduce heat generation from a circuit, so that the influence of heat generation on the circuit itself, peripheral circuits, and modules can be reduced.
 更に、携帯ゲーム機5300に本発明の一態様のGPUまたはチップを適用することによって、人工知能を有する携帯ゲーム機5300を実現することができる。 Further, by applying the GPU or the chip of one embodiment of the present invention to the mobile game machine 5300, the mobile game machine 5300 having artificial intelligence can be realized.
 本来、ゲームの進行、ゲーム上に登場する生物の言動、ゲーム上で発生する現象などの表現は、そのゲームが有するプログラムによって定められているが、携帯ゲーム機5300に人工知能を適用することにより、ゲームのプログラムに限定されない表現が可能になる。例えば、プレイヤーが問いかける内容、ゲームの進行状況、時刻、ゲーム上に登場する人物の言動が変化するといった表現が可能となる。 Originally, expressions such as the progress of the game, the behavior of creatures appearing in the game, and the phenomena that occur in the game are determined by the program included in the game. However, by applying artificial intelligence to the portable game machine 5300, , It is possible to express without being limited to the game program. For example, it is possible to express that the content of the question asked by the player, the progress of the game, the time, and the behavior of the person appearing in the game changes.
 また、携帯ゲーム機5300で複数のプレイヤーが必要なゲームを行う場合、人工知能によって擬人的にゲームプレイヤーを構成することができるため、対戦相手を人工知能によるゲームプレイヤーとすることによって、1人でもゲームを行うことができる。 Further, when a plurality of players play a necessary game on the portable game machine 5300, the artificial intelligence can configure the game player as an anthropomorphic person. You can play games.
 図30C、図30Dでは、ゲーム機の一例として携帯ゲーム機、および据え置き型ゲーム機を図示しているが、本発明の一態様のGPUまたはチップを適用するゲーム機はこれに限定されない。本発明の一態様のGPUまたはチップを適用するゲーム機としては、例えば、娯楽施設(ゲームセンター、遊園地など)に設置されるアーケードゲーム機、スポーツ施設に設置されるバッティング練習用の投球マシンなどが挙げられる。 30C and 30D illustrate a portable game machine and a stationary game machine as examples of the game machine, the game machine to which the GPU or the chip of one embodiment of the present invention is applied is not limited thereto. As a game machine to which the GPU or the chip of one embodiment of the present invention is applied, for example, an arcade game machine installed in an entertainment facility (game center, amusement park, etc.), a batting practice pitching machine installed in a sports facility, or the like. Are listed.
[大型コンピュータ]
 本発明の一態様のGPUまたはチップは、大型コンピュータに適用することができる。
[Large computer]
The GPU or chip of one embodiment of the present invention can be applied to a large computer.
 図30Eは、大型コンピュータの一例である、スーパーコンピュータ5500を示す図である。図30Fは、スーパーコンピュータ5500が有するラックマウント型の計算機5502を示す図である。 FIG. 30E is a diagram showing a super computer 5500, which is an example of a large computer. FIG. 30F is a diagram showing a rack mount computer 5502 included in the super computer 5500.
 スーパーコンピュータ5500は、ラック5501と、複数のラックマウント型の計算機5502と、を有する。なお、複数の計算機5502は、ラック5501に格納されている。また、計算機5502には、複数の基板5504が設けられ、当該基板上に上記実施の形態で説明したGPUまたはチップを搭載することができる。 The super computer 5500 has a rack 5501 and a plurality of rack mount computers 5502. The plurality of computers 5502 are stored in the rack 5501. Further, the computer 5502 is provided with a plurality of substrates 5504, and the GPU or the chip described in any of the above embodiments can be mounted on the substrates.
 スーパーコンピュータ5500は、主に科学技術計算に利用される大型コンピュータである。科学技術計算では、膨大な演算を高速に処理する必要があるため、消費電力が高く、チップの発熱が大きい。スーパーコンピュータ5500に本発明の一態様のGPUまたはチップを適用することによって、低消費電力のスーパーコンピュータを実現することができる。また、低消費電力により、回路からの発熱を低減することができるため、発熱によるその回路自体、周辺回路、およびモジュールへの影響を少なくすることができる。 Super computer 5500 is a large computer mainly used for scientific and technological calculations. Scientific calculation requires high-speed processing of a huge amount of calculation, resulting in high power consumption and high chip heat generation. By applying the GPU or the chip of one embodiment of the present invention to the supercomputer 5500, a supercomputer with low power consumption can be realized. In addition, low power consumption can reduce heat generation from a circuit, so that the influence of heat generation on the circuit itself, peripheral circuits, and modules can be reduced.
 図30E、図30Fでは、大型コンピュータの一例としてスーパーコンピュータを図示しているが、本発明の一態様のGPUまたはチップを適用する大型コンピュータはこれに限定されない。本発明の一態様のGPUまたはチップを適用する大型コンピュータとしては、例えば、サービスを提供するコンピュータ(サーバー)、大型汎用コンピュータ(メインフレーム)などが挙げられる。 30E and 30F illustrate a supercomputer as an example of a large computer, the large computer to which the GPU or the chip of one embodiment of the present invention is applied is not limited to this. Examples of large-sized computers to which the GPU or chip of one embodiment of the present invention is applied include computers (servers) that provide services, large-sized general-purpose computers (mainframes), and the like.
[移動体]
 本発明の一態様のGPUまたはチップは、移動体である自動車、および自動車の運転席周辺に適用することができる。
[Mobile]
The GPU or the chip of one embodiment of the present invention can be applied to an automobile that is a moving object and around the driver's seat of the automobile.
 図30Gは、移動体の一例である自動車の室内におけるフロントガラス周辺を示す図である。図30Gでは、ダッシュボードに取り付けられた表示パネル5701、表示パネル5702、表示パネル5703の他、ピラーに取り付けられた表示パネル5704を図示している。 FIG. 30G is a diagram showing the vicinity of the windshield in the interior of an automobile, which is an example of a moving body. FIG. 30G illustrates the display panel 5701, the display panel 5702, and the display panel 5703 attached to the dashboard, and the display panel 5704 attached to the pillar.
 表示パネル5701乃至表示パネル5703は、スピードメーターやタコメーター、走行距離、燃料計、ギア状態、エアコンの設定などを表示することで、その他様々な情報を提供することができる。また、表示パネルに表示される表示項目やレイアウトなどは、ユーザの好みに合わせて適宜変更することができ、デザイン性を高めることが可能である。表示パネル5701乃至表示パネル5703は、照明装置として用いることも可能である。 The display panels 5701 to 5703 can provide various other information by displaying speedometer, tachometer, mileage, fuel gauge, gear status, air conditioner settings, and the like. The display items and layout displayed on the display panel can be appropriately changed according to the user's preference, and the design can be improved. The display panels 5701 to 5703 can also be used as a lighting device.
 表示パネル5704には、自動車に設けられた撮像装置(図示しない。)からの映像を映し出すことによって、ピラーで遮られた視界(死角)を補完することができる。すなわち、自動車の外側に設けられた撮像装置からの画像を表示することによって、死角を補い、安全性を高めることができる。また、見えない部分を補完する映像を映すことによって、より自然に違和感なく安全確認を行うことができる。表示パネル5704は、照明装置として用いることもできる。 By displaying an image from an image pickup device (not shown) provided on the automobile on the display panel 5704, the field of view (blind spot) blocked by the pillars can be complemented. That is, by displaying the image from the image pickup device provided outside the automobile, the blind spot can be compensated and the safety can be improved. In addition, by displaying an image that complements the invisible portion, it is possible to confirm the safety more naturally and comfortably. The display panel 5704 can also be used as a lighting device.
 本発明の一態様のGPUまたはチップは人工知能の構成要素として適用できるため、例えば、当該チップを自動車の自動運転システムに用いることができる。また、当該チップを道路案内、危険予測などを行うシステムに用いることができる。表示パネル5701乃至表示パネル5704には、道路案内、危険予測などの情報を表示する構成としてもよい。 Since the GPU or the chip of one embodiment of the present invention can be applied as a component of artificial intelligence, the chip can be used, for example, in an automatic driving system of an automobile. In addition, the chip can be used for a system that performs road guidance, danger prediction, and the like. Information such as road guidance and risk prediction may be displayed on the display panels 5701 to 5704.
 なお、上述では、移動体の一例として自動車について説明しているが、移動体は自動車に限定されない。例えば、移動体としては、電車、モノレール、船、飛行体(ヘリコプター、無人航空機(ドローン)、飛行機、ロケット)なども挙げることができ、これらの移動体に本発明の一態様のチップを適用して、人工知能を利用したシステムを付与することができる。 In the above, a car is described as an example of the moving body, but the moving body is not limited to the car. For example, as the moving object, a train, a monorail, a ship, a flying object (a helicopter, an unmanned aerial vehicle (drone), an airplane, a rocket), or the like can be given, and the chip of one embodiment of the present invention is applied to these moving objects. Thus, a system using artificial intelligence can be added.
[電化製品]
 図30Hは、電化製品の一例である電気冷凍冷蔵庫5800を示している。電気冷凍冷蔵庫5800は、筐体5801、冷蔵室用扉5802、冷凍室用扉5803等を有する。
[Electronics]
FIG. 30H shows an electric refrigerator-freezer 5800 which is an example of an electric appliance. The electric refrigerator-freezer 5800 includes a housing 5801, a refrigerator compartment door 5802, a freezer compartment door 5803, and the like.
 電気冷凍冷蔵庫5800に本発明の一態様のチップを適用することによって、人工知能を有する電気冷凍冷蔵庫5800を実現することができる。人工知能を利用することによって電気冷凍冷蔵庫5800は、電気冷凍冷蔵庫5800に保存されている食材、その食材の消費期限などを基に献立を自動生成する機能や、電気冷凍冷蔵庫5800に保存されている食材に合わせた温度に自動的に調節する機能などを有することができる。 By applying the chip of one embodiment of the present invention to the electric refrigerator-freezer 5800, the electric refrigerator-freezer 5800 having artificial intelligence can be realized. By using artificial intelligence, the electric refrigerator-freezer 5800 has a function of automatically generating a menu based on the food items stored in the electric refrigerator-freezer 5800, the expiration date of the foodstuff, and the electric refrigerator-freezer 5800. It can have a function of automatically adjusting the temperature to match the food.
 電化製品の一例として電気冷凍冷蔵庫について説明したが、その他の電化製品としては、例えば、掃除機、電子レンジ、電子オーブン、炊飯器、湯沸かし器、IH調理器、ウォーターサーバ、エアーコンディショナーを含む冷暖房器具、洗濯機、乾燥機、オーディオビジュアル機器などが挙げられる。 Although an electric refrigerator-freezer is described as an example of the electric appliance, other electric appliances include, for example, a vacuum cleaner, a microwave oven, a microwave oven, a rice cooker, a water heater, an IH cooker, a water server, an air conditioner including an air conditioner, Examples include washing machines, dryers and audiovisual equipment.
 本実施の形態で説明した電子機器、その電子機器の機能、人工知能の応用例、その効果などは、他の電子機器の記載と適宜組み合わせることができる。 The electronic device described in this embodiment, the function of the electronic device, the application example of the artificial intelligence, the effect, and the like can be appropriately combined with the description of other electronic devices.
 本実施の形態は、他の実施の形態に記載した構成と適宜組み合わせて実施することが可能である。 This embodiment can be implemented in appropriate combination with any of the structures described in the other embodiments.
 100:容量素子、110:導電体、112:導電体、115:導電体、120:導電体、125:導電体、130:絶縁体、140:導電体、142:絶縁体、145:絶縁体、150:絶縁体、152:絶縁体、153:導電体、154:絶縁体、156:絶縁体、200:トランジスタ、200_n:トランジスタ、200_1:トランジスタ、200a:トランジスタ、200b:トランジスタ、200T:トランジスタ、205:導電体、205a:導電体、205b:導電体、210:絶縁体、211:絶縁体、212:絶縁体、214:絶縁体、216:絶縁体、217:絶縁体、218:導電体、222:絶縁体、224:絶縁体、230:酸化物、230a:酸化物、230A:酸化膜、230b:酸化物、230B:酸化膜、230c:酸化物、230c1:酸化物、230c2:酸化物、230C:酸化膜、230d:酸化物、240:導電体、240a:導電体、240b:導電体、241:絶縁体、241a:絶縁体、241b:絶縁体、242:導電体、242a:導電体、242A:導電膜、242b:導電体、242B:導電層、242c:導電体、243:酸化物、243a:酸化物、243A:酸化膜、243b:酸化物、243B:酸化物層、246:導電体、246a:導電体、246b:導電体、250:絶縁体、250A:絶縁膜、260:導電体、260a:導電体、260A:導電膜、260b:導電体、260B:導電膜、265:封止部、265a:封止部、265b:封止部、272:絶縁体、273:絶縁体、274:絶縁体、280:絶縁体、282:絶縁体、283:絶縁体、284:絶縁体、286:絶縁体、287:絶縁体、290:メモリデバイス、292:容量デバイス、292a:容量デバイス、292b:容量デバイス、294:導電体、294a:導電体、294b:導電体、300:トランジスタ、311:基板、313:半導体領域、314a:低抵抗領域、314b:低抵抗領域、315:絶縁体、316:導電体、320:絶縁体、322:絶縁体、324:絶縁体、326:絶縁体、328:導電体、330:導電体、350:絶縁体、352:絶縁体、354:絶縁体、356:導電体、400:トランジスタ、405:導電体、411:素子層、413:トランジスタ層、415:メモリデバイス層、415_1:メモリデバイス層、415_3:メモリデバイス層、415_4:メモリデバイス層、420:メモリデバイス、424:導電体、430d:酸化物、431a:酸化物、431b:酸化物、432a:酸化物、432b:酸化物、440:導電体、442a:導電体、442b:導電体、443a:酸化物、443b:酸化物、450:絶縁体、460:導電体、460a:導電体、460b:導電体、470:メモリユニット、600:半導体装置、601:半導体装置、610:セルアレイ、610_1:セルアレイ、610_n:セルアレイ、700:電子部品、702:プリント基板、704:実装基板、711:モールド、712:ランド、713:電極パッド、714:ワイヤ、720:記憶装置、721:駆動回路層、722:記憶回路層、730:電子部品、731:インターポーザ、732:パッケージ基板、733:電極、735:半導体装置、901:境界領域、902:境界領域、1001:配線、1002:配線、1003:配線、1004:配線、1005:配線、1006:配線、1007:配線、1008:配線、1009:配線、1010:配線、1100:USBメモリ、1101:筐体、1102:キャップ、1103:USBコネクタ、1104:基板、1105:メモリチップ、1106:コントローラチップ、1110:SDカード、1111:筐体、1112:コネクタ、1113:基板、1114:メモリチップ、1115:コントローラチップ、1150:SSD、1151:筐体、1152:コネクタ、1153:基板、1154:メモリチップ、1155:メモリチップ、1156:コントローラチップ、1200:チップ、1201:PCB、1202:バンプ、1203:マザーボード、1204:GPUモジュール、1211:CPU、1212:GPU、1213:アナログ演算部、1214:メモリコントローラ、1215:インターフェース、1216:ネットワーク回路、1221:DRAM、1222:フラッシュメモリ、1400:記憶装置、1411:周辺回路、1420:行回路、1430:列回路、1440:出力回路、1460:コントロールロジック回路、1470:メモリセルアレイ、1471:メモリセル、1472:メモリセル、1473:メモリセル、1474:メモリセル、1475:メモリセル、1476:メモリセル、1477:メモリセル、1478:メモリセル、5100:情報端末、5101:筐体、5102:表示部、5200:ノート型情報端末、5201:本体、5202:表示部、5203:キーボード、5300:携帯ゲーム機、5301:筐体、5302:筐体、5303:筐体、5304:表示部、5305:接続部、5306:操作キー、5400:型ゲーム機、5402:コントローラ、5500:スーパーコンピュータ、5501:ラック、5502:計算機、5504:基板、5701:表示パネル、5702:表示パネル、5703:表示パネル、5704:表示パネル、5800:電気冷凍冷蔵庫、5801:筐体、5802:冷蔵室用扉、5803:冷凍室用扉 100: capacitive element, 110: conductor, 112: conductor, 115: conductor, 120: conductor, 125: conductor, 130: insulator, 140: conductor, 142: insulator, 145: insulator, 150: insulator, 152: insulator, 153: conductor, 154: insulator, 156: insulator, 200: transistor, 200_n: transistor, 200_1: transistor, 200a: transistor, 200b: transistor, 200T: transistor, 205 : Conductor, 205a: conductor, 205b: conductor, 210: insulator, 211: insulator, 212: insulator, 214: insulator, 216: insulator, 217: insulator, 218: conductor, 222 : Insulator, 224: insulator, 230: oxide, 230a: oxide, 230A: oxide film, 230b: oxide, 230B: oxide film, 230c: oxide, 230c1: oxide, 230c2: oxide, 230C : Oxide film, 230d: oxide, 240: conductor, 240a: conductor, 240b: conductor, 241: insulator, 241a: insulator, 241b: insulator, 242: conductor, 242a: conductor, 242A : Conductive film, 242b: conductive material, 242B: conductive layer, 242c: conductive material, 243a: oxide, 243A: oxide film, 243b: oxide film, 243B: oxide layer, 246: conductive material, 246a: conductor, 246b: conductor, 250: insulator, 250A: insulating film, 260: conductor, 260a: conductor, 260A: conductive film, 260b: conductor, 260B: conductive film, 265: sealing part 265a: sealing part, 265b: sealing part, 272: insulator, 273: insulator, 274: insulator, 280: insulator, 282: insulator, 283: insulator, 284: insulator, 286: Insulator, 287: Insulator, 290: Memory device, 292: Capacitance device, 292a: Capacitance device, 292b: Capacitance device, 294: Conductor, 294a: Conductor, 294b: Conductor, 300: Transistor, 311: Substrate 313: semiconductor region, 314a: low resistance region, 314b: low resistance region, 315: insulator, 316: conductor, 320: insulator, 322: insulator, 324: insulator, 326: insulator, 328: Conductor, 330: Conductor, 350: Insulator, 352: Insulator, 354: Insulator, 356: Conductor, 400: Transistor, 405: Conductor, 411: Element layer, 413: Transistor layer, 415: Memory Device layer, 415_1: memory device Vise layer, 415_3: memory device layer, 415_4: memory device layer, 420: memory device, 424: conductor, 430d: oxide, 431a: oxide, 431b: oxide, 432a: oxide, 432b: oxide, 440: conductor, 442a: conductor, 442b: conductor, 443a: oxide, 443b: oxide, 450: insulator, 460: conductor, 460a: conductor, 460b: conductor, 470: memory unit, 600: semiconductor device, 601: semiconductor device, 610: cell array, 610_1: cell array, 610_n: cell array, 700: electronic component, 702: printed board, 704: mounting board, 711: mold, 712: land, 713: electrode pad, 714: Wire, 720: Storage device, 721: Drive circuit layer, 722: Storage circuit layer, 730: Electronic component, 731: Interposer, 732: Package substrate, 733: Electrode, 735: Semiconductor device, 901: Border region, 902 : Boundary area, 1001: wiring, 1002: wiring, 1003: wiring, 1004: wiring, 1005: wiring, 1006: wiring, 1007: wiring, 1008: wiring, 1009: wiring, 1010: wiring, 1100: USB memory, 1101 : Housing, 1102: cap, 1103: USB connector, 1104: substrate, 1105: memory chip, 1106: controller chip, 1110: SD card, 1111: housing, 1112: connector, 1113: substrate, 1114: memory chip, 1115: controller chip, 1150: SSD, 1151: housing, 1152: connector, 1153: substrate, 1154: memory chip, 1155: memory chip, 1156: controller chip, 1200: chip, 1201: PCB, 1202: bump, 1203 : Motherboard, 1204: GPU module, 1211: CPU, 1212: GPU, 1213: Analog operation unit, 1214: Memory controller, 1215: Interface, 1216: Network circuit, 1221: DRAM, 1222: Flash memory, 1400: Storage device, 1411: peripheral circuit, 1420: row circuit, 1430: column circuit, 1440: output circuit, 1460: control logic circuit, 1470: memory cell array, 1471: memory cell, 1472: memory cell, 1473: memory cell, 1474: memory cell. , 1475: memory cell, 1476: memory cell, 14 77: memory cell, 1478: memory cell, 5100: information terminal, 5101: housing, 5102: display portion, 5200: notebook information terminal, 5201: main body, 5202: display portion, 5203: keyboard, 5300: portable game machine 5301: housing, 5302: housing, 5303: housing, 5304: display portion, 5305: connection portion, 5306: operation keys, 5400: model game machine, 5402: controller, 5500: super computer, 5501: rack, 5502: Calculator, 5504: Substrate, 5701: Display panel, 5702: Display panel, 5703: Display panel, 5704: Display panel, 5800: Electric freezer/refrigerator, 5801: Housing, 5802: Refrigerator door, 5803: Freezer room Door

Claims (8)

  1.  トランジスタを有する半導体装置であって、
     前記トランジスタは、
     第1の導電体と、
     前記第1の導電体上の第1の絶縁体と、
     前記第1の絶縁体上の第1の酸化物と、
     前記第1の酸化物上の、第2の導電体、および第3の導電体と、
     前記第1の酸化物上、かつ、前記第2の導電体および前記第3の導電体の間、の第2の酸化物と、
     前記第2の酸化物上の第2の絶縁体と、
     前記第2の絶縁体上の第4の導電体と、を有し、
     前記第4の導電体と重なる領域の前記第1の酸化物の上面は、前記第2の導電体および前記第3の導電体の底面よりも低く、
     前記第4の導電体と重なる領域の前記第2の酸化物の上面と、前記第2の導電体または前記第3の導電体の底面と、の差は、前記第4の導電体と重なる領域の前記第2の酸化物の膜厚よりも小さい、
     半導体装置。
    A semiconductor device having a transistor,
    The transistor is
    A first conductor,
    A first insulator on the first conductor;
    A first oxide on the first insulator;
    A second conductor and a third conductor on the first oxide;
    A second oxide on the first oxide and between the second conductor and the third conductor;
    A second insulator on the second oxide;
    A fourth conductor on the second insulator,
    A top surface of the first oxide in a region overlapping with the fourth conductor is lower than bottom surfaces of the second conductor and the third conductor,
    A difference between a top surface of the second oxide in a region overlapping with the fourth conductor and a bottom surface of the second conductor or the third conductor is a region overlapping with the fourth conductor. Smaller than the film thickness of the second oxide of
    Semiconductor device.
  2.  トランジスタを有する半導体装置であって、
     前記トランジスタは、
     第1の導電体と、
     前記第1の導電体上の第1の絶縁体と、
     前記第1の絶縁体上の第1の酸化物と、
     前記第1の酸化物上の、第2の導電体、および第3の導電体と、
     前記第1の酸化物上、かつ、前記第2の導電体および前記第3の導電体の間、の第2の酸化物と、
     前記第2の酸化物上の第2の絶縁体と、
     前記第2の絶縁体上の第4の導電体と、を有し、
     前記第4の導電体と重なる領域の前記第1の酸化物の上面は、前記第2の導電体および前記第3の導電体の底面よりも低く、
     前記第4の導電体と重なる領域の前記第1の酸化物の上面と、前記第2の導電体および前記第3の導電体の底面と、の差は、1nm以上7nm以下であり、
     前記第4の導電体と重なる領域の前記第2の酸化物の膜厚は、1nm以上5nm以下である、
     半導体装置。
    A semiconductor device having a transistor,
    The transistor is
    A first conductor,
    A first insulator on the first conductor;
    A first oxide on the first insulator;
    A second conductor and a third conductor on the first oxide;
    A second oxide on the first oxide and between the second conductor and the third conductor;
    A second insulator on the second oxide;
    A fourth conductor on the second insulator,
    A top surface of the first oxide in a region overlapping with the fourth conductor is lower than bottom surfaces of the second conductor and the third conductor,
    The difference between the top surface of the first oxide and the bottom surface of the second conductor and the third conductor in a region overlapping with the fourth conductor is 1 nm or more and 7 nm or less,
    The film thickness of the second oxide in the region overlapping with the fourth conductor is 1 nm or more and 5 nm or less,
    Semiconductor device.
  3.  請求項1または請求項2において、
     前記第2の酸化物は、インジウムを有する、
     半導体装置。
    In claim 1 or claim 2,
    The second oxide comprises indium,
    Semiconductor device.
  4.  請求項1または請求項2において、
     前記第2の酸化物は、インジウムと、元素M(Mは、ガリウム、アルミニウム、イットリウム、または錫)と、亜鉛と、を有し、
     前記第2の酸化物において、主成分である金属元素に対するインジウムの原子数比は、主成分である金属元素に対する元素Mの原子数比と、主成分である金属元素に対する亜鉛の原子数比との和、よりも大きい、
     半導体装置。
    In claim 1 or claim 2,
    The second oxide includes indium, an element M (M is gallium, aluminum, yttrium, or tin), and zinc,
    In the second oxide, the atomic ratio of indium to the main metal element is the atomic ratio of element M to the main metal element and the atomic ratio of zinc to the main metal element. Greater than,
    Semiconductor device.
  5.  請求項4において、
     前記第2の酸化物は、In:Ga:Zn=5:1:3[原子数比]もしくはその近傍の組成、または、In:Ga:Zn=10:1:3[原子数比]もしくはその近傍の組成の金属酸化物である、
     半導体装置。
    In claim 4,
    The second oxide is In:Ga:Zn=5:1:3 [atomic ratio] or a composition in the vicinity thereof, or In:Ga:Zn=10:1:3 [atomic ratio] or its composition. A metal oxide having a composition in the vicinity,
    Semiconductor device.
  6.  請求項3乃至請求項5のいずれか一において、
     前記第1の酸化物は、インジウムと、元素M(Mは、ガリウム、アルミニウム、イットリウム、または錫)と、亜鉛と、を有し、
     前記第2の酸化物において、主成分である金属元素に対するインジウムの原子数比は、前記第1の酸化物における、主成分である金属元素に対するインジウムの原子数比より大きい、
     半導体装置。
    In any one of Claim 3 thru|or 5,
    The first oxide includes indium, an element M (M is gallium, aluminum, yttrium, or tin), and zinc,
    In the second oxide, the atomic ratio of indium to the metal element as the main component is higher than the atomic ratio of indium to the metal element as the main component in the first oxide,
    Semiconductor device.
  7.  請求項1乃至請求項6のいずれか一において、
     前記第2の絶縁体は、第1の絶縁層、および第2の絶縁層が順に積層された構造を有し、
     前記第1の絶縁層は、シリコンを有し、
     前記第2の絶縁層は、ハフニウムおよびジルコニウムのいずれか一方または双方を有する、
     半導体装置。
    In any one of Claim 1 thru|or Claim 6,
    The second insulator has a structure in which a first insulating layer and a second insulating layer are sequentially stacked,
    The first insulating layer has silicon,
    The second insulating layer has one or both of hafnium and zirconium,
    Semiconductor device.
  8.  請求項1乃至請求項7のいずれか一において、
     前記トランジスタのチャネル幅方向の断面視において、前記第1の絶縁体と、前記第2の絶縁体とが接する領域を有する、
     半導体装置。
    In any one of Claim 1 thru|or Claim 7,
    In a cross-sectional view of the transistor in the channel width direction, the transistor has a region where the first insulator and the second insulator are in contact with each other.
    Semiconductor device.
PCT/IB2019/060012 2019-01-25 2019-11-21 Semiconductor device and method for producing semiconductor device WO2020152524A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019-011653 2019-01-25
JP2019011653 2019-01-25

Publications (1)

Publication Number Publication Date
WO2020152524A1 true WO2020152524A1 (en) 2020-07-30

Family

ID=71736830

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB2019/060012 WO2020152524A1 (en) 2019-01-25 2019-11-21 Semiconductor device and method for producing semiconductor device

Country Status (1)

Country Link
WO (1) WO2020152524A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014082390A (en) * 2012-10-17 2014-05-08 Semiconductor Energy Lab Co Ltd Semiconductor device
JP2016006872A (en) * 2014-05-30 2016-01-14 株式会社半導体エネルギー研究所 Semiconductor device, module and electronic apparatus
JP2016167595A (en) * 2015-03-06 2016-09-15 株式会社半導体エネルギー研究所 Semiconductor device and method of manufacturing the same
JP2017168839A (en) * 2016-03-11 2017-09-21 株式会社半導体エネルギー研究所 Semiconductor device, semiconductor wafer, module, electronic apparatus and manufacturing method for the same
JP2017174489A (en) * 2016-03-18 2017-09-28 株式会社半導体エネルギー研究所 Semiconductor device, semiconductor wafer, and electronic device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014082390A (en) * 2012-10-17 2014-05-08 Semiconductor Energy Lab Co Ltd Semiconductor device
JP2016006872A (en) * 2014-05-30 2016-01-14 株式会社半導体エネルギー研究所 Semiconductor device, module and electronic apparatus
JP2016167595A (en) * 2015-03-06 2016-09-15 株式会社半導体エネルギー研究所 Semiconductor device and method of manufacturing the same
JP2017168839A (en) * 2016-03-11 2017-09-21 株式会社半導体エネルギー研究所 Semiconductor device, semiconductor wafer, module, electronic apparatus and manufacturing method for the same
JP2017174489A (en) * 2016-03-18 2017-09-28 株式会社半導体エネルギー研究所 Semiconductor device, semiconductor wafer, and electronic device

Similar Documents

Publication Publication Date Title
US11289475B2 (en) Semiconductor device and manufacturing method of semiconductor device
JPWO2019111096A1 (en) Semiconductor devices and methods for manufacturing semiconductor devices
WO2020201870A1 (en) Semiconductor device and method of manufacturing semiconductor device
JPWO2019197946A1 (en) Semiconductor devices and methods for manufacturing semiconductor devices
WO2020136467A1 (en) Semiconductor device and method for manufacturing semiconductor device
WO2020074999A1 (en) Semiconductor device, and semiconductor device manufacturing method
JP2020102623A (en) Semiconductor device and method for manufacturing semiconductor device
JPWO2019207429A1 (en) Semiconductor devices and methods for manufacturing semiconductor devices
WO2020157554A1 (en) Semiconductor device and method for fabricating semiconductor device
JPWO2020053697A1 (en) Semiconductor devices and methods for manufacturing semiconductor devices
JPWO2019162807A1 (en) Semiconductor devices and methods for manufacturing semiconductor devices
US20220302312A1 (en) Semiconductor Device
US11830951B2 (en) Semiconductor device including transistor and capacitor
WO2020152524A1 (en) Semiconductor device and method for producing semiconductor device
JPWO2019166914A1 (en) Semiconductor devices and methods for manufacturing semiconductor devices
WO2020170082A1 (en) Semiconductor device and fabrication method for semiconductor device
JP7474712B2 (en) Semiconductor Device
JP7314249B2 (en) semiconductor equipment
WO2020201873A1 (en) Method for producing semiconductor device
JP7417596B2 (en) semiconductor equipment
JP2019140362A (en) Semiconductor device and method for manufacturing semiconductor device
WO2020115595A1 (en) Semiconductor device, and semiconductor device fabrication method
WO2020075022A1 (en) Transistor, semiconductor device, and electronic apparatus
WO2019207411A1 (en) Semiconductor device, and semiconductor device manufacturing method
KR20230050329A (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19912157

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19912157

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: JP