WO2020046595A1 - A method of optimizing write voltage based on error buffer occupancy - Google Patents

A method of optimizing write voltage based on error buffer occupancy Download PDF

Info

Publication number
WO2020046595A1
WO2020046595A1 PCT/US2019/046653 US2019046653W WO2020046595A1 WO 2020046595 A1 WO2020046595 A1 WO 2020046595A1 US 2019046653 W US2019046653 W US 2019046653W WO 2020046595 A1 WO2020046595 A1 WO 2020046595A1
Authority
WO
WIPO (PCT)
Prior art keywords
memory
register
write
bank
data
Prior art date
Application number
PCT/US2019/046653
Other languages
French (fr)
Inventor
Neal Berger
Benjamin Louie
Kuk-Hwan Kim
Taejin Pyon
Original Assignee
Spin Memory, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/118,137 external-priority patent/US10546625B2/en
Application filed by Spin Memory, Inc. filed Critical Spin Memory, Inc.
Publication of WO2020046595A1 publication Critical patent/WO2020046595A1/en

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/165Auxiliary circuits
    • G11C11/1675Writing or programming circuits or methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • G06F11/10Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's
    • G06F11/1008Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices
    • G06F11/1048Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices using arrangements adapted for a specific error detection or correction feature
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/165Auxiliary circuits
    • G11C11/1677Verifying circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/165Auxiliary circuits
    • G11C11/1693Timing circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/165Auxiliary circuits
    • G11C11/1697Power supply circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/021Detection or location of defective auxiliary circuits, e.g. defective refresh counters in voltage or current generators
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/028Detection or location of defective auxiliary circuits, e.g. defective refresh counters with adaption or trimming of parameters
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/44Indication or identification of errors, e.g. for repair
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/52Protection of memory contents; Detection of errors in memory contents
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1015Read-write modes for single port memories, i.e. having either a random port or a serial port
    • G11C7/1039Read-write modes for single port memories, i.e. having either a random port or a serial port using pipelining techniques, i.e. using latches between functional memory parts, e.g. row/column decoders, I/O buffers, sense amplifiers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C2029/0409Online test

Definitions

  • Hie present patent document relates to registers that are added to devices, and more particularly registers added to random access memory (RAM).
  • RAM random access memory
  • the methods and devices described herein are particularly useful in spin-transfer torque magnetic memory’ (STT-MRAM) devices.
  • STT-MRAM spin-transfer torque magnetic memory
  • Magnetoresistive random-access memory is a non-volatile memory technology that stores data through magnetic storage elements. These magnetic storage elements are two ferromagnetic plates or electrodes that can hold a magnetic field and are separated by a non-magnetic material, such as a non-magnetic metal or insulator. In general, one of the plates has its magnetization pinned (/. e. , a "reference layer”), meaning that this layer has a higher coercivity than the other layer and requires a larger magnetic field or spin-polarized current to change the orientation of its magnetization. The second plate is typically referred to as the free layer and its magnetization direction can be changed by a smaller magnetic field or spin- polarized current relative to the reference layer.
  • MRAM devices store information by changing the orientation of the magnetization of the free layer. In particular, based on whether the free layer is in a parallel or anti-parallel alignment relative to the reference layer, either a“1” or a“0” can be stored in each MRAM cell. Due to the spin-polarized electron tunneling effect, the electrical resistance of the cell changes due to the orientation of the magnetization of the two layers. The cell’s resistance will be different for the parallel and anti-parallel states and thus the cell’s resistance can be used to distinguish between a“1” and a "0.” MILAM devices are generally considered as non-volatile memory' devices since they maintain the information even when the power is off. The two plates can be sub-micron in lateral size and the magnetization direction can still be stable with respect to thermal fluctuations.
  • MRAM devices are considered as the next generation structures for a wide range of memory applications. MRAM products based on spin torque transfer switching are already making its way into large data storage devices.
  • Spin transfer torque magnetic random access memor (“STT-MRAM”) has an inherently stochastic write mechanism, wherein bits have certain probability of write failure on any given write cycle. The write failures are most generally random, and have a characteristic failure rate. A high write error rate (WER) may make the memory unreliable.
  • WER write error rate
  • a device with dynamic redundancy registers comprising random access memory (RAM) device, and specifically an STT-MRAM device, is provided.
  • RAM random access memory
  • STT-MRAM STT-MRAM
  • the present disclosure provides backup dynamic redundancy registers that allow the device to operate with high write error rate (WER).
  • WER write error rate
  • the dynamic redundancy registers allow verifies, re-writes, and relocation of data words that fail to write correctly to a memory bank, generally, without loss of throughput, speed, or restriction on random access addressing.
  • the present disclosure teaches a memory bank that is coupled to an e 1 register.
  • the el register is coupled to the e2 register.
  • the el register stores data words that are to be verified or re-written to the memory bank.
  • the el register also stores an associated address for data words within the memory bank. Data words in the el register may be verified against data words in the memory bank at the associated address within the memory bank. If a system write operation fails on the memory bank, a re-write operation may be tried by writing a data word from the e 1 register to the memory bank. The fact that the system write operation failed may be determined through a verify operation.
  • Re-write operation from e 1 register to memory bank may be tried as many times as necessary to successfully complete write operation or may not be tried at all.
  • the number of re-write operations may be configurable based on control bit(s) associated with re-write attempts.
  • the number of re-write operations may be configurable on a per-bank basis or per-segment of bank basis. These control bits may be stored in the el register and associated with a particular data word and
  • the re-write operation may be tried only when memory bank is idle (that is there are no write or read operations for that memory bank). In tins way, re-write operations may be transparent to and with no delay of incoming system read and system write operations.
  • the memory device moves (relocates) data w'ord from the e l register to the e2 register.
  • the memory device may also move associated address within memory bank for data word from the e 1 register to the e2 register.
  • the memory- device does not comprise an e2 register. Instead, after a desired number of re-write attempts, the memory device relocates the data word and associated address from the el register to a secure area in memory reserved for storing data words associated with pending re-write and verify operations in the e 1 register.
  • a re-wxite operation may occur only once from the e l register to the memory- bank.
  • the memory' device then relocates the data word and associated address from the el register to the e2 register if the re-write operation failed.
  • the memory' device then relocates the data word and associated address from the el register to the secure storage area in memory'.
  • one or more memory banks and two or more dynamic redundancy registers may also be used.
  • only one dynamic redundancy register may be used, e.g., embodiments without an e2 register.
  • the first level dynamic redundancy register may operate at clock cycle speed of memory bank (some operations may operate at clock cycle speed of memoiy bank while other operations may occur independent or multiples of memory' bank clock cycle speed).
  • the e 1 register may be either non-volatile or volatile, and may typically comprise SRAM.
  • the e 1 register may also comprise a content addressable memory' (CAM) array which allows reduced size of e 1 register.
  • e 1 register may be high-speed, smaller register than a last level register.
  • the last level dynamic redundancy register may operate at clock cycle speed of main memory bank (some operations may operate at clock cycle speed of memory bank while other operations may occur independent or multiples of memory bank clock cycle speed).
  • the last level may be either non-volatile or volatile, and may typically comprise MRAM.
  • the e2 register may also comprise a CAM.
  • the last level dynamic register may beneficially comprise non-volatile memory' which allows data to be backed up on power down.
  • the e2 register typically prioritizes reliability over size as compared to memory bank.
  • the last level register may' comprise more entries than the e l register.
  • e2 register entries may be invalidated when a write operation occurs for a data word having associated address common with data word in e2 register.
  • entries the secure memory storage area may be invalidated when a write operation occurs for a data word having an associated address common with data word in the secure memory storage.
  • the el register stores a data word and an associated address for data words in a pipeline structure that have not had an opportunity to verify. For example, a data word may not have an opportunity to verify because of row address change. That is, a write operation may occur on a different row address than a verify operation.
  • the data word for a verify operation would be stored within el register and verify would be performed, if possible, on another data -word from el register having common row address with the data word for write operation. This feature is especially beneficial in pseudo-dual port memory banks.
  • a dual port memor bank allows read and write operations to be performed simultaneously.
  • a pseudo-dual port allows read and write operations to be simultaneously (e.g., substantially within the same memory device clock cycle) performed on less than all ports.
  • a pseudo-dual port MRAM may allow verify and write operations to be simultaneously performed as long as the operations share a common row address and different column addresses.
  • a data word may be read from the e 1 register rather than main memory bank if the data w'ord failed to write or verify to memory bank.
  • the el or e2 register data word, associated address, and control bits can be deleted, overwritten, invalidated such that the data is not used, or otherwise considered garbage when another write operation for the same associated address occurs on the memory ' bank.
  • a data word may be read from the e2 register rather than the main memory bank if such read operation is beneficial. For example, if el register relocated a data word to e2 register.
  • data stored in the e2 SRAM and CAM is backed up onto the e2 non volatile RAM for storage during power down.
  • data stored in e2 non volatile RAM may be transferred to e2 volatile RAM during power up.
  • the memory' device may move data from the el register to the e2 register in order to free room in the el register.
  • e2 register may not store data words and associated addresses but instead remap data words and associated addresses received from e l register into a different area of memory bank.
  • e2 register may move data words to memory' bank upon power down.
  • e2 register should be more reliable than memory bank because data may not be recoverable in case of e2 register failure.
  • schemes can be implemented to increase reliability of e2 register.
  • e2 register may comprise status bits that allow ' data manipulation of a particular data word or other entr ' within e2 only if all or a predetermined number of status bits are set to one.
  • multiple copies of data word may be maintained in e2 register and selected based on a voting scheme.
  • a more stringent error correction code (ECC) scheme may be performed within e2 register than in memory bank.
  • ECC error correction code
  • e2 register points to particular addresses within main memory for storing data words rather than storing the data word within e2 itself.
  • only- one dynamic redundancy register e.g., the el register may be used in a memory device.
  • tire memory device will have no e2 register.
  • the e 1 register may attempt to perform all the pending operations, e.g., verify and re-write operations associated with the data words stored in the el register prior to shutting down.
  • the el register may attempt to perform all the pending verify operations and move all the data words associated with pending re-write operations (e.g.
  • the memoiy device ensures that data is written securely to tire secure memory storage area by using one or multiple schemes including voting, error-correcting code (ECC), or storing multiple copies.
  • ECC error-correcting code
  • the secure memory storage area will comprise data words (with their associated addresses) that have not yet been verified or that have failed verification.
  • the verify and re-write operations can be directly attempted from the secure memory storage area or they can be recalled to the e 1 register prior to processing the pending operations to the pipeline.
  • the corresponding entries for the data words in the secure memory storage area or the el register may be deleted. Any data words that could not be successfully re-writen or verified subsequent to powering up will be stored in the e I register.
  • the present disclosure teaches an access method and system into memory banks.
  • Pseudo-dual ports allow using the disclosed Y-mux structure to simultaneously perform verify and write operations on two data words sharing a common row address (e.g., sharing a common word line).
  • dual port memory bank could allow simultaneous read and write operations.
  • the Y-mux structure of the present disclosure operates using two column decoders for the column address. One column decoder allows decoding for write column addresses. The other column decoder allows decoding for read and verify column addresses.
  • the disclosed pseudo-dual port memory bank with Y-mux structure requires only a single-port memory cell.
  • a dual port memory bank may allow read and write operations to be simultaneously performed, but requires a dual port memory cell .
  • a single port memor cells for example an STT MRAM memory 7 cell, may be more area efficient than a dual port memory cell, for example a dual port STT MRAM memory cell.
  • the present disclosure teaches, in one embodiment, a Y-mux structure to create a pseudo dual port memory bank with single port memory cells.
  • el register operates with the disclosed pseudo dual port memory bank to permit write and verify operations sharing common row address to occur simultaneously.
  • the memory device includes control bits and signals that are used for the control logic of this disclosure. Tire memory device may thus know whether data is located in a memory bank, memory pipeline, e l register, or e2 register for read operations.
  • data for operations may be invalidated based on control bits and signals to maintain consistency of operations.
  • Such control bits and signals may include valid bit, active bank signal, fail count bits, e2 entry inactive bit.
  • a valid bit indicates that particular data within a register is valid for data manipulation operations.
  • An active bank signal indicates whether the memory bank for operation is active (i.e., that a system write or system read is being performed in that bank). Fail count bits indicate the number of re-write operations have occurred for the data word.
  • the e2 entry inactive bit indicates that the associated entry in e2 should not be used for data manipulation operations.
  • the present disclosure teaches a memory device having pipeline structure for write and verify, among other data manipulation operations. Tins pipeline structure may be used to control system write, verify, and re-write operations, among other data manipulation operations.
  • a delay register implements a delay- cycle allowing memory to reach stable state before performing a verify 7 operation on a data word. This delay cycle allows a write operation to be performed for a data word, followed by a delay cycle, followed by a verify operation for tire data word.
  • a method of writing data into a memory device is disclosed.
  • the method comprises utilizing a pipeline to process write operations of a first plurality of data words addressed to a memory bank
  • Tire method further comprises writing a second plurality of data words and associated memory ' addresses into a cache memory', e.g , an el register, wherein the cache memory is associated with the memory bank and wherein further each data word of the second plurality of data words is either awaiting write verification associated with the memory bank or is to be re-written into the memory bank.
  • the method comprises detecting a power down signal and responsive to the power down signal, transferring the second plurality of data words and associated memory addresses from the cache memory, e.g., the el register, into a secure memory ' storage area reserved in the memory bank.
  • the method comprises powering down the memory device. It should be noted that in this embodiment only one dynamic redundancy register, e.g., the el register may be used in the memory' device. In other words, the memory device will have no e2 register.
  • the memory' bank comprises a plurality' of spin- transfer torque magnetic random access memory' (STT-MRAM) cells.
  • the method further comprises responsive to the power down signal, transferring any partially completed write operations of tire pipeline to the secure memory storage area.
  • the method further comprises responsive to the power down signal, and before the transferring, copying any partially completed write operations of the pipeline to the cache memory.
  • the transferring comprises utilizing a secure communication process that is substantially compliant with one of: voting: ECC encoding; use of multiple copies; comparing multiple copies; and voting from multiple copies.
  • the power down signal originates from a system level software stack and represents a system wide orderly power down event.
  • the power down sequence is initiated when an analog detector detects that the operating pow'er of the chip has decreased by a predetermined threshold level.
  • the method further comprises removing a data word and its associated address from the cache memory responsive to an indication that the data word has been verified as properly written to the memory bank.
  • the method further comprises receiving a power up signal and responsive to the power up signal, transferring the second plurality' of data words and associated memory addresses from the secure memory storage area to the cache memory and processing the second plurality of data words from the cache memory ' , through the pipeline for writing into the memory bank.
  • a method of writing data into a memory device is disclosed.
  • the method comprises utilizing a pipeline to process write operations of a first plurality of data words addressed to a memory bank
  • Tire method further comprises writing a second plurality of data words and associated memory ' addresses into a cache memory', wherein the cache memory is associated with the memory bank and wherein further each data word of the second plurality of data words is either awaiting write verification associated with the memory bank or is to be re- written into the memory bank.
  • the method also comprises detecting a power down signal and responsi ve to the power down signal, and before the memory device is powered down, processing data words of the second plurality of data words and associated memory addresses through the pipeline to write data into the memory bank.
  • the method finally comprises powering down the memory device.
  • the memory bank comprises a plurality of spin-transfer torque magnetic random access memory (STT-MRAM) cells.
  • the power down signal originates from a system level software stack and represents a system wide orderly power down event.
  • the power down sequence is initiated when an analog detector detects that the operating power of the chip has decreased by a threshold level.
  • the method further comprises removing a data w'ord and its associated address from the cache memory' responsive to an indication that the data word has been verified as properly written to the memory bank.
  • the method further comprises subsequent to the processing the data words and before the power down, transferring any unprocessed data words of the second plurali ty of data words from the cache memory to a secure memory storage area of the memory bank.
  • the transferring comprises utilizing a secure communication process substantially compliant with one of: voting; ECC encoding; use of multiple copies; comparing multiple copies; and voting from multiple copies.
  • the method also comprises receiving a power up signal and responsive to the power up signal, transferring any data words and associated memory addresses from the secure memory storage area to the cache memory and processing the data words, from the cache memory ' , through the pipeline for writing into the memory bank.
  • a method of waiting data into a memory' device comprises utilizing a pipeline to process write operations of a first plurality of data words addressed to a memory bank.
  • the method further comprises waiting a second plurality of data words and associated memory addresses into a cache memory, wherein the cache memory is associated with the memory bank and wherein further each data word of the second plurality of data words is either awaiting waite verification associated with the memory bank or is to be re- written into the memor ' bank.
  • the method also comprises detecting a power down signal and responsi ve to the power down signal, transferring the second plurality of data words and associated memory' addresses from the cache memory into a secure memory' storage area in the memory ' bank.
  • the method comprises detecting a power up signal and responsive to the power up signal, and before the memory device is powered up, transferring the second plurality of data words and associated memory addresses from the secure memory storage area to the cache memory'. Further, the method comprises responsive to the transferring, and before the memory device is powered up, processing the second plurality of data words and associated memory' addresses from the cache memory to the pipeline for writing data to the memory bank during power up.
  • the memory bank comprises a plurality of spin-transfer torque magnetic random access memory (STT-MRAM) cells.
  • the method further comprises responsive to the power down signal, transferring any partially completed write operations of tire pipeline to the secure memory storage area.
  • the method further comprises responsive to the power down signal, copying any partially completed write operations of the pipeline to the cache memory.
  • the transferring comprises utilizing a secure communication process substantially compliant with one of: voting; ECC encoding; use of multiple copies; comparing multiple copies; and voting from multiple copies.
  • the power down signal originates from a system level software stack and represents a system wide orderly power down event.
  • tire pow'er down sequence is ini tiated when an analog detector detects that the operating power of the chip has decreased by a threshold level.
  • the method further comprises removing a data word and its associated address from the cache memory responsive to an indication that the data word has been verified as properly w'ritten to the memory bank.
  • a memory ' pipeline for performing a write operation in a memory device comprises an initial pipe-stage comprising an input register operable to receive a first data w ' ord and an associated address to be w ' ritten into a memory ' bank.
  • the memory pipeline also comprises a pre-read register of the first pipe-stage coupled to the input register and operable to receive the first data word and the associated address from the input register and further operable to pre-read a second data word stored in the memory ' bank at the associated address, and w herein the pre-read register is further operable to store mask bits associated with pre-reading the second data w'ord, wherein the mask bits comprise infonnation regarding a bit-wise comparison between the first data word and the second data word.
  • the memory pipeline comprises a write register of the second pipe- stage operable to receive the first data word, the associated address and the mask bits from the pre-read register, wherein the write register is further operable to use information from the mask bits to write the first data word into the memory bank by changing those bits in the first data word that differ from the second data word, and wherein the second pipe-stage follows the first pipe-stage.
  • the memory bank comprises memory cells that are spin-transfer torque magnetic random access memory (STT-MRAM) ceils.
  • the pre-read register further comprises ECC bits for correcting bit errors in data words read from the memory bank.
  • the pre-read is performed as part of a bit redundancy remapping operation.
  • the pre-read register stores the mask bits in a fi rst level dynamic redundancy register.
  • the memory pipeline further comprises a delay register of the third pipe-stage operable to provide delay cycles between the write register and a verify register, wherein the delay cycles are used to find a verify operation in a first level dynamic redundancy register with a row address in common with the first data w'ord wherein the third pipe-stage follows the second pipe-stage.
  • the delay register is further operable to receive die first data word and associated address from the write register.
  • the delay- register is furdier operable to transmit the first data w'ord and associated address to the first level dynamic redundancy register responsive to receipt of a row' address change signal.
  • the memory pipeline further comprises a verify register of the fourth pipe-stage operable to receive the first data word and associated address from tire delay register, and further operable to read a third data word at the associated address from the memory bank, wherein the fourth pipe-stage follows the third pipe-stage.
  • the memory pipeline comprises compare logic operable to compare contents of the first data word and the third data word to determine if the first data w'ord wrote correctly to the memory bank.
  • a memory ' pipeline for performing a write operation in a memory- device comprises an initial pipe-stage comprising an input register operable to receive a first data word and an associated address to be written into a memory ' bank. Further, the pipeline comprises a first write register of a fi rst pipe-stage coupled to the input register and operable to receive the first data word and the associated address from the input register in a first clock cycle, wherein the first write register is further operable to perfonn a first attempt at writing the data word into the memory' bank at a location
  • the pipeline also comprises a second write register of the second pipe-stage coupled to the first write register and operable to receive the first data word and the associated address from the first write register in a second clock cycle, wherein the second write register is further operable to perform a second attempt at writing the first data word into the memory bank at the location corresponding to the associated address, and further wherein a second data word is input into the first write register in the second clock cycle subsequent to writing the first data word into the second write register from the first write register, wherein the second pipe-stage follows the first pipe-stage
  • the pipeline further comprises a delay register of the third pipe-stage operable to receive the first data word and the associated address from the second write register on a third cycle, wherein a third data word is input into the first write register and the second data word is transferred from the first write register into the second write register for a second attempt at writing the second data word on the third cycle into the memory bank, wherein the third pipe-stage follows the second pipe -stage.
  • the delay register is further operable to provide a delay cycle between the write register and a verify register, wherein the delay cycle is used to find a verify operation in a first level dynamic redundancy register with a row address in common with the first data word.
  • the delay register is further operable to transmit the first data word and the associated address to the first level dynamic redundancy register responsive to receipt of a row address change signal.
  • the memory pipeline further comprises a verify register of the fourth pipe-stage coupled to the delay register wherein the verily register is operable to receive the first data word from the delay register on a fourth clock cycle, and wherein the verify register performs a read operation on the memory bank at the associated address to determine whether the first data word wrote correctly to the memory bank, wherein the fourth pipe-stage follows the third pipe-stage.
  • the memory pipeline also comprises compare logic operable to perform a compare operation between the first data word in the verify register and a data word read from the memory' bank at the associated address in the verily register.
  • the memory pipeline additionally comprises a verify- results register of the fifth pipe-stage operable to receive the first data word and the associated address from the verify register, wherein responsive to a determination that a verify operation associated with the compare operation failed, the verify- results register is further operable to transfer the first data word and the associated address to a first level dynamic redundancy register, wherein the fifth pipe-stage follows the fourth pipe-stage.
  • the memory cells of the memory bank comprise spin-transfer torque magnetic random access memory- (STT-MRAM) cells.
  • STT-MRAM spin-transfer torque magnetic random access memory-
  • the memory device comprises a memory bank comprising a plurality of addressable memor ' cells configured in a plurality of segments wherein each segment contains N rows per segment, wherein the memory bank comprises a total of B entries, and wherein the memory ' cells are characterized by having a prescribed write error rate, E.
  • the memory device also comprises a pipeline comprising M pipestages and configured to process write operations of a first plurality of data words addressed to a given segment of the memory bank.
  • the memory ' comprises a cache memory, e.g., the el register comprising Y number of entries, the cache memory' associated with the given segment of the memory' bank wherein the cache memory is operable for storing a second plurality of data words and associated memory ' addresses, and wherein further each data word of the second plurality of data words is either awaiting w'rite verification associated with the given segment of the memory bank or is to be re-wvitten into the given segment of the memory bank, and wherein the Y number of entries is based on the M, the N and the prescribed word error rate, E, to prevent overflow of the cache memory.
  • the Y number of entries is based on the M, the N and the prescribed word error rate, E, to prevent overflow of the cache memory.
  • the Y number of entries is at least (N*M) +(B*E) entries.
  • the memory cells of the memory bank comprise spin-transfer torque magnetic random access memory (STT-MRAM) cells.
  • the memory device further comprises a plurality' of pipelines and a plurality of cache memories, and wherein further each segment of the plurality of segments has associated therewith a respective pipeline of the plurality of pipelines and a respective cache memory of the plurality of cache memories.
  • the cache memory' comprises one or more status indicators for indicating a partial occupancy level of the cache memory.
  • the pipeline supports multiple write attempts for a given write operation.
  • tire pipeline supports a pre-read operation for a given write operation.
  • the pipeline is operable to flush a currently processing first memory- operation to the cache memory' if a second memory operation enters the pipeline has a different row address as the first memory operation.
  • a memory' device for storing data comprises a plurality of memory ' banks, wherein each memory bank compri ses a plurality of addressable memory cells and a plurality of pipelines each comprising a plurality of pipe- stages, wherein each pipeline is associated with a respective one of the plurality of memory' banks, and wherein each pipeline is configured to process write operations of a fi rst plurality of data words addressed to its associated memory' bank.
  • the memory device further comprises a plurality of cache memories, wherein each cache memory is associated with a respective one of the plurality of memory banks and a respective one of the plurality of pipelines, and wherein each cache memory' rs operable for storing a second plurality of data words and associated memor addresses, and wherein further each data word of the second plurality of data words rs either awaiting write verification associated with the given segment of an associated memory bank or rs to be re-written into the given segment of the associated memory bank
  • tire addressable memory cells of tire associated memory bank comprise spin-transfer torque magnetic random access memory (STT-MRAM) ceils.
  • each pipeline is operable to flush a currently processing first memory operation to an associated cache memory if a second memory operation that enters the pipelrne has a different row address as the first memory' operation.
  • each cache memory comprises one or more status indicators for indicating a partial occupancy level of the cache memory ' .
  • each pipeline supports multiple write attempts for a given write operation.
  • each pipeline supports a pre-read operation for a given write operation.
  • a memory ' device for storing data.
  • the memory device comprises a memory' bank comprising a memory array of addressable memory cells and a pipeline configured to process read and write operations addressed to the memory' bank.
  • the memory comprises an x decoder circuit coupled to the memory ' array for decoding an x portion of a memory address for the memory array and a y multiplexer circuit coupled to the memory' array and operable to simultaneously multiplex across the memory' array based on two y portions of memory addresses and, based thereon with the x portion, for simultaneously writing a value and reading a value associated with two separate memory ceils of the memory array, wherein the x decoder and the y multiplexer comprise a read port and a write port which are operable to simultaneously operate with respect to the memory ' array.
  • the x decoder is operable to assert a row line of the memory array and wherein the two separate memory ' cells share the row line in common .
  • the read port and the write port allow a write operation and a read-verify operation, that share a common row', to simultaneously access the memory array.
  • the read port and the write port allow a write operation and a read-verify operation, that share a common row and that have different y portions, to simultaneously access the memory array.
  • the addressable memory' cells comprise spin-transfer torque magnetic random access memory (STT- MRAM) cells.
  • the x portion of the memory address decodes to a common row line shared by the two separate memory' cells of the memory' array and wherein further the two y portions of memory' addresses respectively select first and second sets of bit lines associated with the two separate memory ' cells of the memory ' array.
  • the memory device further comprises a plurality of input/output channels, the plurality of input/output channels coupled to the y multiplexer circuit.
  • a method of writing data into a memor ' device comprises utilizing a pipeline to process write operations of a first plurality of data words addressed to a memory bank.
  • the method further comprises writing a second plurality of data words and associated memory' addresses into an error buffer, wherein the second plurality of data words are a subset of the fi rst plurality of data words, wherein the error buffer is associated with the memory bank and wherein further each data word of the second plurality of data words is either awaiting write verification associated with the memory' bank or is to be re-written into the memory bank.
  • the method comprises monitoring an occupancy level of the error buffer and determining if the occupancy level of the error buffer is larger than a predetermined threshold. Responsive to a determination that the occupancy level of the error buffer is larger than the predetermined threshold, the method comprises increasing a write voltage of the memory bank, wherein subsequent write operations are performed at a higher write voltage.
  • a memory' device for storing data.
  • the memory device comprises a memory' bank comprising a plurality of addressable memory cells and a pipeline configured to process write operations of a first plurality of data words addressed to the memory' bank.
  • the method also comprises a cache memory ' operable for storing a second plurality of data words and associated memory ' addresses, wherein the cache memory ' is associated with the memory bank and wherein further each data word of the second plurality of data words is either awaiting write verification associated with the memory' bank or is to be re written into the memory bank.
  • the method comprises a logic module operable to: (a) monitor an occupancy level of the error buffer; (b) determine if the occupancy level of the error buffer exceeds a predetermined threshold; and (c) responsive to a determination that the occupancy level of the error buffer exceeds the predetermined threshold, increase a write voltage of the memory bank, wherein subsequent write operations are performed at a higher write voltage.
  • a method of writing data into a memory device comprises utilizing a pipeline to process write operations of a first plurality' of data words addressed to a memory bank and writing a second plurality' of data words and associated memory addresses into an error buffer wherein the error buffer is associated with the memory bank and wlierein further each data word of the second plurality of data words is either awaiting write verification associated with the memory bank or is to be re-written into the memory ' bank.
  • the method compri ses monitoring an occupancy level of the error buffer and determining if the occupancy level of the error buffer has increased beyond a predetermined threshold. Responsive to a determination that the occupancy level of the error buffer has increased beyond the predetermined threshold, the method comprises increasing a length of a pulse width for write cycles of the memory bank, wherein subsequent write operations are performed using the pulse width.
  • Fig. 1 is a block diagram of exemplary memory device of the present disclosure having redundancy registers.
  • Fig. 2 is an exemplar embodiment for a process flow showing a write operation using exemplary memory device of the present disclosure and ill ustrates the high-level write operation performed on a memory device.
  • FIG. 3 is a block diagram of exemplary embodiment of a memory device of the present disclosure having dynamic redundancy registers.
  • FIG. 4 is a block diagram of exemplary embodiment of a memory device of the present disclosure showing Y-mux structure.
  • FIG. 5 is a block diagram of exemplary embodiment of a memory device of the present disclosure showing pipeline structure that allows verification and re-write operations.
  • Fig. 6 is an exemplary 7 process flow showing an embodiment of a system read operation using an embodiment of memory device of the present disclosure.
  • Fig. 7 is a block diagram of an embodiment of a memory 7 device showing a first level dynamic redundancy register.
  • Fig. 8 is a block diagram of an embodiment of a memory device of the present disclosure showing a last level dynamic redundancy register.
  • Fig. 9 is a block diagram of exemplary memory device of the present disclosure having a single redundancy register.
  • Fig. 10 depicts an exemplary embodiment for a process flow showing the processing of pending memory related operations in a dynamic redundancy register on power down in an exemplary memory ' device of the present disclosure.
  • Fig. 11 depicts an exemplary embodiment for a process flow showing tire processing of pending memory related operations in a secure memory' storage area on power up using a dynamic redundancy register in an exemplary memory device of the present disclosure.
  • Fig. 12 depicts an exemplary embodiment for a process flow showing the processing of performing a blind save of the contents of a dynamic redundancy register on power down in an exemplary memory' device of the present disclosure.
  • Fig. 13 depicts an exemplary embodiment for a process flow showing the processing of perfonning a blind recall of the contents of the memory bank into a dynamic redundancy register on power up in an exemplary memory' device of the present disclosure.
  • FIG. 14 is a block diagram of exemplary embodiment of a memory' device of the present disclosure showing pipeline structure that allows pipestages for performing a pre-read operation for a write operation.
  • FIG. 15 is a block diagram of exemplary embodiment of a memory ' device of the present disclosure showing pipeline structure that allows an additional cycle for write operation for storing a data word.
  • Fig. 16 is a block diagram of an exemplary pipeline structure for a memory' device that comprises an additional write stage in accordance with an embodiment of the present invention.
  • Fig. 17 illustrates the manner in which a memory' bank can be segmented in accordance with an embodiment of the present invention.
  • FIG. 18 is a block diagram of exemplary embodiment of a memory device of the present disclosure showing the operation of a row' and column decoder in conjunction with a Y-mux structure in accordance with an embodiment of the present invention.
  • Fig. 19 depicts an exemplary embodiment for a process flow' showing the manner in which a pre-read register is used to perform a write operation in an exemplary memory ' device of the present disclosure.
  • FIG. 20 is a block diagram of an exemplary pipeline structure for a memory' device that comprises a pre-read pipe-stage for a write operation in accordance with an embodiment of the present invention.
  • Fig. 21 illustrates a smart design for a dynamic redundancy register in accordance with an embodiment of the present invention.
  • Fig. 22 is a block diagram of an exemplary embodiment of a memory device that optimizes write voltage based on error buffer occupancy in accordance with an embodiment of the present invention.
  • Fig. 23 depicts an exemplary embodiment for a process flow showing the manner in which the write voltage for a memory bank is optimized based on error buffer occupancy levels in accordance with an embodiment of the present invention.
  • Fig. 24 depicts an exemplary embodiment for a process flow showing the manner in which the pulse width for write cycles of a memory bank is optimized based on error buffer occupancy levels in accordance with an embodiment of the present invention.
  • Fig. 1 is a block diagram of exemplary memory device of the present disclosure having dynamic redundancy registers (el register 104 and e2 register 106, in this case).
  • Fig. 1 shows memory' devices 100 described herein that includes memory bank 102, e 1 register 104, and e2 register 106.
  • memory device 100 communicates with data signals, for example, address signal 108, data word signal 110, clock signal 112, write and chip select signals 114, power down signal 116, power up signal 118.
  • data signals for example, address signal 108, data word signal 110, clock signal 112, write and chip select signals 114, power down signal 116, power up signal 118.
  • Fig. 1 illustrates certain teachings of the present disclosure. However, it should he understood that the specific signals 108-1 18 illustrated may be modified by those with ordinary skill in the art without departing from the teachings of the present disclosure.
  • memory device 100 may comprise two or more dynamic redundancy registers and one or more memory banks Tire two or more dynamic redundancy registers could be implemented using some combination el register 104 and e2 register 106.
  • the two or more dynamic redundancy registers may also operate hierarchically or in parallel.
  • Memor ⁇ bank 102 comprises an array of data storage elements comprising data bits and may be implemented by volatile or non-volatile RAM technologies such as static random-access memory' (SRAM), dynamic random-access memory (DRAM), resistive random-access memory' (RRAM), phase-change memory' (PCM), MRAM, STT-MRAM, or other RAM technologies.
  • memory bank 102 may include an error correcting code block (not pictured).
  • the teachings of the present disclosure are especially beneficial when memory bank 102 comprises STT-MRAM which suffers from an inherently stochastic write mechanism, wherein bits have certain probability of write failure on any given wTite cycle.
  • the teachings of the present disclosure allow' memory bank 102 to be operated with high WER.
  • memory ' bank 102 Operating with high WER may allow memory ' bank 102 to operate under other beneficial conditions.
  • memory' bank 102 could operate under high write speed, low write voltage (which may enable higher bitcell endurance), reducing ECC bits, or increased ECC decode speed, among other beneficial conditions.
  • memory bank 102 may comprise 65,536x50 addressable bits. Further, the 50 bits comprise a 32-bit data word and 18 parity' bits for error correction.
  • Operations may be performed on memory bank 102 including system read, system write, re write and verify operations, among other data manipulations.
  • a particular operation for example a write operation, may occur at a particular address within memory' bank 102.
  • the operation may have a row' address, indicating a w'ord line, and a column address.
  • the address for write operations may be communicated through a write port of memory' bank 102,
  • the address for read or verify operations may be communicated through a read port of memory bank 102
  • memory bank 102 comprises a pseudo-dual port memory bank allowing memory device 100 to simultaneously (e g., substantially within a memory device clock cycle) perform a write operation and a verify operation sharing a common row (word line) address.
  • System read operations to memory bank 102 generally supersede write and verify operations. Thus, system read operation would be performed before the scheduled write and verify operations. Write and verify operation could then happen on a subsequent clock cycle.
  • a dual-port memory' bank 102 read and write operations may be simultaneously performed.
  • a dual-port memory ' bank would also permit two write operations (or two read operations) to be performed simultaneously.
  • a pseudo-dual port memory- bank can comprise two ports, however, both ports may not be designed to sendee the same operations.
  • typically a write operation requires a write driver with corresponding sense amplifiers that can support the higher current requirements of a write operation .
  • a write driver tends to occupy more surface area on the chip as compared to a read driver because of the high current requirements for a write operation.
  • a dual port memory- bank optimizes both ports to support write operations, which, turn, means that both ports can also support read operations because the requirements for read drivers are less stringent than for write drivers.
  • a pseudo dual port memory- bank optimizes one of the ports to support a write operation and one of the ports to support a read operation. In the current case, because memory- device 100 will be receiving a write and a verify operation sharing a common row- (word line) address in the same clock cycle, a pseudo-dual port memory- bank can be used to process the write and verify- operation simultaneously.
  • the e l register 104 is coupled to memory- bank 102 and e2 register 106.
  • the el register 104 comprises an array of data storage elements comprising data bits and may be implemented by volatile and non-volatile RAM technologies.
  • the el register 104 may also comprise control bits and communicate using control signals that maintain consistency of operations within memory- device 100. Typically, data is more reliably- written to el register 104 than within memory bank 102.
  • memory bank 102 comprises STT-MRAM
  • e l register 104 might comprise SRAM.
  • el register may comprise non volatile RAM such as STT-RAM.
  • the el register may also comprise a dual-port SIT-RAM to allow simultaneous read and write operations.
  • el register 104 can run at the same cycle throughput speed as a memory- bank.
  • the e l register 104 may also comprise content addressable memory- (CAM).
  • CAM content addressable memory-
  • the el register may be located off the memory chip and on a system card or even on the CPU. In other words, the el register can be located on a different chip besides the memory chip.
  • el register 104 stores data words and associated addresses for data in memory bank 102 that has not been verified or has failed verification in one embodiment, el register 104 may store data words that have not been verified.
  • el register 104 receives a ROWchg signal that indicates row address change within a pipeline structure of the present disclosure.
  • the ROWchg signal indicates that the data word and the associated address from the pipeline structure should be stored within el register 104.
  • the ROWchg signal may also indicate that that another data word and associated address should be transmitted from el register 104 to the pipeline structure for a verify operation.
  • el register 104 may choose a data word and an associated address such that they share a common row address with a data word in the write register of the pipeline structure. In this way, a write operation and a verify operation can be simultaneously performed since the data words share a common row address.
  • e 1 register 104 may store data words that have failed verification.
  • the pipeline structure may transmit a signal to el register 104 indicating that a data word has failed to write (i.e., failed verification) to memory bank 102.
  • the pipeline structure may also transmit data word and associated address (in the case that el register 104 does not already contain the data word and associated address) to e 1 register 104 in order to later try to re-write the data w'ord to memory bank 102.
  • a read operation may occur and pipeline structure may determine that the read operation did not occur within a predetermined error budget. The pipeline structure may then transmit a signal indicating that the read operation failed and transmit the data w'ord and associated address to el register 104 for storage.
  • el register 104 may store data words and associated addresses for future verification. For example, a data word may not have had an opportunity to verify ' due to a row address change. Thus, el register 104 may transmit the data word and associated address to the pipeline structure of the present disclosure during some subsequent clock cycle to verify tire data word. Typically, a control signal may indicate to e l register 104 that a row address change will occur or that memory' bank 102 will become inactive during subsequent clock cycles. The e l register 104 may then determine the appropriate data word sharing a common row' address with the data word to be written (in case of row address change) during the subsequent clock cycle.
  • the el register 104 then transmits the data word and associated address to verify ' register of the pipeline structure.
  • el register 104 may also transmit the physical address within el register 104 if the data word is already stored within el register 104. In this way, control bits associated with the data word may be updated.
  • e l register 104 may also store data words for future re-write attempts.
  • the el register 104 may receive data words that have failed verification from the pipeline structure of the present disclosure.
  • Memory device 100 may have attempted a write operation and the data word failed a verify operation.
  • Memory device 100 may also have attempted a read operation and the data word may have faded to read within a specified error budget.
  • the pipeline structure of the present disclosure may transmit the data word to el register 104.
  • Memos) ' bank 102 may become inactive during a subsequent cycle.
  • the el register 104 may then determine an appropriate data word to attempt to re-write to memory bank 102, In this case, el register 104 may transmit a data word and associated address to the pipeline structure. The el register 104 transmits the data word such that a write register could re-write the data word during the clock cycle that memory bank 102 would otherwise be inactive.
  • el register 102 may also relocate data words, associated addresses, and control bits to e2 register 106. If no more re-write attempts are desired, el register 104 may relocate data word and associated address to e2 register 106. The el register may also relocate data to memory' bank 102 or e2 register 106 on power down so that data is stored in non-volatile memory in the case that el register 104 comprises volatile memory. The el register 104 may also relocate data to e2 register 106 in the case that el register 104 lacks space for data words.
  • the el register comprises control bits and communicates using control signals.
  • el register comprises valid bits indicating whether the associated data word is a valid entr' within el register.
  • el register comprises fail count bits indicating the number of re-write attempts associated with a data w ' ord. In this w ' ay, memory ' device 100 may try only a specified number of re-write attempts.
  • el register comprises bits indicating that the associated data word has not been verified due to row address change and should be verified.
  • the e2 register 106 is coupled to el register 104 and may also be coupled to memory bank 102.
  • the e2 register 106 comprises an array of data storage elements comprising data bits and may be implemented by volatile and non-volatile RAM technologies.
  • the e2 register 106 may also comprise an ECC block and CAM
  • the e2 register 106 may comprise data words, associated addresses, and control bits.
  • e2 register 106 will comprise a non-volatile memory' technology, for example STT-MRAM.
  • the e2 register may be located off the memor ' chip and on a system card or even on the CPU. In other words, the e2 register can be located on a different chip besides the memory chip.
  • the e2 register 106 stores data words and associated addresses relocated from el register 104. In another embodiment, rather than storing data words and associated data words from el register 104, e2 register 106 remaps those data words to addresses within memory bank 102. For example, e2 register 106 may store remap addresses in memory bank 102. The e2 register 106 then temporarily stores a data word from el register and then writes it to an appropriate remap address in memory bank 102. When a data word should be read, e2 register contains the appropriate remap address for reading the data word from memory bank 102.
  • Data words and associated addresses may be relocated to e2 register 106 or remapped based on different conditions.
  • el register 104 relocates data words and associated addresses to e2 register 106 because the data words failed to write to memory ' bank 102 after the specified number of re-write attempts.
  • el register 104 relocates data words and associated addresses to e2 register 106 because power down signal 116 indicates that data word and associated address should be moved to non-volatile memory, such as e2 register 106 comprising STT- MRAM.
  • el register 104 may attempt to process any pending verifies or re write attempts associated with data words stored in e 1 prior to relocating the contents of the e 1 register to the e2 register upon receipt of the power down signal.
  • the e 1 register can, in one embodiment, attempt to process all the entries within the e l register prior to storing the entries in the e2 register.
  • the e 1 register may attempt sending data words associated with any pending re-write operations or verify operations to the pipeline structure to process them prior to moving them to the e2 register. Any operations that are successfully processed may then be deleted, overwritten or invalidated from the el register and would not need to be stored in the e2 register. Any operations that are not successfully processed on power down, will be stored in the e2 register.
  • el register 104 relocates data words and associated addresses to e2 register 106 because el register 104 lacks space.
  • desired control bits may also be relocated with associated data word.
  • e2 register 106 may also be coupled to an input register of a pipeline structure. In this way, e2 register 106 may receive control signals indicating that a write operation for a data word sharing a common associated address with a data word within e2 register 106 may be occurring. Thus, control bits within e2 register 106 may indicate that a data word within e2 register 106 is invalid because of a system write operation.
  • Memory device 100 also communicates using exemplary' signals 108-1 18
  • Address signal 108 comprises address within memory bank 102 of data to be written to or read from (or otherwise manipulated).
  • Data word signal 110 comprises a data word to be written to (or otherwise manipulated) memory bank 102
  • Clock signal 1 12 comprises a memory' device 100 clock signal or other clock signal (such as for specific components within memos ⁇ ' device 100).
  • Write and chip select signals 114 comprise signals used to determine the operation to be performed within memory bank 102 For example, if write signal is high and chip select signal is low a read operation might be performed on memory bank 102.
  • Power down signal 116 indicates whether power will be removed from memory device 100 or specific components within snesnory device 100.
  • pow'er down signal 1 16 may be used to determine that contents of el register 104 should be written to memory or e2 register 106.
  • the el register 104 may attempt to process any pending verifies or re write attempts associated with data words stored in e 1 prior to relocating the contents of the e 1 register to the e2 register upon receipt of the power down signal but before the device powers down.
  • Power up signal 118 indicates that power is provided to memory device 100.
  • Power up signal may indicate that e2 non-volatile memory contents should be loaded to e2 volatile memory .
  • One of ordinary' skill in the art will recognize that the specific signals 108-1 18 may be modified without departing from the present disclosure.
  • another attempt is made to process any pending verifies or re-write attempts associated with data words stored in the e2 register. If the e2 register is connected to the pipeline structure, the attempts to process the data w'ords m the e2 register may occur directly from tire e2 register. In a different embodiment, the e2 register may need to move its contents to the el register prior to attempting the verify and re-write operations through the pipeline.
  • Power down signal 116 may indicate that e2 register 106 volatile memory contents should be moved to e2 register 106 non-volatile memory .
  • e2 register 106 volatile memory contents not already stored in e2 non-volatile memory may be moved to e2 register 106 non-volatile memory.
  • the e2 register may attempt to process any pending verify or re-write operations prior to moving the contents into non-volatile memory.
  • power down signal 1 16 may indicate that e2 register 106 contents should he moved to non-volatile memory bank 102.
  • power down signal 116 may indicate that certain data words within el register 104 should be verified to memory bank 102. In another embodiment, power down signal 116 indicates that certain data words within el register 104 should be re-written to memory bank 102. If the verify or re-w'rite operations are unsuccessful, as mentioned above, the data words associated with those operations would then be moved to the e2 register upon power down.
  • Fig. 9 is a block diagram of exemplary memor device of the present disclosure having a single dynamic redundancy register (e l register 904 in this case).
  • Fig. 9 show's memory devices 900 described herein that includes memory bank 902 and el register 904.
  • memory bank 902 comprises a secure memory storage area 932 that may be reserved for the el register to relocate data words, associated addresses, and control bits.
  • the reserved secured memory 7 storage area 932 performs substantially the same function as the e2 register described in Fig. 1.
  • the el register is able to relocate its contents to a secured location in memory as will be further described below.
  • memos ⁇ 7 device 900 communicates with data signals, for example, address signal 908, data word signal 910, clock signal 912, write and chip select signals 914, power down signal 916, and powor up signal 918.
  • data signals for example, address signal 908, data word signal 910, clock signal 912, write and chip select signals 914, power down signal 916, and powor up signal 918.
  • Fig. 9 illustrates certain teachings of the present disclosure. However, it should be understood that the specific signals 908-918 illustrated may be modified by those with ordinary skill in the art without departing from the teachings of the present disclosure.
  • other communication interfaces for example a double data rate (DDR) interface, to the memory device may be used.
  • DDR double data rate
  • memory device 900 may comprise one or more memory banks. Note further that while write and chip select signals have been lumped into one signal 914 in Figure 9, write, chip select and read may all comprise separate signals to memory device 900.
  • Memory bank 902 comprises an array of data storage elements comprising data bits and may be implemented by volatile or non-volatile RAM technologies such as static random-access memory (SRAM), dynamic random-access memory (DRAM), resistive random-access memory (RRAM), phase-change memory (PCM), MRAM, STT-MRAM, or other RAM technologies.
  • memory bank 902 may include an error correcting code block (not pictured).
  • the teachings of the present disclosure are especially beneficial when memory ' bank 902 comprises STT-MRAM which suffers from an inherently stochastic write mechanism, wherein bits have certain probability of write failure on any given write cycle.
  • the teachings of the present disclosure allow memory' bank 902 to be operated with high WER.
  • memory bank 902 Operating with high WER may allow memory bank 902 to operate under other beneficial conditions.
  • memory bank 902 could operate under high write speed, low write voltage (which may enable higher bitcell endurance), reducing ECC bits, or increased ECC decode speed, among other beneficial conditions.
  • memory bank 902 may comprise 65,536x50 addressable bits for instance. Further, the 50 bits comprise a 32-bit data word and 18 parity bits for error correction. Operations may be performed on memory' bank 902 including system read, system write, re write and verify operations, among other data manipulations. A particular operation, for example a write operation, may occur at a particular address within memory ’ bank 902. The operation may have a row address, indicating a word line, and a column address. The address for write operations may be communicated through a write port of memory' bank 902, The address for read or verify operations may be communicated through a read port of memory' bank 902.
  • memory bank 902 comprises a pseudo-dual port memory' bank allowing memory device 900 to simultaneously (e g., substantially within a memory device clock cycle) perform a write operation and a verify operation sharing a common row (word line) address.
  • System read operations to memory bank 902 generally supersede write and verify operations. Thus, system read operation would be performed before the scheduled write and verify operations. Write and verify operation could then happen on a subsequent clock cycle.
  • a pseudo-dual port memory' bank can used to implement the write and verify operation on the same clock cycle.
  • the el register 904 is coupled to memoiy bank 902.
  • Hie el register 904 comprises an array of data storage elements comprising data bits and may be implemented by volatile and non volatile RAM technologies.
  • the el register 904 may also comprise control bits and
  • el register 904 might comprise SRAM.
  • e l register may comprise non-volatile RAM such as STT-RAM
  • the e 1 register may also comprise a dual-port STT-RAM to allow simultaneous read and write operations. In this case, e l register 904 can ran at the same cycle throughput speed as a memory bank.
  • Tire el register 904 may also comprise content addressable memory' (CAM).
  • e 1 register 904 stores data words and associated addresses for data in memor bank 902 that have not been verified or have failed verification.
  • el register 904 may store data words that have not been verified.
  • e 1 register 904 receives a ROWchg signal that indicates row address change within a pipeline structure of the present disclosure.
  • the ROWchg signal indicates that the data word and tire associated address from the pipeline structure should be stored within el register 904.
  • the ROWchg signal may also indicate that that another data word and associated address should be transmitted from e 1 register 904 to the pipeline structure for a verify operation.
  • el register 904 may choose a data word and an associated address such that they share a common row address with a data word in the write register of the pipeline structure. In this way, a write operation and a verify operation can be simultaneously performed since the data words share a common row address
  • e 1 register 904 may store data words that have failed verification.
  • the pipeline structure may transmit a signal to e l register 904 indicating that a data word has failed to write (e.g , failed verification) to memory bank 902.
  • the pipeline structure may also transmit data word and associated address (in the case that e l register 904 does not already contain the data word and associated address) to el register 904 in order to later try to re-write the data word to memory bank 902.
  • a read operation may occur and pipeline structure may determine that the read operation did not occur within a predetermined error budget. Hie pipeline structure may then transmit a signal indicating that the read operation failed to occur wdthin the error budget and transmit the data word and associated address to el register 904 for storage.
  • e l register 904 may store data words and associated addresses for future verification. For example, a data word may not have had an opportunity to verify due to a row address change. Thus, el register 904 may transmit the data word and associated address to the pipeline structure of the present disclosure during some subsequent clock cycle to verify the data word. Typically, a control signal may indicate to el register 904 that a row address change will occur or that memory bank 902 will become inactive during subsequent clock cycles. The e l register 904 may then determine the appropriate data word sharing a common row address with the data word to be written (in case of row address change) during the subsequent clock cycle.
  • the el register 904 then transmits the data word and associated address to verify register of the pipeline structure.
  • el register 904 may also transmit the physical address within el register 904 if the data word is already stored within e l register 904. In this way, control bits associated with the data word may be updated.
  • el register 904 may also store data words for future re-write attempts.
  • the el register 904 may receive data words that have failed verification from the pipeline structure of the present disclosure.
  • Memory device 900 may have attempted a write operation and the data word failed a verify operation.
  • Memory device 900 may also have attempted a read operation and the data word may have failed to read within a specified error budget.
  • the pipeline structure of the present disclosure may transmit the data word to el register 904.
  • Memory bank 902 may become inactive during a subsequent cycle.
  • the el register 904 may then detennine an appropriate data word to attempt to re-write to memory bank 902.
  • el register 904 may transmit a data word and associated address to the pipeline structure.
  • the el register 904 transmits the data word such that a write register could re-write the data word during the clock cycle that memory' bank 902 would otherwise be inactive.
  • the e l register 902 may relocate data words, associated addresses, and control bits to secure memory' storage 932 in memory ' bank 902. If no more re write attempts are desired, e I register 904 may relocate data word and associated address to secure memory storage 332. The el register may also relocate data to secure memory' storage 932 on power down so that data is stored in non-volatile memory in the case that el register 104 comprises volatile memory. As mentioned above, generally, el register 904 stores data words and associated addresses for data in memory' bank 902 that have not been verified or have failed verification.
  • the el register 904 may attempt to perform all the pending operations associated with the data w'ords stored in the el register prior to the device shuting down. For example, the el register may attempt to store all the data words to be re-written back into memory to the targeted locations the memory bank 102 using the associated addresses for the data w'ords (also stored within el). It should be noted, however, that prior to attempting pending operations stored in the e 1 register, the memory device will first flush out the pipeline and finish up any pending operations in the pipeline from before the power down signal was received.
  • the power down signal originates from a system level software stack and represents a system wide orderly power down event.
  • the power down signal may not be part of a system wide orderly power down event.
  • an analog detector may be configured to monitor the power level of the chip (e.g., a V CC power supply pin) and initiate a power down sequence if the power level of the chip falls below a certain threshold level, e.g., falls 10% or more.
  • one or more capacitors may be configured to hold charge in order to sustain the power level above a threshold level, which allows the entire power down sequence to finish to completion.
  • a status pin(s) or register may be configured that allows the system to determine whether a power down sequence is complete. This status pin(s) or register may be used whether the shut down sequence is a result of an orderly shut down process or not.
  • the status pin(s) or register may, for example, be associated with a timer that is set to allow the system enough time to run the entire power down sequence to completion
  • the el register may comprise data words that have not yet been verified or that have failed verification.
  • the el register may attempt to perform all the pending verify operations and move all the data words associated with pending re-write operations (e.g. operations that have failed verification) stored within it to the appropriate corresponding locations in the memory bank.
  • the power down sequence will typically take longer because it may take a few* cycles for the e 1 register to attempt to perform all the pending verify or re-write operations.
  • the power down signal 916 from the user or system warns the el register 904 to expect a shut down sequence.
  • the el register can then attempt to perform the pending verify' and re-write operations.
  • an option bit (or bits) or pin(s) (not shown) is provided to the user to disable the processing of the contents of the el register prior to shutting down. For example, if a user wants to avoid a long power down sequence, an option may be provided to disable this scheme. By way of further example, the option bit(s) may be used to disable the scheme during a test mode [00113]
  • the el register may simply transmit the data words to the appropriate registers in the pipeline structure. For example, a data word to be re-written into the memory bank may be transmitted to the write register in the pipeline from the el register. From the pipeline, the data can be directed to the targeted locations within the memory bank 902. [00114] Another data word to be verified may be transferred to the verify register in the pipeline. Further details regarding the pipeline are provided in connection with Fig. 5.
  • the corresponding entries for the data words m the el register may be deleted prior to shutting down.
  • any data words that were successfully re-written or verified can be deleted from the el register prior to shutting down. Any data words in the el register that could not be successfully re-written or verified prior to shuting down will be stored in secure memory storage area 932.
  • the data words that were successfully re-written or verified do not need to be pro-actively deleted, instead they will be deleted automatically once the power down sequence completes.
  • Memory bank 902 can comprise a secure area reserved for el register to transfer its contents into upon shuting down.
  • the memory device 900 ensures that data is written securely to the secure memory storage area 932 by using one or multiple schemes including voting, error-correcting code (ECC), or storing multiple copies.
  • ECC error-correcting code
  • multiple copies of each of the data words can be written into secure memory' storage area 932.
  • each of the copies of the data word are read from the secure memoiy storage area and compared to determine if the data between all the copies is consistent.
  • a voting scheme is used to determine the correct data word. In other words, the most frequently occurring version of the data word between the various copies is selected as the data word.
  • a voting scheme is used to determine the correct data word. In other words, the most frequently occurring version of the data word between the various copies is selected as the data word.
  • ECC is used to ensure that the data words are error corrected to ensure that they are writen accurately into the secure memory storage area 932.
  • the secure memory storage area will comprise data words (with their associated addresses) that have not yet been verified or that have failed verification.
  • the pending re-write or verify ' operations wall now ' be stored in non-volatile memory' in secure memory storage area 932 where they were re-located to following power down.
  • the verify and re-write operations can be directly attempted from the secure memory' storage area 932 or they can be recalled to the e 1 register prior to processing the pending operations.
  • the el register may attempt to perform all the pending verify operations and move all the data words associated with pending re-write operations (e.g. operations that have failed verification) to the appropriate corresponding locations in the memory bank.
  • secure memory storage area 932 may be connected to the pipeline structure and the data words for the pending operations can be directed directly from secure memory storage area 932 to the pipeline structure.
  • a re-write operation can be sent directly from the secure memor ' storage area to a write register in the pipeline structure.
  • a pending verify operation may be sent to a verify register in the pipeline structure directly from the secure memory storage area.
  • the verify and re-write operations that do not complete successfully can be transferred to the el register.
  • the verify and re write operations that cannot complete in the specified amount of time are transferred to the el register.
  • an option bit(s) or pin(s) can be set to determine whether to enable or disable this feature. Some users, for example, may not want a long power up sequence. In such cases, an option bit may be provided to users to disable tins feature.
  • the data words associated with pending operations may be simply transmitted to the appropriate registers in the pipeline structure (from either the el register or the secured memory ' storage). From the pipeline, the data can be directed to their targeted locations within the memory ' bank 902.
  • the corresponding entries for the data words in the secure memory storage area 932 or the e 1 register may be deleted prior to shutting down.
  • any data words that were successfully re-written or verified can be deleted from both the secure memory storage area 932 and the el register 904. Any data words that could not be successfully re written or verified subsequent to powering up will be stored in the e 1 register.
  • the memory device blindly transfers all the contents of the e 1 register into secure memory storage area 932 on power down.
  • the power down signal 916 can be used to indicate that a power down sequence is expected.
  • el register 904 can dump the entirely of its contents into secure memory storage area 932. The blind save on power down will typically require more time than a regular power down sequence, but will not consume as many cycles as trying to execute pending operations in the el register prior to shut down.
  • the memory device 900 ensures that data is written securely to the secure memory storage area 932 by using one or multiple schemes including voting, error- correcting code (ECC), or storing multiple copies.
  • ECC error- correcting code
  • multiple copies of each of the data words can be written into secure memory storage area 932.
  • each of the copies of the data word are read from the secure memory storage area and compared to determine if the data between all the copies is consistent.
  • a voting scheme can be used to determine the correct data wasd. In other words, the most frequently occurring version of the data word between the various copies is selected as the data word.
  • ECC is used to ensure that the data wasds are error corrected to ensure that they are written accurately into the secure memory storage area 932.
  • the memory device blindly transfers all the contents from the secure area of the memory array into the el register. In other words, no attempt is made to process the operations associated with the data words stored in the secure memory storage area 932 on power up. The data words are simply saved to the el register.
  • memory device 900 does not necessitate attempting pending verify and re-write operations on both shut down and power up.
  • memory device may attempt to process pending re-w ' rite and verity operations only on power up, but not on power down.
  • memory device may attempt pending operations only on power down, but not during the power up sequence (e.g., not before the device enters mission mode).
  • memory device may attempt pending operations both on power down and power up.
  • the e 1 register 904 may also relocate data to secure memos ⁇ ) ' storage 932 in the ease that el register 904 lacks space for data words.
  • the el register comprises control bits and communicates using control signals.
  • el register comprises valid bits indicating whether the associated data word is a valid entry within el register.
  • e I register comprises fail count bits indicating tire number of re-write attempts associated with a data word. In this way, memory device 900 may try only a specified number of re-write atempts.
  • el register comprises bits indicating that the associated data word has not been verified due to row address change and should be verified.
  • Memory device 900 also communicates using exemplary signals 908-918
  • Address signal 908 comprises address within memory bank 902 of data to be written to or read from (or otherwise manipulated).
  • Data word signal 910 comprises a data word to be written to (or otherwise manipulated) memory bank 902
  • Clock signal 912 comprises a memory device 900 clock signal or other clock signal (such as for specific components within memory device 900).
  • Write and chip select signals 914 comprise signals used to determine the operation to be performed within memory bank 902 For example, if write signal is high and chip select signal is low a read operation might be performed on memory bank 902. Note that in such case write and chip select signals can be separate signals.
  • Power down signal 916 indicates whether power will be removed from memory device 900 or specific components within memory device 900 in accordance with an orderly shut down. Thus, power down signal 916 may be used to determine that contents of el register 904 should be written to secure memory storage area 932 as detailed above. Further, as detailed above, in one embodiment, power down signal 916 may indicate that certain data words within e 1 register 904 should be verified to memory bank 902. In another embodiment, power down signal 916 indicates that certain data words within el register 904 should be re-written to memory bank 902.
  • Power up signal 918 indicates that power is provided to memory device 900. Power up signal may indicate that contents of the non-volatile secure memory storage area 932. should be loaded to the el volatile memory . Further, as detailed above, in one embodiment, power up signal 918 may indicate that certain data words within secure memory storage 932 should be verified to memory bank 902. In another embodiment, power up signal 918 indicates that certain data words within secure memory storage 932 should be re-written to memory bank 902.
  • Fig. 10 depicts an exemplary embodiment for a process flow 1000 showing the processing of pending memory related operations in a dynamic redundancy register on power down in an exemplar ' memory' device of the present disclosure.
  • a power down signal 916 is received.
  • the power down signal originates from a system level software stack and represents a system wide orderly power down event.
  • the power down sequence is initiated when an analog detector detects that the operating power of the ch ip has fallen below a threshold level as noted above.
  • the memory device 900 determines if an option bit or pin is set for enabling the processing of pending operations in a dynamic redundancy register prior to shutting down.
  • step 1006 the memory device processes data words associated with pending verify operations in the el register 904. In other words, any verifies for which corresponding data w'ords and addresses are stored in the el register 904 are attempted prior to powering down. Similarly, at step 1008, the memory device processes any pending re write operations in the el register. Data words corresponding to any verifies or re-writes that are successful are deleted from tire dynamic redundancy register at step 1010. As noted above, if the dynamic redundancy register comprises volatile memory then a pro-active deletion step is not necessary . At step 1012, the remaining data words, if any, corresponding to operations that did not complete successfully are transferred to non-volatile secure memory storage area 932.
  • the memory device is ready for power down and/or powers down.
  • step 1014 all the contents of the el register are re-located directly to the non-volatile secure memory storage area 932 without attempting any of the verify and re-write operations associated with data words stored in the el register.
  • step 1016 the memory device powers down.
  • FIG. 11 depicts an exemplary embodiment for a process flow 1 100 showing the processing of pending memory related operations in a secure memory 7 storage area on power up using a dynamic redundancy register in an exemplary memory device of the present disclosure.
  • a power up signal 918 is received from system level resources.
  • the memory device 900 determines if an option bit is set for enabling the processing of pending operations in a secure memory storage area using a dynamic redundancy register prior to powering up. [00141] If the option bit is set, then at step 1106 the memory device processes data words associated with pending verify operations in the secure memory storage area 932. In other words, any verifies for which corresponding data words and addresses are stored in the secure memory are attempted prior to powering up. Similarly, at step 1008, the memory device processes any pending re-write operations in the secure memory area 932.
  • the data words and addresses associated with the pending verify and re-write operations can be injected directly into the pipeline structure from the secure memory storage area.
  • the verify and re-write operations can be attempted by first transferring the corresponding data words and addresses to a dynamic redundancy register, e.g. the el register, then to the pipeline.
  • step 1112 Data words corresponding to any verifies or re-writes that are successful are deleted from the secure memor ' storage area 932 (or the e 1 register if transferred there prior to attempting the operations) at step 11 10. If the verifies and re-writes are attempted directly from the secure memory' storage, then at step 1112, the remaining data w'ords corresponding to operations that did not complete successfully are transferred to the el register. As noted previously, operations may not complete successfully because of certain specification mandated time limits on the power up sequence. At step 1112, the memory device is ready to power up and/or powers up.
  • step 1114 all the contents of the secure memory storage area 932 are re-located directly to the dynamic redundancy register without attempting any of the verify and re-write operations associated with data words stored m the secure memory storage.
  • step 1116 the memory device powers down.
  • Fig. 12 depicts an exemplary ' embodiment for a process flow 1200 showing the processing of performing a blind save of the contents of a dynamic redundancy register on power down in an exemplary' memory device of the present disclosure.
  • a power down signal 916 Upon receipt of a power down signal 916 at step 1206, ail the contents of the dynamic redundancy register (e.g. the el register) are transferred to secure storage location 932 without attempting to perform any of the operations associated with the data words stored in the el register.
  • the memory device is then powered off.
  • FIG. 13 depicts an exemplary embodiment for a process flow 1300 showing the processing of performing a blind recall of the contents of the memory bank into a dynamic redundancy register on power up in an exemplary ' ⁇ memory device of the present disclosure.
  • step 1312 Upon receipt of a power up signal 918 at step 1310, at step 1312, all the contents of the secure memory storage area 932 are transferred to the dynamic redundancy register (e.g. the el register) without attempting to perform any of the operations associated with the data words stored in the secure memory storage area.
  • the dynamic redundancy register e.g. the el register
  • Fig. 2 depicts an exemplary embodiment for a process flow showing a write operation using an exemplary memory device of the present disclosure and i llustrates the high-level write operation performed on a memory device.
  • a write operation to be performed on primary memory e.g., input register to memory bank 102
  • the system write operation may be performed on primary memory.
  • step 206 a determination is made whether write operation should be retried in step 206.
  • One retry is illustrated during process flow 200 of Fig. 2, but as many tries to write data into memory bank may be tried as desired (0 to n retries). If a retry should be tried, the data will be written from el register to primary memory when process flow 200 returns to step 202. From this description a person having ordinary skill in the art will understand the operation of steps 202-206 and 210. Ho 'ever, in some instances, a write operation from e 1 register to primary rnemoiy may be unsuccessful despite the total desired number of retries.
  • step 206 if a detennination is made at step 206 that no more tries should be made to write data from e 1 register to primary memory, process flow 7 200 will proceed to step 208.
  • step 208 data is written to alternate storage (e.g., from el register to e2 register).
  • FIG. 3 is a block diagram of exemplary embodiment of a memory 7 device 300 of the present disclosure having dynamic redundancy registers.
  • Fig. 3 is a block diagram of memory device 300 described herein that include memory banks 304 and 306, pipeline banks 308 and 310, input register 312, el register 314, and e2 register 316.
  • Memory device 300 communicates using signals 318-324.
  • Memory device 300 includes ports 326-336 for performing read, write, and verify (or other data manipulation) operations on memory banks 304 and 306.
  • Memory device 300 is described herein to describe aspects of the present disclosure. One of ordinary skill wOuld understand how to modify memory device 300 without departing from the teachings of the present disclosure.
  • memory 7 device 300 may comprise two or more dynamic redundancy registers and one or more memory banks.
  • memory' device may only comprise a single dynamic redundancy register as discussed above.
  • Memory banks 304 and 306 have previously been described with respect to Fig. 1.
  • Memory- banks 304 and 306 also include two ports (326 and 32.8; 332 and 334, respectively) for performing read, write, and verify (or other data manipulation) operations.
  • Memory bank 304 could, for example, comprise data words having even addresses while memory bank 306 comprises data words having odd addresses.
  • TWO ports 326 and 328 of memory bank 304 are coupled to bit lines of memory bank 304.
  • two ports 332 and 334 of memory bank 306 are coupled to bit lines of memory bank 306.
  • memory ' device 300 may comprise any desired number of read and write ports.
  • memory device can comprise two write ports and a single read port.
  • a dual port memory bank is used.
  • each port 326-336 could perform simultaneous read and write operations.
  • pseudo-dual port memory banks 304-306 in mind to highlight specific teachings of the present disclosure.
  • the Y-mux structure of the present disclosure allows pseudo-dual port memory- banks 304-308 to perform simultaneous write and verify operations sharing common row address and different column address.
  • a pseudo-dual port memory bank may have one port optimized to perform write operations and another port optimized to perform read operations
  • the memory device may comprise two memory- banks.
  • the memory- device may comprise several memory- banks, e.g., 2, 4, 8, 16 etc.
  • each memory- bank will be associated its own respective pipeline.
  • each memory bank will be associated with a dedicated pipeline and a dedicate device redundancy register.
  • the memory device will contain an el register for each of the memory banks. If each memory bank has a dedicated el register, the size of each of the el registers w-ill likely be smaller than an el register that services all memory banks. This will likely increase re-write and verify efficiency.
  • write port 326 allows transmi ssion of signals comprising w-rite address and write data to memory bank 304 from pipeline bank 308.
  • Port 328 allows transmission of data signals comprising read address or verify address to memory bank 304 from pipeline bank 308.
  • Port 330 allows transm ission of data signals comprising read data word from memory bank 304 to pipeline bank 308.
  • Pipeline banks 308 and 310 comprise data registers for implementing the write, read, and verify (and other data manipulation) operations of the present disclosure.
  • Pipeline banks 308 and 310 are coupled to memory banks 304 and 306, respectively, using pseudo-dual port structures, as explained above, for providing simultaneous write and verify operations.
  • pipeline banks 308 and 310 are coupled to input register 312. As explained in connection with Fig. 5, pipeline banks 308 and 310 implement a pipeline structure that allows verify and write operations to be simultaneously performed on memory banks 304 and 306. Moreover, pipeline banks communicate with el register 314 to implement a pipeline structure of the present disclosure.
  • Input register 312 comprises data storage elements comprising data bits. Input register comprises a data word, an associated addresses within memory banks, and control bits indicating a system operation such as system read or system write. For example, input register 312 may comprise a data word to be written to memory banks (received from data signal 322), the address of the data (received from address signal 324), and control bits. Input register 312 may be coupled to pipeline bank 308 and pipeline bank 310 to communicate a data word, its associated address, and control bits.
  • input register 312 may be coupled to e 1 register 314 for transferring the associated address of data word to e 1 register 312 and control signals.
  • the el register 314 has been described in connection with Fig. 1, and will also be further described m connection with Fig. 7.
  • the el register 314 is coupled to pipeline banks 308 and 310 and e2 register 316.
  • the el register 314 comprises data storage elements comprising data bits.
  • e 1 register 314 may comprise data word and associated addresses for data words that have failed to verify correctly within memory banks 304 and 306.
  • the el register 314 may comprise data words and associated addresses for data words that have not yet been verified within memory banks 304 and 306.
  • the el register 314 may also comprise data words and associated addresses for data words that have failed to read from memory banks 304 and 306 within an associated error budget.
  • the e2 register 316 has been described in connection with Fig. 1, and will also further be described in connection with Fig. 8.
  • the e2 register 316 may be coupled to el register 314.
  • the e2 register 316 can, in one embodiment, be optional.
  • the e2 register 316 comprises data storage elements comprising data bits.
  • the e2 register 316 comprises data words, associated addresses, and control bits. These data words have typically failed to write to memory' banks 304 and 306. These words may have also been written from el register 314 to e2 register 316 because of power down of memory device 300 or lack of space w'ithin el register.
  • e2 register 316 may optionally be coupled to pipeline banks 308 and 310 or memory banks 304 and 306 in order to write data words (or other signals).
  • e2 register may store remap addresses within memor ' banks 304 and 306 for writing directly to memory banks through a remap process in another embodiment, e2 register 316 writes data to memory banks 304 and 306 during power down.
  • Fig. 4 is a block diagram of exemplary ' embodiment of a memory' device of the present disclosure showing a Y-mux structure.
  • the Y-mux structure of the present disclosure allows pseudo-dual port memory banks to perform simultaneous write and verify operations sharing common row address and different column address. Accordingly, the Y-mux structure prevents the e 1 register from overflowing by allowing both a write and verify operation to take place in the same cycle (provided they share a common row' address).
  • Fig. 4 show's portion of memory- device 400 comprising memory' bank 402, row ' decoder 404, write column decoder and y-mux 406, read column decoder and y-mux 408, and muxes 410-412.
  • Fig. 4 show ' s a Y -mux structure for decoders 406-408.
  • the Y -mux stracture allows simultaneous verify and write operations for data words sharing a common row ' address (word line) in the memory' bank but different column address.
  • one set of x addresses (common row address) and two sets of y addresses (one for the write and another for the verify operation) are inputted into the Y -mux structure.
  • the row' address (x address) for both the verify and the write operation need to be the same.
  • the addresses for verify and write operations need to address different columns. In other words, the verify' and write operation cannot be performed at the same column address.
  • a dual ported memory bank can be used that allow's two writes or two reads to be performed simultaneously.
  • Memory ' bank 402. is coupled to decoders 404-408.
  • Row ' decoder 404 takes as an input the row' of address for data word that is to be written to or read or verified from memory bank 402.
  • Row decoder determines appropriate row for the data word.
  • a data word is a pre-defined number of bits for a piece of information handled by a memory device.
  • a data word may comprise 8, 16, 24, etc. bits.
  • the size of a data word is dependent on the memory ' device and may be varied as necessary.
  • Mux 410 is coupled to row decoder 404.
  • Mux 410 takes as inputs the pipeline row address (Pipeline A Row') and read row' address (Read A Row).
  • Pipeline row address indicates the row' address for data w'ords received from the pipeline for either a write or verify operation.
  • the pipeline row' address indicates a shared row address between a data word to be written to memor ' bank 402 and another data word to be simultaneously verified in memory bank 402.
  • Read row address indicates a row address for a data word to be read from memory' bank 402.
  • Read row address generally takes precedence over pipeline row address when pseudo-dual port memory bank 402 is used.
  • Mux 410 then outputs appropriate row address to row decoder 404.
  • Row address decoder 404 then activates the appropriate row ' in memory' bank 402. Appropriate activation schemes will be known to those with ordinary skill in the art
  • Write column decoder and y-mux 406 is coupled to memory bank 402.
  • Write column decoder and y-mux 406 takes as inputs write address column WR A Col and write data WR_D, such as data word.
  • Write address column indicates a column address for a system write or re- write operation received from the pipeline structure of the present disclosure.
  • Write column decoder and y-mux 406 determines appropriate column address for write operation.
  • Write column decoder and y-mux 406 then activates the appropriate column in memory bank 402. Appropriate activation schemes will be known to those with ordinary' skill m the art.
  • Read column decoder and y-mux 408 is coupled to memory ' bank 402. Read column decoder and y-mux 408 takes as its input the column address output from mux 412. Read column decoder and y-mux 408 then determines the appropriate column for read operation.
  • Read column decoder and y-mux 408 then activates the appropriate column in memory ' bank 402. Appropriate activation schemes will be known to those with ordinary skill in the art.
  • Mux 412 is coupled to read column decoder and y-mux 408.
  • Mux 412 takes as inputs pipeline column address (Pipeline_A_Col) and read column address (Read_A_Col).
  • Pipeline column address indicates column address of data word that should be verified in memory bank 402.
  • Pipeline column address is received from the pipeline structure.
  • Read column address indicates a column address for a data word that should be read from memory ' bank 402.
  • read column address takes precedence when a pseudo-dual port memory bank 402 is used.
  • Mux 412 outputs signal comprising column address for read operation or verify operation to read column decoder and y-mux 408
  • row and column decoders 404-408 perform operation on specific addresses within memory bank 402 (for example, read, write, or verify).
  • Y-mux structure of column decoders and y-mux 406-408 allows memory bank 402 to be operated as a pseudo-dual port memory' bank.
  • a single port memory' cell may' thus be used, but memory bank 402 may simultaneously perform verify and write operations when those operations share a common row ' address but different column addresses. If a dual port memory' bank 402 was used, read and write or verify and write operations could be performed simultaneously (and not necessarily on a common row address). Further, with a dual port memory bank, two writes or two reads could be performed simultaneously as well.
  • the pseudo-dual port of the memory bank is designed so that one port is optimized for a read operation and the other port is optimized for a write operation.
  • the port that is optimized for a write operation can also perform reads because write ports typically require a strong driver.
  • the read port typically cannot perform writes because the driver does not support write operations with higher current requirements.
  • Fig. 17 illustrates the manner in which a memory bank can be segmented in accordance with an embodiment of the present invention.
  • a memory bank can be split mto segments, memory bank A 1702 and memory bank B 1703. Instead of being driven by one set of row and column decoders, the memor ' bank is now split into two and driven from both sides with two sets or row and column decoders.
  • the row decoders 1704 and 1754 perform substantially the same function as the row decoder 404 in Figure 4.
  • the two segments can each be driven by a Write column decoder and Y-mux (e.g., 1706 and 1726) and a Read column decoder and Y-mux (e.g., 1708 and 1728).
  • the write column decoder and Y-mux and the Read column decoder and Y-mux structures perform substantially the same function as the Write column decoder and Y-mux 406 and the Read column decoder and Y-mux 408 shown in Figure 4.
  • Each of the segments may be considered a separate memory' bank.
  • the memory device may comprise several memory banks or segments, e.g., 2, 4, 8, 16 etc.
  • each memory ' bank or segment will be associated its own pipeline.
  • each memory' segment will be associated with a dedicated pipeline and a dedicated device redundancy register.
  • the memory ' device will contain an e l register for each of the memory banks or segments.
  • Fig. 18 is a block diagram of exemplary ' embodiment of a memory' device of the present disclosure showing the operation of a row' and column decoder in con j unction with a Y-mux structure in accordance with an embodiment of the present invention.
  • the Y-mux structure of the present disclosure allows pseudo-dual port memory banks to perform simultaneous write and verify operations sharing common row address and different column address.
  • Fig. 18 shows portion of memor device 1800 comprising memory bank 1802, row- decoder 1804, write column decoder and y-mux 1806, and read column decoder and y-mux 1808.
  • memory bank 1802, row decoder 1804, write column decoder and y-mux 1806, and read column decoder and y-mux 1808 perform substantially similar functions as the corresponding components in Figure 4 Further note that write column decoder and y-mux 1806, row- decoder 1804 and read column decoder and y-mux 1808 together comprise a read/write port for the pseudo dual port memory- bank.
  • Fig. 18 shows a Y-mux structure for decoders 1806 and 1808.
  • Memory bank 1850 will typically comprise a plurality of rows and column bit-lines.
  • the Y-mux structure allows simultaneous verify and write operations for data words sharing a common row' address (word line) in the memory' bank but different column address.
  • the row- decoder 1804 may activate a row' address 1 50 (an x address).
  • column decoder and Y-mux 1806 multiplexes the column bit --lines 1851 based on a column address (WR A COL) to arrive at the column lines associated with the addressed data w-ord in the Y-mux.
  • WR A COL column address
  • the WR A COL signal is used to select the appropriate column bit-lines 1851 to w-rite the data inputted through the WR D signal.
  • the read column decoder and Y-mux 1806 are writing a data w-ord to the memory' bank 1802
  • the read column decoder and Y-mux is used to perform tire verify operation that shares the common ro address (on ro 1 50) as the write operation.
  • the read address 1852 is used to select the appropriate bit-lines for the verify (or read) operation and the result is outputted through the D-out signal.
  • the column decoder and Y-mux 1806 is used to w-rite a data word into the memory- bank 1802 at a row- address 1850 in the same cycle as the read column decoder and Y-mux 1808 is used to verify (or read) a data w-ord from row address 1850
  • Fig. 5 is a block diagram of exemplary embodiment of a memory device of the present disclosure showing pipeline structure that allows verification and re-write operations.
  • Fig. 5 shows exemplary- pipeline 500 for implementing the pipeline flow for system write, re-write, and verify operations, among other data manipulation operations.
  • Pipeline 500 is implemented using system operations 502, input register 504, memory pipeline 506, el register 508, and memory- bank 510.
  • Memory- pipeline 506 comprises write register 512, delay- register 514, verify register 516, and verify results register 518.
  • pipeline 500 comprises compare memory logic 520.
  • System operation 502 comprises signals for performing a desired operation such as system write and system read, among other data manipulation operations.
  • system operation 502 typically includes signals indicating a data word, the associated data address within memory bank 510, and control signals indicating the operation to be performed on memory bank 510 (such as write or chip select signal), among other signals for performing data manipulation operations and maintaining appropriate states.
  • control signals indicating the operation to be performed on memory bank 510 such as write or chip select signal
  • the signals from system operation 502 are stored in input register 504.
  • Other configurations for signals from system operation 502 may be used without departing from the scope of the present disclosure.
  • delay register 514 allows delay between write and verify operation on a data word.
  • STT-MRAM may require a delay between write operations at a particular address and verify operation at the common address. The delay cycle allows data storage elements within memory bank 510 to return to a stable state before performing verify operation.
  • Other RAM technologies, and in some instances STT-MRAM itself, may not require such delay and delay register 514 is not necessary.
  • Input register 504 is coupled to write register 512.
  • Input register 504 comprises data storage elements comprising data bits.
  • input register 504 can include data bits for a data word, associated address, a valid bit, and other desired control bits.
  • the input register 504 comprises the initial stage of the pipeline.
  • the input register 504 adds a delay in the pipeline that allows the memory’ device time to search for a data word and an associated address in the el register 508 that shares a common row address with a data word (associated with a write operation) in the input register.
  • a write operation may be received into the input register 504 from system operations 502 along with the data word to be written and its corresponding address.
  • the input register provides the requisite delay to be able to search in the e 1 register for a verify’ operation that shares a common row- address with the data word associated with the write operation.
  • el register 904 can receive a ROWchg signal that indicates row address change within a pipeline structure of the present disclosure.
  • the ROWchg signal may indicate that another data word and associated address should be transmitted from el register 904 to the pipeline structure for a verify operation. If a pseudo-dual port memory- bank is used, el register 904 ma choose a data word and an associated address such that they share a common row address with a data w-ord to be written into the write register of the pipeline structure. In this way, a write operation and a verify operation can be simultaneously performed since the data words share a common row address.
  • the input register 504 provides the necessary delay in the pipeline to be able to look for the matching verify operation the e 1 register before the data word to be written is inserted into the write register 512. In other words, the delay of input register 504 allows enough time to search for the matching verify operation in the el register prior to inserting the data words to be written and verified into the write register 512 and the verify register 516 respectively.
  • the valid bit indicates whether data manipulation operations such as system write operation should be performed or the register should not be used to perform such operations.
  • valid bits based on a write signal and chip select signal provided by system operation 502 may indicate whether data word in input register is used for write.
  • Input register 504 may also be coupled to el register 508, for example, to transmit associated address and control bits to el register 508.
  • This associated address and control bits may be used in case of row address change in the pipeline or to invalidate an el register 500 entr with die same associated address, for example.
  • the address and control bits may be used to look for a pending verify operation in the e 1 register that shares a common row address with a data word to be written into the memory bank.
  • An active memory ' bank of an embodiment of the present disclosure denotes a memory ' bank in which a system write or system read is taking place.
  • an active bank signal (or an active bank bit) prevents re-writes during that clock cycle, and instead indicates that a system write or read will occur during that clock cycle.
  • an active bank signal indicates that write register 512 will write a data word previously received from input register 504 to memory' bank 510 during that clock cycle.
  • e 1 register knows that data word for re-write operation should not be transmitted to write register 512 during that clock cycle.
  • Input register 504 transmits data word, associated address, and desired control bits to write register 512.
  • the e l register 508 has previously been described with respect to Fig. 1 and will be described in conjunction with Fig. 7.
  • the el register 508 is coupled to input register 504, waste register 12, delay register 514, verify register 516, and verify results register 520.
  • the e 1 register 508 may supply data word, associated address of a data word within memory bank 510, and control signals to write register 512, and verify register 516.
  • the e 1 register 508 may receive a data word, its associated address, and control signals from delay register 514 and verify results register 518.
  • the el register 508 may also transmit a physical address within el register 508 in case the data word is already stored within el register 508.
  • el register 508 may receive data word, associated address, and control signals from write register 512. Moreover, el register 508 may communicate with input register to receive signals such as data word signal and control signal such as inactive bank signal.
  • Write register 512 is coupled to delay register 514 and memory bank 510 In other embodiments, write register 512 may be coupled to verify register 516.
  • Write register 512 comprises data storage elements comprising data bits. Typically, write register 512 comprises data bits for a data word, its associated address, valid bit, and other desired control bits. The valid bit is a valid register bit and may be set to one when write register 512 contents are valid such that write operation may occur.
  • Write register 504 receives data word, associated address, and desired control bits from input register 504 for system write operations. For memory bank clock cycles that write register 504 would not otherwise be writing system data words to that memory bank, el register 508 transmits data words, associated address, and desired control bits to write register 512.
  • write register 512 This allows write register 512 to attempt re-write operations when write register 512 would not otherwise be writing system data words to memor bank 510.
  • read operations generally take precedence over write operations from write register 512.
  • write register 512 may perform write operation simultaneously with verify operation performed by verify register 516 if the operations share a common row address.
  • Write register 512 also transmits data word, associated address, and desired control bits to delay register 514 (or verify register 516 if no delay register is used).
  • Delay register 514 is coupled to verify register 516 and el register 508.
  • Delay register 514 comprises data storage elements comprising data bits.
  • delay register 514 comprises a data word, associated address bits, a valid bit, and other desired control bits. Valid bit indicates if delay register 514 contents are valid.
  • the delay register or multiple delay register could provide more clock cycle delay between write and verify.
  • the delay register 514 is optional for RAM technologies that require delay between write and verify operations for a particular address within memory bank 510. If row address change occurs within memory pipeline 504, delay register 514 transmits data word, associated address, and desired control bits to el register 508.
  • Verify register 516 is coupled to memory bank 510 and verify results register 520 Verily register 516 comprises data storage elements comprising data bits. Typically, verify register 516 comprises a data word, its associated address, valid bit, and other desired control bits.
  • Verify register 156 may comprise internal el address if data word was received as a result of re-write operation or verify operation from el register. Valid bit indicates whether verily register 516 contents are valid for verify operation. Verify register 516 contents, such as data word, can be sourced from either delay register 514 (or write register 512 in case delay register 512 is not used) or e 1 register 508. Verify register 516 would receive contents from delay register 514 if no row' address change has occurred. Verily register 516 w'ould receive contents from e l register 508 if row address change occurred. In one embodiment, verify 7 register 516 receives the data word, its associated address, address within e l register, fail count bits, and other desired control bits from e l register 508.
  • Verify register 516 transmits the associated address to memor bank 510 for the data word to be verified. Verify register 516 transmits the data word, fail count bits, and other desired status bits to compare data logic 520. Verify register 516 transmits the data word and its associated address to verify results register 518 in case of a system write. Verify register 516 transmits internal e l address in case of re-write operation or verify from el register 508. Thus, if the data word and the associated address already exist el register 508, verify register 516 need not transmit the data word and the associated address to verify results register 518.
  • Compare memory logic 520 is coupled to verify register 516.
  • Compare memory logic 520 comprises data storage elements comprising data bits.
  • Compare memory logic 520 may comprise read or sense amplifiers to read a data word from memory bank 510.
  • Hardware logic for implementing compare memory logic 520 can be used by those with ordinary skill m the art.
  • compare memory logic 520 receives input from verify register 516 and memory bank 510. Memory bank 510 outputs a data word to compare memory logic 520 based on die associated address transmitted from verify register 516. Compare memory logic 520 also receives the data word from verify register 516. Thus, compare memory logic 520 determines whether the w rite operation passed or failed. Compare memory 7 logic 520 makes the pass/fail determination based on methods desired by those with ordinary skill in the art. In one embodiment, compare memory logic 520 detennines whether the data word from verify 7 register 516 matches the data word from memory bank 510 in other embodiments, compare memory 7 logic 520 deems that the operation passed if a prede termined number of bits match .
  • compare memory logic 520 passes appropriate control bits to verify results register 518, for example fail count bits may be set to 0. Verify results register 518 may then invalidate the entry within el register if needed. If verify operation failed, verify results register 518 updates fail count bits within el register ( case of re-write or verify from el) or transmits the data word, the associated address, and control bits to el register (in case of system write).
  • memory bank 510 outputs a data word, the associated address, and desired control bits to compare memory logic 520.
  • Compare memory logic 520 determines whether the read operation passed or whether re-write operation should be performed on memory bank 510 because too many errors occurred while reading the data word.
  • compare memory logic 520 corrects data words using ECC and parity bits associated with data words if ECC determines that too many errors occurred (e.g., errors above a predetemiined threshold), compare memory logic 520 also transmits the data word and control bits to verify results register 518.
  • Verify results register 518 is coupled to compare memory logic 520 and el register 508. Verify results register 518 comprises data storage elements comprising data bits.
  • verify results register 518 comprises data bits for a data word, associated address, valid bit, and desired control bits. Valid bit indicates that contents of verify results stage register 518 are valid to be written to el register 508. Verify results register 518 may also comprise internal el address. Verify results register 518 transmits data to el register as previously explained.
  • pipeline structure 500 is exemplary and may include more write, delay, verify', verify results registers, and compare logic blocks to allow' more re-write attempts before writing failed data words to e I register. Moreover, more registers and memor ' banks may be added without departing from the scope of the present disclosure.
  • Fig. 14 is a block diagram of exemplary embodiment of a memory ' device of the present disclosure showing pipeline structure that allows pipestages for performing a pre-read operation for a write operation.
  • Fig. 14 show's exemplary pipeline 1400 for implementing the pipeline flow ' for system pre-read, write, re-write, and verify' operations, among other data manipulation operations.
  • Pipeline 1400 is implemented using system operations 1402, input register 1404, memory ' pipeline 1406, el register 1408, and memory' bank 1410.
  • Memory' pipeline 1406 comprises pre-read register 1460, write register 1412, delay register 1414, verify ' register 1416, and verify results register 1418.
  • pipeline 1400 comprises compare memory logic 1420
  • pipeline 1400 can be distinguished from pipeline 500 in that the memory pipeline 1406 comprises a pre-read register and pipe-stage 1460 prior to the write register 1412 and pipe -stage.
  • System operation 1402 performs substantially the same function as system operations 502 in Figure 5.
  • system operation 1402 comprises signals for performing a desired operation such as system write and system read, among other data manipulation operations.
  • system operation 1402 typically includes signals indicating a data word, the associated data address within memory bank 1410, and control signals indicating the operation to be performed on memory hank 1410 (such as write or chip select signal), among other signals for performing data manipulation operations and maintaining appropriate states.
  • the signals from system operation 1402 are stored in input register 1404.
  • Other configurations for signals from system operation 1402 may be used without departing from the scope of the present disclosure.
  • other embodiments of pipeline 1400 are possible without departing from the teachings of this disclosure.
  • delay register 1414 allows delay between write and verify operation on a data word.
  • STT-MRAM may require a delay between learne operations at a particular address and verify operation at the common address.
  • the delay cycle allows data storage elements within memory bank 1410 to return to a stable state before performing verify operation.
  • Other RAM technologies, and m some instances STT-MRAM itself, may not require such delay and delay register 1414 is not necessary.
  • Input register 1404 is coupled to pre-read register 1460.
  • input register 1404 comprises data storage elements comprising data bits.
  • input register 1404 can include data bits for a data word, associated address, a valid bit, and other desired control bits.
  • the input register 1404 comprises the initial stage of the pipeline.
  • the input register 1404 adds a delay in the pipeline that allows the memory ' device time to search for a data word and an associated address in the el register 1408 that shares a common row' address with a data word (associated with a write operation) in the input register.
  • a write operation may be received into the input register 1404 from system operations 1402 along with the data w'ord to be written and its corresponding address.
  • the input register provides the requisite delay to be able to search in the el register for a verify operation that shares a common row address with the data word associated with the write operation. In this way, a write operation and a verify operation can be simultaneously performed since the data words share a common row address.
  • the valid bit indicates whether data manipulation operations such as system write operation should be performed or the register should not be used to perform such operations.
  • valid bits based on a write signal and chip select signal provided by system operation 1402 may indicate whether data word in input register is used for write.
  • Input register 1404 may also be coupled to e l register 1408, for example, to transmit associated address and control bits to el register 1408.
  • This associated address and control bits may be used in case of row address change in the pipeline or to invalidate an e l register 1400 entry with the same associated address, for example.
  • the address and control bits may he used to look for a pending verify operation in the e 1 register that shares a common row address with a data word to be written into the memory bank.
  • An active memory bank of an embodiment of the present disclosure denotes a memory bank in which a system write or system read is taking place. Tims, an active bank signal (or an active bank bit) prevents re-writes during that clock cycle, and instead indicates that a system write or read will occur during that clock cycle. For example, an active bank signal indicates that write register 1412 will w'rite a data word previously received from input register 1404 to memory bank 1410 during that clock cycle. Thus, el register knows that data word for re-write operation should not be transmitted to write register 1412 during that clock cycle.
  • Input register 1404 transmits data word, associated address, and desired control bits to pre-read register 1460.
  • a pre-read register 1460 can be used in the pipeline for several purposes. For example, the data word, associated address and control bits received from the input register 1404 could be associated with a write operation. If the information transmitted from input register 1404 into the pre-read register is associated with a write operation, a pre-read register 1460 can he used to reduce power consumption by pre-reading the data word to be written from memory bank 1410.
  • the pre-read operation reduces power consumption by reducing the number of bits that need to be written for each write operation. In other words, the pre-read operation takes into account that some of the bits in a given word may already be in the correct orientation so a write operation does not need to typically write all the bits m the word.
  • a pre-read operation is performed as part of a bit-redundancy remapping protocol.
  • Examples of on-the-fly bit failure detection and bit redundancy remapping techniques are described in U.S Patent Application No. 15/792,672, Attorney Docket SPIN- 0004-01.01US, filed October 24, 2017, entitled“ON-THE-FLY BIT FAILURE DETECTION AND BIT REDUNDANCY REMAPPING TECHNIQUES TO CORRECT FOR FIXED BIT DEFECTS” and hereby incorporated by reference in its entirety.
  • the pre-read register 1460 may require extra bits to carry the information acquired as a result of the pre-read operation.
  • the pre-read register 1460 not only needs to store the data word, associated address, and desired control bits received from the input register 1404, but it also needs to store information acquired as a result of the pre- read operation, e.g., the bits read from memory bank 1410.
  • the pre-read register 1460 may need to store mask bits comprising information regarding the bits in the data word recei ved from the input register that need to be flipped in order to correctly perform the write operation. Further, the mask bits also need to store information regarding the direction in which the bits get flipped.
  • pre-read register may also need to store ECC bits in order to perform error correction on the bits that are read from and written to memory bank 1410.
  • the memory device can store the additional bits within el register 1408.
  • the connection between the pre-read register 1460 and the el register 1408 is optional.
  • the additional bits will be stored within the pre-read register 1460, because storing the additional data in the e l register may not be desirable in certain circumstances because of size considerations.
  • the e l register 1408 performs substantially the same function as the e l register described in conjunction with Fig. 5.
  • the el register 1408 is coupled to input register 1404, write register 1412, delay register 1414, verify register 1416, and verify results register 1420.
  • the e l register may, in one embodiment, be also coupled to pre-read register 1460.
  • the el register 1408 may supply data word, associated address of a data word within memory bank 1410, and control signals to write register 1412, and verify' register 1416.
  • the e l register 1408 may receive a data word, its associated address, and control signals from delay register 1414 and verify results register 1418.
  • the el register 1408 may also transmit a physical address within e l register 1408 in case the data w'ord is already stored within el register 1408.
  • delay register 1414 were not used, el register 1408 may receive data word, associated address, and control signals from write register 1412.
  • el register 1408 may communicate w ith input register to receive signals such as data word signal and control signal such as inactive bank signal.
  • Write register 1412 is coupled to delay register 1414 and memory bank 1410. Write register 1412 perforins substantially tire same function as write register 512 in Figure 5.
  • Delay register 1414 is coupled to verify register 1416 and e l register 1408. Delay register 1414 performs substantially the same function as delay register 514 in Figure 5.
  • Verify' register 1416 is coupled to memory bank 1410 and verify results register 1420. Verify register 1416 performs substantially the same function as verify register 516 in Figure 5.
  • Compare memory logic 1420 is coupled to verify register 1416. Compare memory logic 1420 performs substantially the same function as compare logic 520 in Figure 5. Verify results register 1418 is coupled to compare memory logic 1420 and el register 1408. Verify results register 1418 performs substantially the same function as verify result register 518 in Figure 5.
  • pipeline structure 1400 is exemplary and may include more write, delay, verify , verify results registers, and compare logic blocks to allow more re-write atempts before writing failed data words to el register.
  • registers and memory banks may be added without departing from the scope of the present disclosure.
  • Fig. 19 depicts an exemplary embodiment for a process flow showing the manner in which a pre-read register is used to perform a write operation in an exemplary memory 7 device of the present disclosure.
  • step 1902 a data word, an associated address and control bits are received into the input register 1404 from system operations 1402.
  • the input register 1404 adds a delay in the pipeline that allows the memory de vice time to search for a data word and an associated address in the e l register 1408 that shares a common row address with a data w 7 ord (associated with a write operation) in the input register.
  • the input register 1404 transmits data word, associated address, and desired control bits to pre-read register 1460.
  • the pre-read register 1460 can be used in the pipeline for several purposes. For example, the data word, associated address and control bits received from the input register 1404 could be associated with a write operation. If the information transmitted from input register 1404 into the pre-read register is associated with a write operation, a pre-read register 1460 can be used to reduce power consumption by pre- reading the data word to be written from memory bank 1410.
  • step 1908 the data word stored in the memory bank at the associated address received from the input register is pre-read.
  • the data word pre-read from the memory bank is compared to the data word received from tire input register to determine which bits need to be flipped in the data word stored in the memory bank in order to successfully write the new data word received from the input register into the memory bank.
  • the results of the comparison can, in one embodiment, be stored as mask bits in the pre-read register.
  • compare logic may be built into the pipeline to perfonn this comparison.
  • the pre- read register 1460 may require extra bits to carry ' the information acquired as a result of the pre- read operation.
  • the pre-read register 1460 not only needs to store the data word, associated address, and desired control bits received from the input register 1404, but it also needs to store information acquired as a result of the pre-read operation, e.g., the bits related to the results of the compare operation.
  • At step 1912, at least the mask bits, the associated address and control bits may be transmitted to the write register.
  • the data word to be written to the memory' bank (received from the input register) may also be transmitted along with the mask bits.
  • the write operation is performed using the mask bits. Further, if a data word and an associated address is received from the e 1 register at step 1904, the verify operation that shares a common row' address with the write operation is also performed in the same cycle as the w'rite operation.
  • FIG. 20 is a block diagram of an exemplary pipeline structure for a memory device that comprises a pre-read pipe-stage for a write operation in accordance with an embodiment of the present invention.
  • another wcite operation is coming into the pre-read register from the input register.
  • Instruction 2 2005 enters the pre-read register.
  • Instruction 1 2004 enters the delay cycle.
  • Instruction 2 enters the write register and new Instruction 3 2006 enters the pre-read register.
  • a read and a write operation will need to be performed to the memory' bank 1410 at any given period of time.
  • the memory' device will, therefore, need an extra port into memory bank 1410.
  • a pseudo-dual port memory bank works in cases where in a single cycle at most a write operation is performed concurrently with a verify operation.
  • the pipeline structure of Figure 14 would require that a read and a write operation be performed concurrently with a verify operation. Accordingly, two read ports (one for a verify operation and one for a read operation) and one write port will be needed.
  • Fig. 15 is a block diagram of exemplary embodiment of a memory ' device of the present disclosure showing pipeline structure that allows an additional cycle for a write operation for storing a data word. Hie additional write cycle in Fig. 15 allows incoming data w'ords to be written an additional window to be written accurately into the memory bank.
  • Fig. 15 show's exemplary pipeline 1500 for implementing the pipeline flow for system write, re-write, and verify operations, among other data manipulation operations.
  • Pipeline 1500 is implemented using system operations 1502, input register 1504, memory' pipeline 1506, el register 1508, and memory' bank 1510.
  • Memory pipeline 1506 comprises write register A 1560, write register B 1512, delay register 1514, verify register 1516, and verify results register 1518.
  • pipeline 1500 comprises compare memory ' logic 1520.
  • System operation 1502 comprises signals for performing a desired operation such as system write and system read, among other data manipulation operations.
  • system operation 1502 typically includes signals indicating a data word, the associated data address within memory bank 1510, and control signals indicating the operation to be performed on memory' bank 1510 (such as write or chip select signal), among other signals for performing data manipulation operations and maintaining appropriate states.
  • the signals from system operation 1502 are stored in input register 1504. Other configurations for signals from system operation 1502 may be used without departing from the scope of the present disclosure.
  • delay register 1514 allows delay between write and verify operation on a data word.
  • STT-MRAM may require a delay between write operations at a particular address and verify operation at the common address. The delay cycle allows data storage elements within memory bank 1510 to return to a stable state before performing verify operation.
  • Other RAM technologies, and in some instances STT-MRAM itself, may not require such delay and delay register 1514 is not necessary.
  • Input register 1504 is coupled to write register 1512.
  • Input register 1504 comprises data storage elements comprising data bits.
  • input register 1504 can include data bits for a data word, associated address, a valid bit, and other desired control bits.
  • the input register 1504 comprises the initial stage of the pipeline.
  • the input register 1504 adds a delay in the pipeline that allows the memory device time to search for a data word and an associated address in the el register 1508 corresponding to a verify operation that shares a common row address with a data word in the input register.
  • the data word in the input register would he associated with a write operation that shares a common row address with the data word for the verify operation in the el register.
  • a write operation may be received into the input register 1504 from system operations 1502 along with the data word to be written and its corresponding address.
  • the input register provides the requisite delay to be able to search in the e l register for a verify operation that shares a common row address with the data word associated with the write operation.
  • the input register 1504 provides the necessary delay in the pipeline to be able to look for the matching verify operation in the e 1 register before the data word to be written is inserted into the write register 1512. In other words, the delay of input register 1504 allows enough time to search for the matching verify operation in the el register prior to inserting tire data words to be written and verified into the write register 1512 and the verify register 1516 respectively.
  • the valid bit indicates whether data manipulation operations such as system write operation should be performed or the register should not be used to perform such operations.
  • valid bits based on a write signal and chip select signal provided by system operation 1502 may indicate whether data word in input register is used for write.
  • Input register 1504 may also be coupled to el register 1508, for example, to transmit associated address and control bits to el register 1508.
  • This associated address and control bits may be used in case of row address change in the pipeline or to invalidate an el register entry with the same associated address, for example.
  • the address and control bits may be used to look for a pending verify operation in the el register that shares a common row address with a data word to be written into the memory bank.
  • An active memory bank of an embodiment of the present disclosure denotes a memory 7 bank in which a system write or system read is taking place.
  • an active bank signal (or an active bank bit) prevents re-writes during that clock cycle, and instead indicates that a system write or read will occur during that clock cycle.
  • an active bank signal indicates that write register 1560 will write a data word previously recei ved from input register 1504 to memor bank 1510 during that clock cycle.
  • el register know's that data word for re-write operation should not be transmitted to write register 1512 during that clock cycle.
  • Input register 1504 transmits data word, associated address, and desired control bits to write register A 1560.
  • the el register 1508 performs substantially the same functions as the el register discussed in conjunction with Figures 5 and 14.
  • the el register 1508 is coupled to input register 1504, write register A 1560, write register B 1512, delay register 1514, verify register 1516, and verify results register 1520.
  • the el register 1508 rnay supply data word, associated address of a data word within memory bank 1510, and control signals to write register A 1560, write register B 1512, and verify register 1516.
  • the el register 508 may receive a data word, its associated address, and control signals from delay register 1514 and verify results register 1518.
  • the el register 1508 may also transmit a physical address within e l register 1508 in case the data word is already stored within el register 1508. Although not shown, if delay register 1514 were not used, el register 1508 may receive data word, associated address, and control signals from one of the write registers. Moreover, el register 1508 may communicate with input register to receive signals such as data word signal and control signal such as inactive bank signal.
  • Write register A 1560 is coupled to write register B 1512 and to memory bank 1510.
  • Write register 512 comprises data storage elements comprising data bits.
  • write register A 1560 comprises data bits for a data word, its associated address, valid bit, and other desired control bits.
  • the valid bit is a valid register bit and may be set to one when write register A contents are valid such that write operation may occur.
  • Write register A 1560 receives data word, associated address, and desired control bits from input register 1504 for system write operations. For memory bank clock cycles that w'rite register A 1560 would not otherwise be writing system data words to that memory bank, el register 1508 transmits data words, associated address, and desired control bits to write register 1560. This allows write register 1560 to attempt re-write operations when write register 1560 would not otherwise be writing system data words to memory ' bank 1510.
  • write register A 1560 is coupled to another w'rite register B 1512.
  • pipeline 1500 comprises two write stages. The purpose of two write stages in the pipeline is to attempt each write operation at least twice prior to the verification stage. As mentioned earlier, STT-MRAM may suffer from a high write error rate (WER) and, accordingly, attempting to write each word at least twice prior to verification may reduce the WER associated with the memory ' .
  • WER write error rate
  • an extra port in the memory bank will be required to support an additional write operation.
  • Fig. 16 is a block diagram of an exemplary pipeline structure for a memor ' device that comprises an additional write stage in accordance with an embodiment of the present invention.
  • a tri -ported memory bank structure can be obtained by adding an extra write port to the pseudo-dual port memory bank structure using the Y -mux structure as explained in conjunction with Figure 4.
  • three separate ports are implemented into the memory' bank 1510, wherein two ports are optimized for write operations and one port is optimized for read operations. As explained earlier, ports that are optimized for write operations will have higher current requirements and occupy more physical space than ports that are optimized for read operations.
  • the three ports are all implemented using the Y-mux structure discussed in conjunction with Fig. 4.
  • a true dual port memory bank is implemented for the two write operations and an extra port is added using the Y-mux structure for the read port.
  • a single write pulse that is double the width of a traditional write pulse can also be used. Within the time period of the single write pulse, there can be two attempts at wri ting the data word into memory' bank 1510. [00227]
  • Write register A 1560 transmits data word, associated address, and desired control bits to write register B 1512. This way the same data word can be written twice to the memory bank 1510 in two separate cycles
  • read operations generally take precedence over write operations from either of write registers. If a read operation occurs, then the pipeline is typically stalled to allow the read operation to terminate.
  • el register 1908 can receive a ROWchg signal that indicates row address change within a pipeline structure of the present disclosure.
  • a ROWchng signal is received in the embodiment of Figure 15, there will be an unfinished write in write register A 1560 and a waite that has not been verified yet in write register B 1512.
  • the el register will typically be larger than other embodiments because upon receiving a ROWchg signal, two entries from the pipeline will be inserted into the e 1 register while the memory' operation causing tire row' change signal to assert is allowed to enter the pipeline. The entry' from write register A 1560 will need to be re-written and the entry from write register B 1512 will need to be verified.
  • the data w'ord that has only passed through one write stage can be transferred to the e 1 register through connection 1590 while the other data w'ord that has passed through both write stages can be transferred to the e 1 regi ster through the delay regi ster 1514.
  • the data word sent to the e 1 register through connection 1590 would need to be re-written while the data w'ord transmitted from the delay register 1514 would need to be verified during a later cycle.
  • the ROWchg signal may also be used to indicate that another data word and associated address should be transmited from el register 1508 to the pipeline structure for a verify operation. If a pseudo-dual port memory' bank is used, e l register 1508 may choose a data word and an associated address such that they share a common row address with a data word to be written into the write register of the pipeline structure. In this way, a write operation and a verify operation can be simultaneously performed since the data words share a common row' address.
  • the input register 504 provides the necessary' delay in the pipeline to be able to look for the matching verify operation in the el register before the data w'ord to be written is inserted into the write register 512.
  • the delay of input register 1504 allows enough time to search for the matching verify operation in the e 1 register prior to inserting the data words to be w'ritten and verified into the write registers and the verify register 516 respectively.
  • the e l register since the write operation passes through two stages of the pipeline, the e l register has another cycle to be able to look for the matching verify operation. Accordingly, the delay in the input register 3504 may not be necessary to provide sufficient time to find a matching verify operation.
  • Write register B 1512 is coupled to delay register 1514 and memory bank 1510. In other embodiments, write register 1512 may be coupled to verify register 1516.
  • Write register 1512 comprises data storage elements comprising data bits. Typically, write register 1512 comprises data bits for a data word, its associated address, valid bit, and other desired control bits. Hie valid bit is a valid register bit and may be set to one when write register 1512 contents are valid such that write operation may occur.
  • Write register 1504 receives data word, associated address, and desired control bits from write register A 1560 so that the data word can be writen into memory bank 1510 a second time.
  • e 1 register 1508 For memory bank clock cycles that write register 1504 would not otherwise be waiting system data words to that memory bank, e 1 register 1508 transmits data words, associated address, and desired control bits to write register 1512. This allow's write register 1512 to attempt re-write operations when write register 1512 would not otherwise be waiting system data words to memory bank 1510.
  • the e l register 1508 can also transmit data words associated with re-write operations to write register A 1560 so that the re-write operations may also be attempted at least twice in the pipeline.
  • Delay register 1514 is coupled to verify register 1516 and el register 1508.
  • Delay register 1514 comprises data storage elements comprising data bits.
  • delay register 1514 comprises a data word, associated address bits, a valid bit, and other desired control bits.
  • V alid bit indicates if delay register 1514 contents are valid.
  • the delay register or multiple delay register could provide more clock cycle delay between write and verify.
  • the delay register 1514 is optional for RAM technologies that require delay between write and verify operations for a particular address within memory bank 1510. If row' address change occurs within memory pipeline 1504, delay register 1514 transmits data word, associated address, and desired control bits to el register 1508. Thus, data word may be verified on a later clock cycle when write register will write a data word sharing a common row address. In another embodiment, data word may be verified on a later clock cycle when no verify operation will otherwise occur to the memory bank. If no row address change occurs within memory pipeline 1504, after desired delay clock cycles, delay register 1514 transmits the data word, associated address, and desired control bits to verify register 1516.
  • Verify register 1516 is coupled to memory bank 1510 and verify- results register 1520. Verily register 1516 performs substantially the same function as verify register 516 in Figure 5.
  • the second write register B 1512 may be placed subsequent to the verify register 1516.
  • one of the write registers may follow the verify register 1516. This way a write operation can be attempted in the first write cycle and verified thereafter to ensure that the operation completed successfully. If the write operation did not complete successfully, then another write cycle subsequent to the verify operation can be used to attempt a re-write. This may be more efficient in certain cases than performing two write operations consecutively on the same data word. Similarly, other combinations are possible that attempt one or more re-write operations at different stages of the pipeline.
  • the pipeline illustrated in Figure 15 could also have a pre-read register that performs substantially the same function as pre-read register 1460 in Figure 14.
  • Compare memory logic 1520 is coupled to verify register 1516. Compare memory- logic 1520 performs substantially the same function as compare logic 520 in Figure 5. Verify results register 1518 is coupled to compare memory logic 1520 and el register 1508. Verify results register 1518 performs substantially the same function as verify result register 518 in Figure 5.
  • pipeline structure 1500 is exemplar - and may include more write, delay, verify-, verify results registers, and compare logic blocks to allow more re-write atempts before writing failed data words to e 1 register.
  • registers and memory banks may be added without departing from the scope of the present disclosure.
  • pipeline structure 1500 is exemplary and may include more write, delay, verify-, verify results registers, and compare logic blocks to allow- more re-write atempts before writing failed data words to el register.
  • registers and memory banks may be added without departing from the scope of the present disclosure.
  • Fig. 6 is an exemplary process flow- showing an embodiment of a system read operation using an embodiment of memory device of the present disclosure.
  • Fig. 6 shows process flow- 600 for system read operation of the present disclosure.
  • Process flow 600 illustrates the high- level read operation performed on a memory device.
  • step 602 a system read operation to be performed on memory bank exists within a memory device.
  • step 604 the valid address stored in both pipeline banks are checked to determine whether the data word associated with system read operation exists there. If no, el register checks address to determine whether the data word associated with system read operation exists there in step 606. If no, e2 register checks the address to determine whether the data word associated with system read operation exists there in step 608.
  • step 608 If no, the data word is read from memory bank at the associated address of system read operation in step 610. If the result of step 608 is yes, the data word is read from e2 register in step 618. If the answer to step 604 returned yes, then data word is read from pipeline 614. If the answer to step 606 is yes, then the data word is read from el register in step 616.
  • System read process flow 600 may include additional steps. After step 610, compare logic may determine whether system data word from memory bank was read within a predetermined error budget in step 612. If the data word output from memory bank contains errors, such errors may be corrected though ECC. If the data word output from memory bank contained more errors than allowed by a predetermined error budget, the data word may also be corrected and stored in e l register in step 619. In this way, el register may attempt to re-write data word back to memory bank so that the data word may be read within a predetermined error budget on future read operations. The corrected data word and associated address would be stored within e 1 register.
  • the e2 register is optional.
  • the process flow's from step 606 directly to step 610.
  • e 1 register checks address to determine whether the data word associated with system read operation exists there. If no, then at step 610, tire data word is read from memory bank at the associated address of system read operation in step 610.
  • Fig. 7 is a block diagram of an embodiment of a memory ' device showing a first level dynamic redundancy register.
  • Fig. 7 shows exemplary el register 700 described herein that comprises physical address decoder 702, CAM 704, mux 706, RAM 708, status logic 710, and control logic 712.
  • el register 700 is exemplary', and includes features such as CAM 704 which are not required for achieving the teachings of the present disclosure.
  • el register 700 communicates control signals for maintaining consistency of operations both internally and to communicate with components of memory device such as pipeline banks, e2 register and secure memory storage, e.g., 932.
  • Physical address decoder 702 is coupled to CAM 704, mux 706, and control logic 712. Physical address decoder 702 receives an address input from control logic 712. Physical address decoder 702 uses the address input to detennine the appropriate physical addresses within CAM 704 and RAM 708 for performing data manipulation operation, such as read and write. Physical address decoder 702 selects an entry within CAM 704 using decode signal. Physical address decoder 702 may also select an entry within RAM 708 using decode signal to mux 706.
  • physical address decoder 702 may take pointers as input from control logic 712. Different pointers from control logic 712 indicate available addresses for writing data to CAM 704 and RAM 708 or reading data from CAM 704 and RAM 708, or other pointers may be used. For example, pointers from control logic 712 may keep track of lowest open addresses within CAM 704 and RAM 704. Tims, el register 700 keeps track of addresses for storing new data. Pointers from control logic 712 may also keep track of oldest stored data within CAM 704 and RAM 708. Thus, re-write operations may be tried on a First-In-First-Out (FIFO) basis. Other schemes for addressing data within el register 700 and selecting data for data manipulation operations may be used by those with ordinary skill in the art without departing from the scope of this disclosure.
  • FIFO First-In-First-Out
  • CAM: 704 is coupled to mux 706.
  • CAM 704 takes as input decode signal from physical address decoder 702.
  • CAM 704 also takes as input an associated address which may be received from input register, delay register, or verify results register of a pipeline structure.
  • CAM 704 also takes as input control bits such as read, write, or search signal received from control logic 712.
  • CAM 704 also takes as input other control bits from status logic 710.
  • the associated address signals indicate addresses within a memory bank.
  • Associated address signal is typically received from input register, delay register, or verify results register.
  • el register 700 receives an address within a memory bank where data word should he verified or written.
  • the el register 700 may also receive associated address from input register to be searched for wxrrds with matching row' addresses which may be verified.
  • the input register allows a delay period for searching words associated with pending verify operations in tire e 1 register that have matching row addresses.
  • CAM 704 will typically write associated address from delay register or verify results registers to itself, so that associated address may be used later for re-write or verify operation.
  • Status signal indicates whether physical address within CAM 704 contains valid data for data manipulation operation.
  • CAM 704 may receive status signal from status logic 710.
  • Read signal indicates that CAM 704 should output an associated address, and RAM 708 should output the corresponding data word.
  • CAM 704 may use decode and read signal to output an associated address of the data word stored in RAM 708. For example CAM 704 may output an associated address of the data word to write register. In this way, write register may write data from el register in a clock cycle during which it would otherwise be inactive.
  • Write signal indicates that the associated address should be stored within CAM 704 and the corresponding data word should be stored within RAM 708.
  • CAM 704 may use the associated address signal, decode signal, and write signal to write the associated address to a physical address within CAM 704. In one embodiment, this may occur because row address change occurred within pipeline structure and delay register sent a data word, an associated address, and control bits to el register 700 for storage. In another embodiment, verify results register may send a data word, an associated address, and control bits to e l register 700 for storage because verify operation failed or data was not read within a predetermined error budget.
  • Search signal indicates that CAM 704 should search itself for an appropriate address.
  • CAM 704 uses search signal received from control logic 712 to search itself for an associated address to output to verify register.
  • search signal received from control logic 712 to search itself for an associated address to output to verify register.
  • CAM 704 may output the associated address of a data word sharing a common row- address with the data word to be writen from the pipeline.
  • el RAM 708 outputs a data word matching the associated address within CAM 704 to the pipeline.
  • CAM 704 outputs associated addresses to the pipeline structure, such as to write register and verify register. CAM 704 also outputs associated addresses to e2 register or to secure memory storage area 932 (as discussed in connection with Figure 9). CAM 704 may only output a portion of associated address. For example, if row address change occurred and CAM 704 searched itself for an appropriate address for verify operation, CAM 704 may output only the column address since the row address may be known. CAM 704 also outputs match signal to mux 706. Match signal indicates the physical address within RAM 708 of a data word that corresponds to the associated address within CAM 704. Match signal may be used when reading a data word from RAM 708.
  • Mux 706 takes as input read, write, search signal from control logic 712. Mux 706 also takes as input decode signal received from physical address decoder. Mux 706 also takes as input match signal from CAM 704. Mux then transmits select signal to RAM 708 for data manipulation operation if mux 706 receives read signal, mux 706 typically transmits decode signal to RAM 708 because decode signal indicates the physical address within RAM 708 for read operation. If mux 706 receives write signal, mux 706 typically transmits decode signal to RAM 708 because decode signal indicates the physical address within RAM 708 for write operation. If mux 706 receives search signal, mux 706 typically transmits match signal to RAM 708 because match signal indicates the physical address within RAM 708 for outputting data word.
  • RAM 708 takes as input select signal from mux 706.
  • RAM 708 also takes as input a data word received from pipeline structure, such as from delay register or verify results register.
  • RAM 708 also takes as input read and write signals received from control logic 712.
  • Select signal from mux 706 indicates the physical address within RAM 708 for performing data manipulation operation such as read or write operation.
  • Data word signal indicates the data word for storage within RAM 708.
  • Read signal indicates whether the physical address signal should be used for read operation such that data should be read from RAM 708 and output to pipeline structure or e2 register or secure memory storage.
  • Write signal indicates whether select signal should be used for write operation such that data word signal should be written to RAM 708.
  • RAM 708 typically comprises volatile memory such as SRAM, but may comprise non volatile memory such as STT-MRAM.
  • Status logic 710 comprises hardware logic that drives the selection of addresses within control logic 710.
  • Status logic 710 takes as input control signals from pipeline structure and e2 register.
  • Control signals may include ROWchg flag previously discussed.
  • Control signals may also indicate whether data words associated with verify and re-write operations in the el register should be processed prior to re-locating them to secure memory storage or if the contents of the el register should be dumped in their entirety into the secure memory storage area 932.
  • Pipeline structure may also transmit fail count bits to status logic 710.
  • status logic 710 updates a valid bit associated with a data w'ord to invalid in the case that status logic 710 receives fail count bits set to 0. That is, because control signals received from verify results register indicated that verify operation passed, el register 700 invalidates the entry associated with data word (associated addresses, data word, any associated control bits).
  • Status logic may also take as input inactive signal indicating that memory bank may become inactive during a subsequent clock cycle. Thus, e 1 register should output a data word to write register for a re-write operation.
  • Status logic 710 may also receive control signals from e2 register. For example, status logic 710 may receive signal indicating that e2 register is ready for a new' data word.
  • Status logic 710 may also receive a signal from the secure memory storage indicating that it is ready for a new data word in embodiments where there is no e2 register. Status logic 710 may also receive decode signal from physical decoder 702. Decode signal will indicate the entry or entries within el register 700 which are being updated. [00257] Status logic 710 transmits status signals. Status logic 710 transmits status signals both internally and externally. Status logic 710 transmits status signals to control logic 710. Status logic 710 may also transmit status signals, such as fail count bit, to pipeline structure and e2 register. Tims, control signals from status logic 710 may be used to maintain consistency of operations both within el register 700 and within pipeline structure.
  • Control logic 712 comprises hardware logic for determining operations to be performed on CAM 704 and RAM 708. Control logic 712 also comprises hardware logic for outputting address signal to physical address decoder 702. Control logic 712 takes as input status signals from status logic 710. Status signals drive the selection of addresses by control logic 712 For example, status signals may indicate that write operation should be performed on CAM 704 and RAM 708. Control logic may then increment a pointer to next address, indicating empty addresses within CAM 704 and RAM 708 for writing associated addresses and data words.
  • the address signal output from control logic 712 may comprise pointers that are decoded by physical address decoder 702 to select appropriate physical addresses within CAM 704 or RAM 708 for performing data manipulation operation.
  • the address signal output from control logic 712 may also be output to the pipeline to indicate physical addresses within el register 700.
  • e 1 register 700 may transmit a data word, its associated address, and its physical address within el register 700 to pipeline structure.
  • the physical address within el register 700 may be used to update el register 700 control bits after verify or re-wiite operation occurs. If the re-write operation failed, for example, fail count bits within el register 700 may be updated using the physical address within el register 700.
  • a memory device may comprise multiple banks or segments.
  • the memory bank may comprise STT-MRAM which suffers from an inherently stochastic write mechanism, wiierein bits have certain probability of write failure on any given write cycle.
  • the memory cells are characterized by having a high write error rate.
  • the dynamic redundancy registers of the present disclosure allow the memory bank to be operated with high WER (write error rate).
  • WER write error rate
  • designers of the memory device need to ensure that the size of a dynamic redundancy register or cache memory, e.g., an el register used to store data words associated with pending verify and re-write operations does not exceed practical limitations.
  • the el register needs to he designed with a sufficient fixed size so that overflow is avoided in all cases.
  • One of the factors that need to be taken into consideration in determining an optimal size for the e 1 register is the WER. For example, for a higher error rate, the el register will need to be larger than for a lower error rate.
  • the number of entries in the el register will be at least the WER * the size of the memory bank.
  • the el register will contain at least one entry per row segment. In one embodiment, the el register can contain 2 entries per row segment. For example, if each row segment in a memory bank has a 100 rows, then the size of the e l register w'ould be at least 200 entries.
  • the number of entries the el register needs to contain per row segment is related to the depth of tire pipeline.
  • the number of entries the el register contains is directly proportional to the number of pipeline stages (or pipe-stages). This is because with a longer pipeline, there will be more data words that need to be stored in the e l register in case of a row change, e.g., when a RowChg signal is received.
  • the pipeline can have several stages. The more stages the pipeline has, the higher the number of entries that el needs to be designed to contain.
  • receiving a RowChg signal would mean that the entries in both a write register and a verify register would need to be saved to be verified at a later time. Accordingly, additional storage space will be needed in the e 1 register as compared to a case where there’s only a single write stage in the pipeline.
  • the el register will comprise at. least. N * M entries.
  • the number of entries in the el register can also be a function of the WER.
  • the size of the el register can be at least (N * M) + (WER * number of entries in the memory bank).
  • tire memory device can comprise a plurality of memory banks as discussed above, wherein each of the memory banks (or segments) can have its own pipeline and a dedicated el register.
  • the memory device can comprise a plurality of memory banks, w'herein each of the memory' banks (or segments) can have its own pipeline, but a single el register serves all the segments (instead of a dedicated el register per segment).
  • a warning pin or status bit can be used to indicated to the user the occupancy level of the el register. For example, status bits may indicate to a user that the el register is 25%, 50%, 75% or completely full.
  • Fig. 21 illustrates a smart design for a dynamic redundancy register in accordance with an embodiment of the present invention.
  • the memory bank 2100 comprises multiple addressable memory cells configured in multiple segments, wherein each segment contains N rows per segment. Each of the segments can he associated with its own pipeline. As shown in Figure 21, segment 1 of memoiy bank 2100 can be associated with pipeline 2150 while segment 2 can be associated with pipeline 2151 .
  • Each pipeline comprises M pipestages and are configured to process write operations for data words addressed to a given segment of a of the memory bank.
  • a single pipeline can sendee all the segments in the memoiy bank. In other words, the entire memoiy bank comprises a single pipeline.
  • the memory device can also comprise a dynamic redundancy register or cache memory' El 2110.
  • the number of entries, Y, in el is based on M, N and a prescribed word error rate (WEIR) so as to prevent overflow of the cache memoiy .
  • WEIR word error rate
  • each of the segments of memory bank 2100 can have its own associated e l register.
  • a single e l register services all the segments of the memory bank.
  • the number of entri es Y in e l can be calculated using the formula: (N*M + B*E), wherein B indicates the number of rows in the memory bank.
  • a warning pin(s) or status bit(s) 2105 can be used to indicate to the user the occupancy level of the el register.
  • status bits may indicate to a user that the el register is 25%, 50%, 75% or completely full.
  • memoiy bank 2100 may comprise STT-MRAM which suffers from an inherently stochastic write mechanism, wherein bits have certain probability of write failure on any given write cycle.
  • the memory cells are characterized by having a high write error rate.
  • the dynamic redundancy registers e.g., e l 2110) of the present disclosure allow the memory bank to be operated with high WEIR (write error rate).
  • WEIR write error rate
  • designers of the memory device need to ensure that the size of a dynamic redundancy- register or cache memoiy, e.g., an el register used to store data words associated with pending verify and re-write operations does not exceed practical limitations.
  • the e l register needs to be designed to avoid overflow all cases.
  • Figure 22 is a block diagram of an exemplary' embodiment of a memory device that optimizes write voltage based on error buffer occupancy in accordance with an embodiment of the present invention.
  • the e l register (also known as an‘error buffer ) 2214 stores data words that are to be verified or re-written to a memory bank 2210.
  • the error buffer 2214 is coupled to the memory array 2210 through pipeline 2212 as discussed in detail, for example, in Figures 5, 14 and 15.
  • the memory bank may be an MRAM array.
  • the MRAM may comprise STT-MRAM.
  • the write voltage on the write cycles to the memory bank can be increased in order to reduce the error rate.
  • the write voltage on both the bit and source lines of the memory cell are increased.
  • Increasing the write voltage on write cycles to the memory bank of an MRAM array decreases the WER. Because the memory cells are written to with a higher voltage, there is a lesser likelihood of a memory' cell being written to incorrectly. Under typical operating conditions, increasing write voltage will consume more energy and reduce the reliability of the memory because the oxide in the memory cells wears down faster at higher voltage rates.
  • embodiments of the present invention have built-in logic circuitry 2216 to recognize when the error buffer is filling up and to increase the voltage temporarily to reduce the error rate. Reducing the error rate advantageously reduces the number of entries placed into the el register because data words tend to be written correctly on a first attempt without requiring re-writes. Further, read operations are also less likely to add more entries to tire e l register.
  • a warning pin(s) or status bit(s) 2105 can be used to indicate to the user the occupancy level of the el register.
  • status bits may indicate to a user that the el register is 25%, 50%, 75% or completely full.
  • status bits 2286 indicating buffer occupancy can be relayed to a logic module 2216 that determines how close to full the el register is getting.
  • the status bits may, for example, indicate the level of occupancy of the e 1 register or error buffer. The higher the number of status bits available, the higher the precision with which the logic module 2216 can determine the occupancy levels of the error buffer.
  • the logic module 2216 communicates with the write voltage digital-to-analog converter (DAC) 2228, winch in turn increases the write voltage on the write drivers 2238.
  • DAC digital-to-analog converter
  • logic circuitry 2216 is configured to monitor cache occupancy to modulate the write voltage for error buffer and endurance optimizations. Using a higher write voltage at higher error buffer occupancy levels optimizes the error buffer by reducing the WER and, thereby, ensures that there is less likelihood of the error buffer exceeding capacity.
  • logic circuitry 2216 also enables endurance optimization because it lowers the write voltage in response to lower cache occupancy levels, thereby, reducing write stress and promoting higher endurance.
  • logic circuitry 2216 over a period of time, stabilizes the write voltage at an optimal level, which al lows the occupancy level of the error buffer to not exceed a predetermined threshold while at the same time maintaining an acceptable level of endurance.
  • logic circuitry 2216 can be programmed to select an optimal write voltage level that achieves a balance between error buffer occupancy levels and memory' endurance levels.
  • the self-trimming of the write voltage on the memory' chips by logic circuitry 2216 can result in higher endurance levels for memory chips because the write voltage can be dynamically adjusted to operate at lower levels response to low error buffer occupancy levels.
  • the logic module 2216 can be programmed so that the increase in write voltage is proportional to the occupancy level of the error buffer 2214 For example, if the error buffer is 25% full, the write voltage is increased by 25%. Alternatively if the error buffer is close to 75% full, the write voltage would be increased by 75%.
  • the write voltage is not increased until the occupancy level of the e l register surpasses a threshold level, e.g , 25%. For example, the write voltage is increased after the error buffer is more than 25% full.
  • the logic circuitry' 2216 monitors the buffer occupancy signals 2286 to keep track of the occupancy level of the error buffer. Once the error buffer starts falling below a certain threshold level, for example, the write voltage can be decreased accordingly. For example, if the error buffer is less than 25%, the write voltage can be dialed down to normal operating conditions. [00285] In this way, embodiments of the present invention advantageously allow dynamic control over the occupancy levels of the error buffer. By optimizing the write voltage based on error buffer occupancy, the error buffer is prevented from ever getting overfull or exceeding capacity. Similarly, as mentioned above, endurance levels are optimized because embodiments of the present in vention are able to reduce the write voltage in response to lower error buffer occupancy levels.
  • the pulse width of the write cycle can be modified based on the error buffer fill rate. Similar to increasing the write voltage, increasing the pulse width can reduce the WER. Accordingly, as the error buffer becomes more full, the pulse width of the write cycle can be increased to reduce the write error rate. Conversely, as the error buffer empties out, the pulse width can be reduced in order to optimize for endurance.
  • the logic circuitry 2216 can alter the pulse width using the write pulse width control module 2218.
  • the pulse width control module 2218 communicates with the write drivers 2238 to effectuate the pulse width change on the write cycle. Controlling the pulse width allows an extra level of control over the WER, which is useful especially in cases where the write voltage cannot be increased any further. Therefore, to avoid chip breakdown, the pulse width can be increased instead of increasing the write voltage.
  • the logic circuitry module 2216 should be programmed to reduce the pulse width in response to lower error buffer occupancy levels.
  • logic circuitry 2216 can be configured to control both the write voltage level (through write voltage DAC 2228) and the write pulse width (through write pulse width control module 2218) in order to achieve an optimal operating write voltage level for the chip.
  • both write voltage and the write pulse width may be increased and decreased together to attain an optimal operating write voltage level for the chip.
  • the write voltage and write pulse width may be adjusted in phases.
  • the write voltage may be increased at first. Subsequently, if the error buffer continues to get full, the write pulse width may be increased before attempting to increase the write voltage to a higher voltage step. Also, in the scenario that the write voltage has been increased beyond an acceptable threshold, it is important to give the test and/or product engineers the flexibility to adjust the write pulse width. Once the write voltage level has been increased beyond an acceptable threshold, only the write pulse width may be increased to control the occupancy level of the error buffer. [00289] Embodiments of the present invention advantageously allow for dynamic self trimming in the field. Instead of the needing to select an optimal voltage level at test, the logic circuitry 2216 can be programmed to pick the optimal voltage level based on the error rates.
  • the logic circuitry 2216 self-trims by adjusting the write voltage higher in response to higher occupancy levels of the error buffer without requiring human intervention.
  • Embodiments of the present invention also advantageously reduce test time because the test and/or product engineers do not have to manually find an optimal operational voltage for each memoiy chip, which can vary between chips.
  • embodiments of the present invention can be used to self-trim the write voltage on memory chips.
  • test time is used up by engineers to determine the appropriate voltage level for each die.
  • the engineers will typically need to select a different operational v oltage for each life cycle of the die, e.g., early life, mid-life and end-of-life voltages. It is appreciated that instead of using up valuable test time in determining appropriate voltage levels for each life cycle of a chip, embodiments of the present invention dynamically adjust tire voltage levels based on the error rate and the concomitant error buffer occupancy levels.
  • Temperature conditions may also affect the optimal operating voltage levels of a rnernoiy chip.
  • Embodiments of the present invention allow the memory chip to dynamically adjust the write voltage levels to the changing temperature levels simply by monitoring the error buffer occupancy levels. This conserves engineer time in determining optimal voltage levels for various temperature ranges. Instead, a test technician or engineer may simply select an acceptable starting voltage for each chip and allow the logic circuitry module 2216 to converge the write voltage to an optimal level based on the error buffer occupancy levels. As a result, the product lifecycle is increased because the logic circuitry module can dynamically select the optimal write voltage for higher endurance levels
  • Fig. 23 depicts an exemplary embodiment for a process flow showing the manner in which the write voltage for a memory bank is optimized based on error buffer occupancy levels in accordance with an embodiment of the present invention.
  • step 2302 the error buffer (or el register) 2214 is monitored by the logic circuitry'
  • the logic circuitry 2216 determines if the error buffer occupancy level has increased past a predetermined threshold.
  • the threshold information may be programmed or configured into the logic circuitry.
  • a signal is transmitted from the logic circuitry 2216 to the write voltage digital -to-analog converter (DAC) 2228, where the write voltage DAC controls the write voltage on the write drivers 2238 of the memory bank 2210
  • DAC digital -to-analog converter
  • step 2308 the write voltage on the write drivers 2238 is increased so subsequent data words written into the memory bank 2210 are written using a higher write voltage.
  • logic circuitry 2216 further determines if the error buffer occupancy levels have decreased below the predetermined threshold.
  • log circuitry transmits a signal to the write voltage DAC.
  • the write voltage on die write drivers 2238 is decreased so that incoming data words are written into the memory bank at a lower write voltage. Thereafter, the process continues until the voltage level converges to an optimal level. Alternatively, if the voltage level does not converge to an optimal level, the process continues to increase or decrease the write voltage as necessary depending on the occupancy level of the error buffer.
  • Fig. 24 depicts an exemplar )' embodiment for a process flow showing the manner in which the pulse width for write cycles of a memory bank is optimized based on error buffer occupancy levels in accordance with an embodiment of the present invention.
  • the error buffer (or el register) 2214 is monitored by the logic circuitry' 2216 to determine the occupancy level of the error buffer.
  • the logic circuitry determines if the error buffer occupancy level has increased past a predetermined threshold.
  • the threshold information may be programmed or configured into the logic circuitry'
  • step 2406 responsive to a determination that the error buffer occupancy level has crossed the predetermined threshold, a signal is transmitted from the logic circuitry 2216 to the write pulse w'idth control module 2218, w'here the write pulse width control module controls the write voltage on the write drivers 2238 of tire memory bank 2210.
  • the write drivers 2238 are adjusted to increase the pulse width so subsequent data words written into the memory bank 2210 are written using a longer write pulse width.
  • logic circuitry 2216 further determines if the error buffer occupancy levels have decreased below the predetermined threshold.
  • step 2412 responsive to a determination that the error buffer occupancy level has decreased below' the predetermined threshold, transmit a signal to the pulse width control module 2218.
  • step 2414 in response to the signal transmited to the pulse width control module, the pulse width on the write drivers 2238 is adjusted so that incoming data words are written into the memory bank using a shorter pulse width. Thereafter, the process continues until the voltage level converges to an optimal level. Alternatively, if the voltage level does not converge to an optimal level, the process continues to increase or decrease the pulse width as necessary depending on the occupancy level of the error buffer.
  • Fig. 8 is a block diagram of an embodiment of a memory device of the present disclosure showing a last level dynamic redundancy register.
  • Fig. 8 shows exemplary e2 register 800 described herein that comprises CAM/RAM/Enbl/Pointers block 802, mux 816, e2 RAM 818, and physical y-mux 832, sense amplifier 834, error correction code bits 836, write register 838, and control logic 840.
  • e2 register 800 is exemplary, and includes features such as RAM Memory bank FC 814 which are not necessary for achieving the teachings of the present disclosure.
  • e2 register 800 communicates control signals for maintaining consistency of operations both internally and to communicate with components of memory device such as pipeline banks, memory banks, and el register.
  • control signals may be modified without departing from the teachings of the present disclosure.
  • CAM/RAM/Enbl/Pointers block 802 comprises physical address decoder 804, address CAM 806, RAM update flag 807, RAM enable 808, RAM e2 fail count 810, RAM used count 812, and RAM memory bank FC 814.
  • block 802 comprises data storage elements comprising data bits. Block 802 is used for storing control bits and associated addresses of data words.
  • Physical address decoder 804 receives an address inputs from control logic 840. As explained in relation to el register and Fig. 7, physical address decoder 804 uses address inputs to determine physical addresses for writing associated addresses and data words to CAM 806 and RAM 818, respectively. Physical address decoder 804 outputs decode signal to CAM 806 and mux 816 Moreover, physical address decoder 804 may output decode signal to physical y- mux 832.
  • CAM: 806 stores associated addresses for data words. As explained in relation to el register and Fig. 7, CAM 806 may take as inputs various control signals and associated addresses. CAM 806 can then write associated addresses to itself or determine appropriate physical address within RAM 818 for matching data word. Typically, such data w'ord would be output, for example, to pipeline banks or memory ' banks.
  • RAM update flag 807 comprises control bits for determining whether associated data should be updated within RAM 818. For example, control signals received from control logic 840 may indicate that RAM 818 entry should be updated based on a new data word RAM update flag 807 thus provides a mechanism to track data words that should be updated in case it is not possible to update the data word immediately.
  • RAM enable 808 comprises control bits indicating whether e2 RAM 818 contains a valid data word.
  • RAM enable 808 may thus require that all bits be set to one, for example, to provide a stringent mechanism to ensure that RAM 818 includes valid data.
  • RAM enable 808 may be output to control logic 840 so that control logic may keep track of valid data wi thin block 802 and RAM 818.
  • ECC error correction code
  • RAM 818 points to particular addresses within mam memory for storing data words rather than storing the data words within e2 register 800 itself.
  • RAM e2 fail count 810 indicates the number of times a data word has failed to wiite to e2 RAM 818.
  • RAM 818 may comprise non-volatile STT-MRAM in an embodiment.
  • e2 register 800 may write to RAM 818 until write operation is successful in order to maintain reliability within e2 register 800.
  • e2 fail count indicates the number of times a data word has failed to write to RAM 818.
  • RAM e2 fail count 810 may be output to control logic 840, so that control logic 840 may output appropriate addresses for writing to RAM 18.
  • RAM used count 812 indicates the number of times that a physical address within e2 RAM 818 has been used.
  • the e2 register 800 may desire to keep track of the number of times that a particular physical address within RAM 818 has been used. For example, the number of times that a read operation has occurred, write operation has occurred, or both to a specific physical address within RAM 818.
  • RAM memory bank FC 814 indicates the number of times that a data w 7 ord has failed to write to a memory bank.
  • e2 register 800 may desire to keep track of the number of times that a write operation from e2 register 800 has failed to the memory bank. This may 7 be useful so that only a desired number of re-write operations are tried.
  • the specific components of block 802 are exemplary and may be modified without departing from the teachings of the present disclosure.
  • RAM memory bank FC 814 is optional and provides a mechanism for controlling the number of re write attempts to memory bank.
  • Mux 816 is coupled to CAM/RAM/Enbl/Pointers block 802 and e2 RAM 818 Mux 816 takes as input decode signal from physical address decoder 804 indicating physical address within e2 RAM 818 and match signal from CAM 806 indicating that match exists within e2 RAM 818.
  • e2 RAM 818 can perform read or write operation. If e2 RAM 818 comprises MRAM, write operations may be tried a number of times based on RAM e2 fail count 810. In another embodiment, after a predetermined number of write attempts to physical address within e2 RAM 818, RAM used count 812 may operate to indicate that another location w ithin e2 RAM 818 should be chosen for write operation.
  • the e2 RAM 818 comprises RAM data 820, RAM address 822, RAM enable 824,
  • the e2 RAM 818 may comprise volatile or non-volatile memory.
  • the e2 RAM 818 comprises non-volatile memory such as MRAM so that contents may be saved on during power down.
  • RAM data 820 comprises data storage elements comprising data bits storing a data word received from e l register.
  • RAM address 822 stores an associated address within a memory 7 bank for the data word stored within RAM data 820.
  • CAM 806 may store an associated address to RAM address 822.
  • RAM enable 824 stores the same enable bits as RAM enable 808.
  • RAM used count 826 stores the same used count as in RAM used count 812.
  • Memory Bank FC 830 stores the same fail count as RAM Memory' Bank FC 814
  • block 802 comprising volatile storage e.g., SRAM
  • non-volatile storage e.g., MRAM
  • y-mux 832 allows read and write operations to be performed on RAM 818.
  • Sense amplifiers 824 are used to read RAM 818.
  • ECC block 836 allows error correcting on RAM 818.
  • Write Register 938 may comprise CAM for searching write register contents.
  • Write register 838 receives data word and address from el register.
  • Write register 838 also communicates with e2 control logic 840 to, for example, send ready e2 ready signal when write register 838 is ready for new 7 data word from e l register.
  • Control logic 840 comprises hardware logic. Control logic 840 determines appropriate operations (such as read, write, and search) to be performed on e2 register 800. Control logic 840 also determines addresses. As previously explained in connection with Fig. 7, control logic 840 may use many different addressing schemes. In one embodiment, control logic 840 uses pointers to detemiine physical addresses within block 802 and RAM 818 for writing data w'ords. Control logic 840 may also communicate with other components of memory device including pipeline banks, memory banks, and e 1 register. For example control logic 840 transmits e2 flag to e 1 register to indicate that e2 register 800 may receive a new data word to write register 838.

Abstract

A method of writing data into a memory device is disclosed. The method comprises utilizing a pipeline to process write operations of a first plurality of data words addressed to a memory bank. The method further comprises writing a second plurality of data words into an error buffer associated with the memory bank wherein each data word of the second plurality of data words is either awaiting write verification associated with the memory bank or is to be re-written into the memory bank. Additionally, the method comprises monitoring an occupancy level of the error buffer and determining if the occupancy level of the error buffer has increased beyond a predetermined threshold. Subsequently, responsive to a determination that the occupancy level of the error buffer has increased beyond the predetermined threshold, increasing a write voltage of the memory bank, wherein subsequent write operations are performed at a higher write voltage.

Description

A METHOD OF OPTIMIZING WRITE VOLTAGE BASED ON ERROR BUFFER
OCCUPANCY
CROSS-REFERENCE TO RELATED APPLICATION
[0001] The present application is a Continuation-in-part of, claims the benefit and priority to U.S. Application No. 15/855,855, Attorney Docket No. SPIN-0002-07P01US, filed December 27, 2017, entitled "SMART CACHE DESIGN TO PREVENT OVERFLOW FOR A MEMORY DEVICE WITH A DYNAMIC REDUNDANCY REGISTER;’ and hereby incorporated by reference in its entirety, which is a continuation-in-part of, claims the benefit of and priority to U.S. Application No. 15/277,799 Attorney Docket SPIN-0002-01.01US, filed September 27, 2016, entitled "DEVICE WITH DYNAMIC REDUNDANCY REGISTERS” and hereby incorporated by reference in its entirety.
FIELD
[0002] Hie present patent document relates to registers that are added to devices, and more particularly registers added to random access memory (RAM). The methods and devices described herein are particularly useful in spin-transfer torque magnetic memory’ (STT-MRAM) devices.
BACKGROUND
[0003] Magnetoresistive random-access memory (“MRAM”) is a non-volatile memory technology that stores data through magnetic storage elements. These magnetic storage elements are two ferromagnetic plates or electrodes that can hold a magnetic field and are separated by a non-magnetic material, such as a non-magnetic metal or insulator. In general, one of the plates has its magnetization pinned (/. e. , a "reference layer”), meaning that this layer has a higher coercivity than the other layer and requires a larger magnetic field or spin-polarized current to change the orientation of its magnetization. The second plate is typically referred to as the free layer and its magnetization direction can be changed by a smaller magnetic field or spin- polarized current relative to the reference layer.
[0004] MRAM devices store information by changing the orientation of the magnetization of the free layer. In particular, based on whether the free layer is in a parallel or anti-parallel alignment relative to the reference layer, either a“1” or a“0” can be stored in each MRAM cell. Due to the spin-polarized electron tunneling effect, the electrical resistance of the cell changes due to the orientation of the magnetization of the two layers. The cell’s resistance will be different for the parallel and anti-parallel states and thus the cell’s resistance can be used to distinguish between a“1” and a "0.” MILAM devices are generally considered as non-volatile memory' devices since they maintain the information even when the power is off. The two plates can be sub-micron in lateral size and the magnetization direction can still be stable with respect to thermal fluctuations.
[00051 MRAM devices are considered as the next generation structures for a wide range of memory applications. MRAM products based on spin torque transfer switching are already making its way into large data storage devices. Spin transfer torque magnetic random access memor (“STT-MRAM”) has an inherently stochastic write mechanism, wherein bits have certain probability of write failure on any given write cycle. The write failures are most generally random, and have a characteristic failure rate. A high write error rate (WER) may make the memory unreliable.
[0006] In memory devices, and especially STT-MRAM, methods and systems for verifying and re-w'riting data words are beneficial .
SUMMARY AND CLAIMABLE SUBJECT MATTER
[0007] In an embodiment, a device with dynamic redundancy registers is disclosed. In one aspect, a memory7 device comprising random access memory (RAM) device, and specifically an STT-MRAM device, is provided. The present disclosure provides backup dynamic redundancy registers that allow the device to operate with high write error rate (WER). The dynamic redundancy registers allow verifies, re-writes, and relocation of data words that fail to write correctly to a memory bank, generally, without loss of throughput, speed, or restriction on random access addressing.
[0008] In one aspect the present disclosure teaches a memory bank that is coupled to an e 1 register. The el register is coupled to the e2 register. The el register stores data words that are to be verified or re-written to the memory bank. The el register also stores an associated address for data words within the memory bank. Data words in the el register may be verified against data words in the memory bank at the associated address within the memory bank. If a system write operation fails on the memory bank, a re-write operation may be tried by writing a data word from the e 1 register to the memory bank. The fact that the system write operation failed may be determined through a verify operation. Re-write operation from e 1 register to memory bank may be tried as many times as necessary to successfully complete write operation or may not be tried at all. In one example, the number of re-write operations may be configurable based on control bit(s) associated with re-write attempts. In one aspect, the number of re-write operations may be configurable on a per-bank basis or per-segment of bank basis. These control bits may be stored in the el register and associated with a particular data word and
communicated and updated as appropriate. [0009] In one aspect the re-write operation may be tried only when memory bank is idle (that is there are no write or read operations for that memory bank). In tins way, re-write operations may be transparent to and with no delay of incoming system read and system write operations. After the desired number of re-write attempts (0 to n) from the el register, the memory device moves (relocates) data w'ord from the e l register to the e2 register. The memory device may also move associated address within memory bank for data word from the e 1 register to the e2 register. In one aspect, the memory- device does not comprise an e2 register. Instead, after a desired number of re-write attempts, the memory device relocates the data word and associated address from the el register to a secure area in memory reserved for storing data words associated with pending re-write and verify operations in the e 1 register.
[0010] In one embodiment, a re-wxite operation may occur only once from the e l register to the memory- bank. The memory' device then relocates the data word and associated address from the el register to the e2 register if the re-write operation failed. Alternatively, if there is no e2 register, the memory' device then relocates the data word and associated address from the el register to the secure storage area in memory'. Although explained with reference to one memory bank and two dynamic redundancy registers, one or more memory banks and two or more dynamic redundancy registers may also be used. Alternatively, in certain embodiments only one dynamic redundancy register may be used, e.g., embodiments without an e2 register.
[QQ11] Typically, the first level dynamic redundancy register (el register) may operate at clock cycle speed of memory bank (some operations may operate at clock cycle speed of memoiy bank while other operations may occur independent or multiples of memory' bank clock cycle speed). The e 1 register may be either non-volatile or volatile, and may typically comprise SRAM. The e 1 register may also comprise a content addressable memory' (CAM) array which allows reduced size of e 1 register. In one embodiment, e 1 register may be high-speed, smaller register than a last level register.
[0012] Typically, the last level dynamic redundancy register (e2 register) may operate at clock cycle speed of main memory bank (some operations may operate at clock cycle speed of memory bank while other operations may occur independent or multiples of memory bank clock cycle speed). The last level may be either non-volatile or volatile, and may typically comprise MRAM. The e2 register may also comprise a CAM. The last level dynamic register may beneficially comprise non-volatile memory' which allows data to be backed up on power down. The e2 register typically prioritizes reliability over size as compared to memory bank. In one embodiment, the last level register may' comprise more entries than the e l register. In one embodiment, e2 register entries may be invalidated when a write operation occurs for a data word having associated address common with data word in e2 register. Alternatively, in an embodiment without an e2 register, entries the secure memory storage area may be invalidated when a write operation occurs for a data word having an associated address common with data word in the secure memory storage.
[0013] In one aspect, the el register stores a data word and an associated address for data words in a pipeline structure that have not had an opportunity to verify. For example, a data word may not have an opportunity to verify because of row address change. That is, a write operation may occur on a different row address than a verify operation. Thus, the data word for a verify operation would be stored within el register and verify would be performed, if possible, on another data -word from el register having common row address with the data word for write operation. This feature is especially beneficial in pseudo-dual port memory banks. A dual port memor bank allows read and write operations to be performed simultaneously. A pseudo-dual port allows read and write operations to be simultaneously (e.g., substantially within the same memory device clock cycle) performed on less than all ports. In one example, a pseudo-dual port MRAM may allow verify and write operations to be simultaneously performed as long as the operations share a common row address and different column addresses. In one aspect, a data word may be read from the e 1 register rather than main memory bank if the data w'ord failed to write or verify to memory bank.
[0014] In another aspect, the el or e2 register data word, associated address, and control bits can be deleted, overwritten, invalidated such that the data is not used, or otherwise considered garbage when another write operation for the same associated address occurs on the memory' bank. In one aspect, a data word may be read from the e2 register rather than the main memory bank if such read operation is beneficial. For example, if el register relocated a data word to e2 register. In another aspect, data stored in the e2 SRAM and CAM is backed up onto the e2 non volatile RAM for storage during power down. In another embodiment, data stored in e2 non volatile RAM may be transferred to e2 volatile RAM during power up. In another aspect, the memory' device may move data from the el register to the e2 register in order to free room in the el register. In another aspect, e2 register may not store data words and associated addresses but instead remap data words and associated addresses received from e l register into a different area of memory bank. In another aspect e2 register may move data words to memory' bank upon power down.
[0015] Typically, e2 register should be more reliable than memory bank because data may not be recoverable in case of e2 register failure. Thus, schemes can be implemented to increase reliability of e2 register. For example, e2 register may comprise status bits that allow' data manipulation of a particular data word or other entr ' within e2 only if all or a predetermined number of status bits are set to one. In another scheme, multiple copies of data word may be maintained in e2 register and selected based on a voting scheme. In another scheme, a more stringent error correction code (ECC) scheme may be performed within e2 register than in memory bank. In another scheme, e2 register points to particular addresses within main memory for storing data words rather than storing the data word within e2 itself.
[0016] In one embodiment of the present invention, only- one dynamic redundancy register, e.g., the el register may be used in a memory device. In other words, tire memory device will have no e2 register. In one embodiment, upon receiving the power down signal, the e 1 register may attempt to perform all the pending operations, e.g., verify and re-write operations associated with the data words stored in the el register prior to shutting down. In other words, upon receiving the power down signal, the el register may attempt to perform all the pending verify operations and move all the data words associated with pending re-write operations (e.g.
operations that have failed verification) stored within it to tire appropriate corresponding locations in the memoiy bank. In one embodiment, if the verily operations and re-write operations succeed, the corresponding entries for the data words in the el register may be deleted prior to shutting down (if the el register comprises non-volatile memory'). Any data words in the el register that could not be successfully re-w'ritten or verified prior to shutting down will be stored a secure memory storage area. In one embodiment, the memoiy device ensures that data is written securely to tire secure memory storage area by using one or multiple schemes including voting, error-correcting code (ECC), or storing multiple copies.
[0017] In one embodiment where only the el register is used, upon power up of the memory device and receipt of power up signal, another attempt can be made to perform all the pending re-write or verify' operations using the associated addresses for the data words. As stated above, subsequent to powering down, the secure memory storage area will comprise data words (with their associated addresses) that have not yet been verified or that have failed verification. The verify and re-write operations can be directly attempted from the secure memory storage area or they can be recalled to the e 1 register prior to processing the pending operations to the pipeline. In one embodiment, if the attempt to v erify or write the data words back to memory on power up succeeds, the corresponding entries for the data words in the secure memory storage area or the el register may be deleted. Any data words that could not be successfully re-writen or verified subsequent to powering up will be stored in the e I register.
[0018] In one aspect, the present disclosure teaches an access method and system into memory banks. Pseudo-dual ports allow using the disclosed Y-mux structure to simultaneously perform verify and write operations on two data words sharing a common row address (e.g., sharing a common word line). In other embodiments, dual port memory bank could allow simultaneous read and write operations. The Y-mux structure of the present disclosure operates using two column decoders for the column address. One column decoder allows decoding for write column addresses. The other column decoder allows decoding for read and verify column addresses. The disclosed pseudo-dual port memory bank with Y-mux structure requires only a single-port memory cell. As explained, a dual port memory bank may allow read and write operations to be simultaneously performed, but requires a dual port memory cell . A single port memor cells, for example an STT MRAM memory7 cell, may be more area efficient than a dual port memory cell, for example a dual port STT MRAM memory cell. Thus, the present disclosure teaches, in one embodiment, a Y-mux structure to create a pseudo dual port memory bank with single port memory cells. Thus, el register operates with the disclosed pseudo dual port memory bank to permit write and verify operations sharing common row address to occur simultaneously.
[0019] In another aspect, the memory device includes control bits and signals that are used for the control logic of this disclosure. Tire memory device may thus know whether data is located in a memory bank, memory pipeline, e l register, or e2 register for read operations. In another aspect, data for operations may be invalidated based on control bits and signals to maintain consistency of operations. Such control bits and signals may include valid bit, active bank signal, fail count bits, e2 entry inactive bit. A valid bit indicates that particular data within a register is valid for data manipulation operations. An active bank signal indicates whether the memory bank for operation is active (i.e., that a system write or system read is being performed in that bank). Fail count bits indicate the number of re-write operations have occurred for the data word. The e2 entry inactive bit indicates that the associated entry in e2 should not be used for data manipulation operations.
[0020] In another aspect, the present disclosure teaches a memory device having pipeline structure for write and verify, among other data manipulation operations. Tins pipeline structure may be used to control system write, verify, and re-write operations, among other data manipulation operations. Using the pipeline structure of the present disclosure, data integrity is maintained and data flow is structured. In one embodiment, a delay register implements a delay- cycle allowing memory to reach stable state before performing a verify7 operation on a data word. This delay cycle allows a write operation to be performed for a data word, followed by a delay cycle, followed by a verify operation for tire data word. [0021] In one embodiment, a method of writing data into a memory device is disclosed. The method comprises utilizing a pipeline to process write operations of a first plurality of data words addressed to a memory bank Tire method further comprises writing a second plurality of data words and associated memory' addresses into a cache memory', e.g , an el register, wherein the cache memory is associated with the memory bank and wherein further each data word of the second plurality of data words is either awaiting write verification associated with the memory bank or is to be re-written into the memory bank. Further, the method comprises detecting a power down signal and responsive to the power down signal, transferring the second plurality of data words and associated memory addresses from the cache memory, e.g., the el register, into a secure memory' storage area reserved in the memory bank. Finally, the method comprises powering down the memory device. It should be noted that in this embodiment only one dynamic redundancy register, e.g., the el register may be used in the memory' device. In other words, the memory device will have no e2 register.
[0022] In one aspect of this embodiment, the memory' bank comprises a plurality' of spin- transfer torque magnetic random access memory' (STT-MRAM) cells. In another aspect, the method further comprises responsive to the power down signal, transferring any partially completed write operations of tire pipeline to the secure memory storage area. In a different aspect, the method further comprises responsive to the power down signal, and before the transferring, copying any partially completed write operations of the pipeline to the cache memory.
[0023] In one aspect of the embodiment, the transferring comprises utilizing a secure communication process that is substantially compliant with one of: voting: ECC encoding; use of multiple copies; comparing multiple copies; and voting from multiple copies. In another aspect, the power down signal originates from a system level software stack and represents a system wide orderly power down event. In a different aspect, the power down sequence is initiated when an analog detector detects that the operating pow'er of the chip has decreased by a predetermined threshold level. In one aspect, the method further comprises removing a data word and its associated address from the cache memory responsive to an indication that the data word has been verified as properly written to the memory bank. In another, the method further comprises receiving a power up signal and responsive to the power up signal, transferring the second plurality' of data words and associated memory addresses from the secure memory storage area to the cache memory and processing the second plurality of data words from the cache memory', through the pipeline for writing into the memory bank. [0024] In one embodiment, a method of writing data into a memory device is disclosed. The method comprises utilizing a pipeline to process write operations of a first plurality of data words addressed to a memory bank Tire method further comprises writing a second plurality of data words and associated memory' addresses into a cache memory', wherein the cache memory is associated with the memory bank and wherein further each data word of the second plurality of data words is either awaiting write verification associated with the memory bank or is to be re- written into the memory bank. The method also comprises detecting a power down signal and responsi ve to the power down signal, and before the memory device is powered down, processing data words of the second plurality of data words and associated memory addresses through the pipeline to write data into the memory bank. The method finally comprises powering down the memory device.
[0025] In one aspect, the memory bank comprises a plurality of spin-transfer torque magnetic random access memory (STT-MRAM) cells. In another aspect, the power down signal originates from a system level software stack and represents a system wide orderly power down event. In a different aspect, the power down sequence is initiated when an analog detector detects that the operating power of the chip has decreased by a threshold level. In one aspect, the method further comprises removing a data w'ord and its associated address from the cache memory' responsive to an indication that the data word has been verified as properly written to the memory bank. In yet another aspect, the method further comprises subsequent to the processing the data words and before the power down, transferring any unprocessed data words of the second plurali ty of data words from the cache memory to a secure memory storage area of the memory bank. In one aspect, the transferring comprises utilizing a secure communication process substantially compliant with one of: voting; ECC encoding; use of multiple copies; comparing multiple copies; and voting from multiple copies.
[0026] In one aspect, the method also comprises receiving a power up signal and responsive to the power up signal, transferring any data words and associated memory addresses from the secure memory storage area to the cache memory and processing the data words, from the cache memory', through the pipeline for writing into the memory bank.
[0027] In one embodiment, a method of waiting data into a memory' device is disclosed. The method comprises utilizing a pipeline to process write operations of a first plurality of data words addressed to a memory bank. The method further comprises waiting a second plurality of data words and associated memory addresses into a cache memory, wherein the cache memory is associated with the memory bank and wherein further each data word of the second plurality of data words is either awaiting waite verification associated with the memory bank or is to be re- written into the memor ' bank. The method also comprises detecting a power down signal and responsi ve to the power down signal, transferring the second plurality of data words and associated memory' addresses from the cache memory into a secure memory' storage area in the memory' bank. Additionally, the method comprises detecting a power up signal and responsive to the power up signal, and before the memory device is powered up, transferring the second plurality of data words and associated memory addresses from the secure memory storage area to the cache memory'. Further, the method comprises responsive to the transferring, and before the memory device is powered up, processing the second plurality of data words and associated memory' addresses from the cache memory to the pipeline for writing data to the memory bank during power up.
[0028] In one aspect, the memory bank comprises a plurality of spin-transfer torque magnetic random access memory (STT-MRAM) cells. Further, in one aspect, the method further comprises responsive to the power down signal, transferring any partially completed write operations of tire pipeline to the secure memory storage area. In another aspect, the method further comprises responsive to the power down signal, copying any partially completed write operations of the pipeline to the cache memory. In one aspect, the transferring comprises utilizing a secure communication process substantially compliant with one of: voting; ECC encoding; use of multiple copies; comparing multiple copies; and voting from multiple copies.
[0029] in one embodiment, the power down signal originates from a system level software stack and represents a system wide orderly power down event. In a different aspect, tire pow'er down sequence is ini tiated when an analog detector detects that the operating power of the chip has decreased by a threshold level. In another aspect, the method further comprises removing a data word and its associated address from the cache memory responsive to an indication that the data word has been verified as properly w'ritten to the memory bank.
[0030] in one embodiment, a memory' pipeline for performing a write operation in a memory device is disclosed. The memory pipeline comprises an initial pipe-stage comprising an input register operable to receive a first data w'ord and an associated address to be w'ritten into a memory' bank. The memory pipeline also comprises a pre-read register of the first pipe-stage coupled to the input register and operable to receive the first data word and the associated address from the input register and further operable to pre-read a second data word stored in the memory' bank at the associated address, and w herein the pre-read register is further operable to store mask bits associated with pre-reading the second data w'ord, wherein the mask bits comprise infonnation regarding a bit-wise comparison between the first data word and the second data word. Further, the memory pipeline comprises a write register of the second pipe- stage operable to receive the first data word, the associated address and the mask bits from the pre-read register, wherein the write register is further operable to use information from the mask bits to write the first data word into the memory bank by changing those bits in the first data word that differ from the second data word, and wherein the second pipe-stage follows the first pipe-stage.
|0Q31] In one aspect the memory bank comprises memory cells that are spin-transfer torque magnetic random access memory (STT-MRAM) ceils. In another aspect, the pre-read register further comprises ECC bits for correcting bit errors in data words read from the memory bank.
In one aspect, the pre-read is performed as part of a bit redundancy remapping operation. In another aspect the pre-read register stores the mask bits in a fi rst level dynamic redundancy register. In a further aspect, the memory pipeline further comprises a delay register of the third pipe-stage operable to provide delay cycles between the write register and a verify register, wherein the delay cycles are used to find a verify operation in a first level dynamic redundancy register with a row address in common with the first data w'ord wherein the third pipe-stage follows the second pipe-stage. In one aspect the delay register is further operable to receive die first data word and associated address from the write register. In another aspect, the delay- register is furdier operable to transmit the first data w'ord and associated address to the first level dynamic redundancy register responsive to receipt of a row' address change signal. In one aspect, the memory pipeline further comprises a verify register of the fourth pipe-stage operable to receive the first data word and associated address from tire delay register, and further operable to read a third data word at the associated address from the memory bank, wherein the fourth pipe-stage follows the third pipe-stage. Further, the memory pipeline comprises compare logic operable to compare contents of the first data word and the third data word to determine if the first data w'ord wrote correctly to the memory bank.
[0032] In one embodiment, a memory' pipeline for performing a write operation in a memory- device is disclosed. The memory pipeline comprises an initial pipe-stage comprising an input register operable to receive a first data word and an associated address to be written into a memory' bank. Further, the pipeline comprises a first write register of a fi rst pipe-stage coupled to the input register and operable to receive the first data word and the associated address from the input register in a first clock cycle, wherein the first write register is further operable to perfonn a first attempt at writing the data word into the memory' bank at a location
corresponding to the associated address. The pipeline also comprises a second write register of the second pipe-stage coupled to the first write register and operable to receive the first data word and the associated address from the first write register in a second clock cycle, wherein the second write register is further operable to perform a second attempt at writing the first data word into the memory bank at the location corresponding to the associated address, and further wherein a second data word is input into the first write register in the second clock cycle subsequent to writing the first data word into the second write register from the first write register, wherein the second pipe-stage follows the first pipe-stage
|0Q33] In one aspect, the pipeline further comprises a delay register of the third pipe-stage operable to receive the first data word and the associated address from the second write register on a third cycle, wherein a third data word is input into the first write register and the second data word is transferred from the first write register into the second write register for a second attempt at writing the second data word on the third cycle into the memory bank, wherein the third pipe-stage follows the second pipe -stage. In one aspect, the delay register is further operable to provide a delay cycle between the write register and a verify register, wherein the delay cycle is used to find a verify operation in a first level dynamic redundancy register with a row address in common with the first data word. In one aspect, the delay register is further operable to transmit the first data word and the associated address to the first level dynamic redundancy register responsive to receipt of a row address change signal. In another aspect, the memory pipeline further comprises a verify register of the fourth pipe-stage coupled to the delay register wherein the verily register is operable to receive the first data word from the delay register on a fourth clock cycle, and wherein the verify register performs a read operation on the memory bank at the associated address to determine whether the first data word wrote correctly to the memory bank, wherein the fourth pipe-stage follows the third pipe-stage. In yet another aspect, the memory pipeline also comprises compare logic operable to perform a compare operation between the first data word in the verify register and a data word read from the memory' bank at the associated address in the verily register.
[0034] In one aspect, the memory pipeline additionally comprises a verify- results register of the fifth pipe-stage operable to receive the first data word and the associated address from the verify register, wherein responsive to a determination that a verify operation associated with the compare operation failed, the verify- results register is further operable to transfer the first data word and the associated address to a first level dynamic redundancy register, wherein the fifth pipe-stage follows the fourth pipe-stage. In one aspect, the memory cells of the memory bank comprise spin-transfer torque magnetic random access memory- (STT-MRAM) cells. In another aspect responsive to receiving a read operation, write operations associated with the memory pipeline are stalled until the read operation is completed. [0035] In one embodiment of the present invention, a memory device for storing data is disclosed. The memory device comprises a memory bank comprising a plurality of addressable memor ' cells configured in a plurality of segments wherein each segment contains N rows per segment, wherein the memory bank comprises a total of B entries, and wherein the memory' cells are characterized by having a prescribed write error rate, E. The memory device also comprises a pipeline comprising M pipestages and configured to process write operations of a first plurality of data words addressed to a given segment of the memory bank. Further, the memory' comprises a cache memory, e.g., the el register comprising Y number of entries, the cache memory' associated with the given segment of the memory' bank wherein the cache memory is operable for storing a second plurality of data words and associated memory' addresses, and wherein further each data word of the second plurality of data words is either awaiting w'rite verification associated with the given segment of the memory bank or is to be re-wvitten into the given segment of the memory bank, and wherein the Y number of entries is based on the M, the N and the prescribed word error rate, E, to prevent overflow of the cache memory.
[0Q36] In one aspect, the Y number of entries is at least (N*M) +(B*E) entries. In one aspect, the memory cells of the memory bank comprise spin-transfer torque magnetic random access memory (STT-MRAM) cells. In one aspect, the memory device further comprises a plurality' of pipelines and a plurality of cache memories, and wherein further each segment of the plurality of segments has associated therewith a respective pipeline of the plurality of pipelines and a respective cache memory of the plurality of cache memories. In one aspect, the cache memory' comprises one or more status indicators for indicating a partial occupancy level of the cache memory. In one aspect, the pipeline supports multiple write attempts for a given write operation. In another aspect, tire pipeline supports a pre-read operation for a given write operation. In one aspect, the pipeline is operable to flush a currently processing first memory- operation to the cache memory' if a second memory operation enters the pipeline has a different row address as the first memory operation.
[0037] In one embodiment, a memory' device for storing data is disclosed. Tire memory' device comprises a plurality of memory' banks, wherein each memory bank compri ses a plurality of addressable memory cells and a plurality of pipelines each comprising a plurality of pipe- stages, wherein each pipeline is associated with a respective one of the plurality of memory' banks, and wherein each pipeline is configured to process write operations of a fi rst plurality of data words addressed to its associated memory' bank. The memory device further comprises a plurality of cache memories, wherein each cache memory is associated with a respective one of the plurality of memory banks and a respective one of the plurality of pipelines, and wherein each cache memory' rs operable for storing a second plurality of data words and associated memor addresses, and wherein further each data word of the second plurality of data words rs either awaiting write verification associated with the given segment of an associated memory bank or rs to be re-written into the given segment of the associated memory bank
[0038] In one aspect, tire addressable memory cells of tire associated memory bank comprise spin-transfer torque magnetic random access memory (STT-MRAM) ceils. In one aspect, each pipeline is operable to flush a currently processing first memory operation to an associated cache memory if a second memory operation that enters the pipelrne has a different row address as the first memory' operation. In another aspect, each cache memory comprises one or more status indicators for indicating a partial occupancy level of the cache memory'. In one aspect, each pipeline supports multiple write attempts for a given write operation. In another aspect, each pipeline supports a pre-read operation for a given write operation.
[0039] In one embodiment, a memory' device for storing data is disclosed. The memory device comprises a memory' bank comprising a memory array of addressable memory cells and a pipeline configured to process read and write operations addressed to the memory' bank. Further, the memory comprises an x decoder circuit coupled to the memory' array for decoding an x portion of a memory address for the memory array and a y multiplexer circuit coupled to the memory' array and operable to simultaneously multiplex across the memory' array based on two y portions of memory addresses and, based thereon with the x portion, for simultaneously writing a value and reading a value associated with two separate memory ceils of the memory array, wherein the x decoder and the y multiplexer comprise a read port and a write port which are operable to simultaneously operate with respect to the memory' array.
[0040] In one aspect, the x decoder is operable to assert a row line of the memory array and wherein the two separate memory' cells share the row line in common . In another aspect, the read port and the write port allow a write operation and a read-verify operation, that share a common row', to simultaneously access the memory array. In one aspect, the read port and the write port allow a write operation and a read-verify operation, that share a common row and that have different y portions, to simultaneously access the memory array. In another aspect, the addressable memory' cells comprise spin-transfer torque magnetic random access memory (STT- MRAM) cells. In one aspect of the invention, the x portion of the memory address decodes to a common row line shared by the two separate memory' cells of the memory' array and wherein further the two y portions of memory' addresses respectively select first and second sets of bit lines associated with the two separate memory' cells of the memory' array. In one aspect, the memory device further comprises a plurality of input/output channels, the plurality of input/output channels coupled to the y multiplexer circuit.
[0041 ] In one embodiment, a method of writing data into a memor ' device is disclosed. The method comprises utilizing a pipeline to process write operations of a first plurality of data words addressed to a memory bank. The method further comprises writing a second plurality of data words and associated memory' addresses into an error buffer, wherein the second plurality of data words are a subset of the fi rst plurality of data words, wherein the error buffer is associated with the memory bank and wherein further each data word of the second plurality of data words is either awaiting write verification associated with the memory' bank or is to be re-written into the memory bank. Further, the method comprises monitoring an occupancy level of the error buffer and determining if the occupancy level of the error buffer is larger than a predetermined threshold. Responsive to a determination that the occupancy level of the error buffer is larger than the predetermined threshold, the method comprises increasing a write voltage of the memory bank, wherein subsequent write operations are performed at a higher write voltage.
[0042] In another embodiment, a memory' device for storing data is disclosed. The memory device comprises a memory' bank comprising a plurality of addressable memory cells and a pipeline configured to process write operations of a first plurality of data words addressed to the memory' bank. The method also comprises a cache memory' operable for storing a second plurality of data words and associated memory' addresses, wherein the cache memory' is associated with the memory bank and wherein further each data word of the second plurality of data words is either awaiting write verification associated with the memory' bank or is to be re written into the memory bank. Further, the method comprises a logic module operable to: (a) monitor an occupancy level of the error buffer; (b) determine if the occupancy level of the error buffer exceeds a predetermined threshold; and (c) responsive to a determination that the occupancy level of the error buffer exceeds the predetermined threshold, increase a write voltage of the memory bank, wherein subsequent write operations are performed at a higher write voltage.
[0043] In a different embodiment, a method of writing data into a memory device is disclosed. The method comprises utilizing a pipeline to process write operations of a first plurality' of data words addressed to a memory bank and writing a second plurality' of data words and associated memory addresses into an error buffer wherein the error buffer is associated with the memory bank and wlierein further each data word of the second plurality of data words is either awaiting write verification associated with the memory bank or is to be re-written into the memory' bank. Further, the method compri ses monitoring an occupancy level of the error buffer and determining if the occupancy level of the error buffer has increased beyond a predetermined threshold. Responsive to a determination that the occupancy level of the error buffer has increased beyond the predetermined threshold, the method comprises increasing a length of a pulse width for write cycles of the memory bank, wherein subsequent write operations are performed using the pulse width.
|0Q44] These and other objects, features, aspects, and advantages of the embodiments will become better understood with reference to the following description and accompanying drawings. Moreover, the object, features, aspect, and advantages of tire embodiments can be modified and combined without departing from the teachings of the present disclosure.
BRIEF DESCRIPTION OF THE DRA WINGS
[0045] The accompanying drawings, which are included as part of the present specification, illustrate the presently preferred embodiments and, together with the general description given above and the detailed description given below , serve to explain and teach the principles of the MTJ devices described herein.
[0046] Fig. 1 is a block diagram of exemplary memory device of the present disclosure having redundancy registers.
[0047] Fig. 2 is an exemplar embodiment for a process flow showing a write operation using exemplary memory device of the present disclosure and ill ustrates the high-level write operation performed on a memory device.
[0048] Fig. 3 is a block diagram of exemplary embodiment of a memory device of the present disclosure having dynamic redundancy registers.
[0049] Fig. 4 is a block diagram of exemplary embodiment of a memory device of the present disclosure showing Y-mux structure.
[0050] Fig. 5 is a block diagram of exemplary embodiment of a memory device of the present disclosure showing pipeline structure that allows verification and re-write operations.
[0051] Fig. 6 is an exemplary7 process flow showing an embodiment of a system read operation using an embodiment of memory device of the present disclosure.
[0052] Fig. 7 is a block diagram of an embodiment of a memory7 device showing a first level dynamic redundancy register.
[0053] Fig. 8 is a block diagram of an embodiment of a memory device of the present disclosure showing a last level dynamic redundancy register.
[0054] Fig. 9 is a block diagram of exemplary memory device of the present disclosure having a single redundancy register. [0055] Fig. 10 depicts an exemplary embodiment for a process flow showing the processing of pending memory related operations in a dynamic redundancy register on power down in an exemplary memory' device of the present disclosure.
[0056] Fig. 11 depicts an exemplary embodiment for a process flow showing tire processing of pending memory related operations in a secure memory' storage area on power up using a dynamic redundancy register in an exemplary memory device of the present disclosure.
[0057] Fig. 12 depicts an exemplary embodiment for a process flow showing the processing of performing a blind save of the contents of a dynamic redundancy register on power down in an exemplary memory' device of the present disclosure.
[0058] Fig. 13 depicts an exemplary embodiment for a process flow showing the processing of perfonning a blind recall of the contents of the memory bank into a dynamic redundancy register on power up in an exemplary memory' device of the present disclosure.
[0059] Fig. 14 is a block diagram of exemplary embodiment of a memory' device of the present disclosure showing pipeline structure that allows pipestages for performing a pre-read operation for a write operation.
[0Q6Q] Fig. 15 is a block diagram of exemplary embodiment of a memory' device of the present disclosure showing pipeline structure that allows an additional cycle for write operation for storing a data word.
[0061 ] Fig. 16 is a block diagram of an exemplary pipeline structure for a memory' device that comprises an additional write stage in accordance with an embodiment of the present invention.
[0062] Fig. 17 illustrates the manner in which a memory' bank can be segmented in accordance with an embodiment of the present invention.
[0063] Fig. 18 is a block diagram of exemplary embodiment of a memory device of the present disclosure showing the operation of a row' and column decoder in conjunction with a Y-mux structure in accordance with an embodiment of the present invention.
[0064] Fig. 19 depicts an exemplary embodiment for a process flow' showing the manner in which a pre-read register is used to perform a write operation in an exemplary memory' device of the present disclosure.
[0065] Fig. 20 is a block diagram of an exemplary pipeline structure for a memory' device that comprises a pre-read pipe-stage for a write operation in accordance with an embodiment of the present invention.
[0066] Fig. 21 illustrates a smart design for a dynamic redundancy register in accordance with an embodiment of the present invention. [0067] Fig. 22 is a block diagram of an exemplary embodiment of a memory device that optimizes write voltage based on error buffer occupancy in accordance with an embodiment of the present invention.
[0068] Fig. 23 depicts an exemplary embodiment for a process flow showing the manner in which the write voltage for a memory bank is optimized based on error buffer occupancy levels in accordance with an embodiment of the present invention.
[0069] Fig. 24 depicts an exemplary embodiment for a process flow showing the manner in which the pulse width for write cycles of a memory bank is optimized based on error buffer occupancy levels in accordance with an embodiment of the present invention.
[0070] The figures are not necessarily drawn to scale and the elements of similar structures or functions are generally represented by like reference numerals for illustrative purposes throughout the figures. The figures are only intended to facilitate the description of the various embodiments described herein; the figures do not describe every aspect of the teachings disclosed herein and do not limit the scope of the claims.
Figure imgf000019_0001
[0071] The following description is presented to enable any person skilled in the art to create and use dynamic redundancy registers that allow devices, and especially magnetic
semiconductor device such as an MllAM, to operate with high write error rate (WER). Each of the features and teachings disclosed herein can be utilized separately or in conjunction with other features to implement the disclosed system and method. Representative examples utilizing many of these additional features and teachings, both separately and in combination, are described in further detail with reference to the attached drawings. This detailed description is merely intended to teach a person of skill m the art further details for practicing preferred aspects of the present teachings and is not intended to limit the scope of the claims. Therefore, combinations of features disclosed in the following detailed description may not be necessary to practice the teachings in the broadest sense, and are instead taught merely to describe particularly representative examples of the present teachings.
[0072] In the following description, for purposes of explanation only, specific nomenclature is set forth to provide a thorough understanding of the present teachings. However, it will be apparent to one skilled in the art that these specific details are not required to practice the present teachings.
[0073] Fig. 1 is a block diagram of exemplary memory device of the present disclosure having dynamic redundancy registers (el register 104 and e2 register 106, in this case). Fig. 1 shows memory' devices 100 described herein that includes memory bank 102, e 1 register 104, and e2 register 106. Moreover, memory device 100 communicates with data signals, for example, address signal 108, data word signal 110, clock signal 112, write and chip select signals 114, power down signal 116, power up signal 118. Note that Fig. 1 illustrates certain teachings of the present disclosure. However, it should he understood that the specific signals 108-1 18 illustrated may be modified by those with ordinary skill in the art without departing from the teachings of the present disclosure. Moreover, other communication interfaces, for example a double data rate (DDR) interface, to the memory device may he used. Although shown with only two dynamic redundancy registers here (el register 104 and e2 register 106) and one memory bank (memory bank 102), memory device 100 may comprise two or more dynamic redundancy registers and one or more memory banks Tire two or more dynamic redundancy registers could be implemented using some combination el register 104 and e2 register 106. The two or more dynamic redundancy registers may also operate hierarchically or in parallel.
[0074] Memor^ bank 102 comprises an array of data storage elements comprising data bits and may be implemented by volatile or non-volatile RAM technologies such as static random-access memory' (SRAM), dynamic random-access memory (DRAM), resistive random-access memory' (RRAM), phase-change memory' (PCM), MRAM, STT-MRAM, or other RAM technologies. In an exemplary embodiment, memory bank 102 may include an error correcting code block (not pictured). The teachings of the present disclosure are especially beneficial when memory bank 102 comprises STT-MRAM which suffers from an inherently stochastic write mechanism, wherein bits have certain probability of write failure on any given wTite cycle. The teachings of the present disclosure allow' memory bank 102 to be operated with high WER. However, many such errors can be corrected using the teachings of the present disclosure. Operating with high WER may allow memory' bank 102 to operate under other beneficial conditions. For example, memory' bank 102 could operate under high write speed, low write voltage (which may enable higher bitcell endurance), reducing ECC bits, or increased ECC decode speed, among other beneficial conditions.
[0075] In one embodiment, memory bank 102 may comprise 65,536x50 addressable bits. Further, the 50 bits comprise a 32-bit data word and 18 parity' bits for error correction.
Operations may be performed on memory bank 102 including system read, system write, re write and verify operations, among other data manipulations. A particular operation, for example a write operation, may occur at a particular address within memory' bank 102. The operation may have a row' address, indicating a w'ord line, and a column address. The address for write operations may be communicated through a write port of memory' bank 102, The address for read or verify operations may be communicated through a read port of memory bank 102
[0076] In one embodiment, memory bank 102 comprises a pseudo-dual port memory bank allowing memory device 100 to simultaneously (e g., substantially within a memory device clock cycle) perform a write operation and a verify operation sharing a common row (word line) address. System read operations to memory bank 102 generally supersede write and verify operations. Thus, system read operation would be performed before the scheduled write and verify operations. Write and verify operation could then happen on a subsequent clock cycle.
[0077] It should be noted that if a dual-port memory' bank 102 is used, read and write operations may be simultaneously performed. In other words, a dual-port memory' bank would also permit two write operations (or two read operations) to be performed simultaneously. By- contrast, a pseudo-dual port memory- bank can comprise two ports, however, both ports may not be designed to sendee the same operations. For example, typically a write operation requires a write driver with corresponding sense amplifiers that can support the higher current requirements of a write operation . In other words, a write driver tends to occupy more surface area on the chip as compared to a read driver because of the high current requirements for a write operation. A dual port memory- bank optimizes both ports to support write operations, which, turn, means that both ports can also support read operations because the requirements for read drivers are less stringent than for write drivers. A pseudo dual port memory- bank, on the other hand, optimizes one of the ports to support a write operation and one of the ports to support a read operation. In the current case, because memory- device 100 will be receiving a write and a verify operation sharing a common row- (word line) address in the same clock cycle, a pseudo-dual port memory- bank can be used to process the write and verify- operation simultaneously.
[0078] The e l register 104 is coupled to memory- bank 102 and e2 register 106. The el register 104 comprises an array of data storage elements comprising data bits and may be implemented by volatile and non-volatile RAM technologies. The el register 104 may also comprise control bits and communicate using control signals that maintain consistency of operations within memory- device 100. Typically, data is more reliably- written to el register 104 than within memory bank 102. Thus, if memory bank 102 comprises STT-MRAM, then e l register 104 might comprise SRAM. In other embodiments, el register may comprise non volatile RAM such as STT-RAM. The el register may also comprise a dual-port SIT-RAM to allow simultaneous read and write operations. In this case, el register 104 can run at the same cycle throughput speed as a memory- bank. The e l register 104 may also comprise content addressable memory- (CAM). In one embodiment, the el register may be located off the memory chip and on a system card or even on the CPU. In other words, the el register can be located on a different chip besides the memory chip.
[0079] Generally, el register 104 stores data words and associated addresses for data in memory bank 102 that has not been verified or has failed verification in one embodiment, el register 104 may store data words that have not been verified. For example, el register 104 receives a ROWchg signal that indicates row address change within a pipeline structure of the present disclosure. The ROWchg signal indicates that the data word and the associated address from the pipeline structure should be stored within el register 104. The ROWchg signal may also indicate that that another data word and associated address should be transmitted from el register 104 to the pipeline structure for a verify operation. If a pseudo-dual port memory bank is used, el register 104 may choose a data word and an associated address such that they share a common row address with a data word in the write register of the pipeline structure. In this way, a write operation and a verify operation can be simultaneously performed since the data words share a common row address.
[0080] In another embodiment, e 1 register 104 may store data words that have failed verification. For example, the pipeline structure may transmit a signal to el register 104 indicating that a data word has failed to write (i.e., failed verification) to memory bank 102. The pipeline structure may also transmit data word and associated address (in the case that el register 104 does not already contain the data word and associated address) to e 1 register 104 in order to later try to re-write the data w'ord to memory bank 102. In another example, a read operation may occur and pipeline structure may determine that the read operation did not occur within a predetermined error budget. The pipeline structure may then transmit a signal indicating that the read operation failed and transmit the data w'ord and associated address to el register 104 for storage.
[0081] From the preceding, one of ordinary skill in the art will understand that el register 104 may store data words and associated addresses for future verification. For example, a data word may not have had an opportunity to verify' due to a row address change. Thus, el register 104 may transmit the data word and associated address to the pipeline structure of the present disclosure during some subsequent clock cycle to verify tire data word. Typically, a control signal may indicate to e l register 104 that a row address change will occur or that memory' bank 102 will become inactive during subsequent clock cycles. The e l register 104 may then determine the appropriate data word sharing a common row' address with the data word to be written (in case of row address change) during the subsequent clock cycle. The el register 104 then transmits the data word and associated address to verify' register of the pipeline structure. In one embodiment, el register 104 may also transmit the physical address within el register 104 if the data word is already stored within el register 104. In this way, control bits associated with the data word may be updated.
[0082] From the preceding, a person skilled in the art will understand that e l register 104 may also store data words for future re-write attempts. The el register 104 may receive data words that have failed verification from the pipeline structure of the present disclosure. Memory device 100 may have attempted a write operation and the data word failed a verify operation. Memory device 100 may also have attempted a read operation and the data word may have faded to read within a specified error budget. In both cases, the pipeline structure of the present disclosure may transmit the data word to el register 104. Memos)' bank 102 may become inactive during a subsequent cycle. The el register 104 may then determine an appropriate data word to attempt to re-write to memory bank 102, In this case, el register 104 may transmit a data word and associated address to the pipeline structure. The el register 104 transmits the data word such that a write register could re-write the data word during the clock cycle that memory bank 102 would otherwise be inactive.
[0083] Generally, el register 102 may also relocate data words, associated addresses, and control bits to e2 register 106. If no more re-write attempts are desired, el register 104 may relocate data word and associated address to e2 register 106. The el register may also relocate data to memory' bank 102 or e2 register 106 on power down so that data is stored in non-volatile memory in the case that el register 104 comprises volatile memory. The el register 104 may also relocate data to e2 register 106 in the case that el register 104 lacks space for data words.
[0084] The el register comprises control bits and communicates using control signals. In one embodiment, el register comprises valid bits indicating whether the associated data word is a valid entr' within el register. In another embodiment, el register comprises fail count bits indicating the number of re-write attempts associated with a data w'ord. In this w'ay, memory' device 100 may try only a specified number of re-write attempts. In another embodiment, el register comprises bits indicating that the associated data word has not been verified due to row address change and should be verified.
[0085] The e2 register 106 is coupled to el register 104 and may also be coupled to memory bank 102. The e2 register 106 comprises an array of data storage elements comprising data bits and may be implemented by volatile and non-volatile RAM technologies. The e2 register 106 may also comprise an ECC block and CAM The e2 register 106 may comprise data words, associated addresses, and control bits. Typically, e2 register 106 will comprise a non-volatile memory' technology, for example STT-MRAM. In one embodiment, the e2 register may be located off the memor ' chip and on a system card or even on the CPU. In other words, the e2 register can be located on a different chip besides the memory chip.
[00861 The e2 register 106 stores data words and associated addresses relocated from el register 104. In another embodiment, rather than storing data words and associated data words from el register 104, e2 register 106 remaps those data words to addresses within memory bank 102. For example, e2 register 106 may store remap addresses in memory bank 102. The e2 register 106 then temporarily stores a data word from el register and then writes it to an appropriate remap address in memory bank 102. When a data word should be read, e2 register contains the appropriate remap address for reading the data word from memory bank 102.
[0087] Data words and associated addresses may be relocated to e2 register 106 or remapped based on different conditions. In one embodiment, el register 104 relocates data words and associated addresses to e2 register 106 because the data words failed to write to memory' bank 102 after the specified number of re-write attempts.
[0088] In another embodiment, el register 104 relocates data words and associated addresses to e2 register 106 because power down signal 116 indicates that data word and associated address should be moved to non-volatile memory, such as e2 register 106 comprising STT- MRAM. In one embodiment, el register 104 may attempt to process any pending verifies or re write attempts associated with data words stored in e 1 prior to relocating the contents of the e 1 register to the e2 register upon receipt of the power down signal. In other words, when a power down signal is received, but before the chip powers down, the e 1 register can, in one embodiment, attempt to process all the entries within the e l register prior to storing the entries in the e2 register. For example, the e 1 register may attempt sending data words associated with any pending re-write operations or verify operations to the pipeline structure to process them prior to moving them to the e2 register. Any operations that are successfully processed may then be deleted, overwritten or invalidated from the el register and would not need to be stored in the e2 register. Any operations that are not successfully processed on power down, will be stored in the e2 register.
[0089] In another embodiment, el register 104 relocates data words and associated addresses to e2 register 106 because el register 104 lacks space. One of ordinary skill in the art will understand that desired control bits may also be relocated with associated data word. In another embodiment, if data word fails to write to a physical address within e2 register 106 after a predetermined number of write attempts a different physical address may be chosen for data word. [0090] The e2 register 106 may also be coupled to an input register of a pipeline structure. In this way, e2 register 106 may receive control signals indicating that a write operation for a data word sharing a common associated address with a data word within e2 register 106 may be occurring. Thus, control bits within e2 register 106 may indicate that a data word within e2 register 106 is invalid because of a system write operation.
[0091 ] Memory device 100 also communicates using exemplary' signals 108-1 18 Address signal 108 comprises address within memory bank 102 of data to be written to or read from (or otherwise manipulated). Data word signal 110 comprises a data word to be written to (or otherwise manipulated) memory bank 102 Clock signal 1 12 comprises a memory' device 100 clock signal or other clock signal (such as for specific components within memos}' device 100). Write and chip select signals 114 comprise signals used to determine the operation to be performed within memory bank 102 For example, if write signal is high and chip select signal is low a read operation might be performed on memory bank 102.
[0092] Power down signal 116 indicates whether power will be removed from memory device 100 or specific components within snesnory device 100. Thus, pow'er down signal 1 16 may be used to determine that contents of el register 104 should be written to memory or e2 register 106. As mentioned above, the el register 104 may attempt to process any pending verifies or re write attempts associated with data words stored in e 1 prior to relocating the contents of the e 1 register to the e2 register upon receipt of the power down signal but before the device powers down.
[0093] Power up signal 118 indicates that power is provided to memory device 100. Power up signal may indicate that e2 non-volatile memory contents should be loaded to e2 volatile memory . One of ordinary' skill in the art will recognize that the specific signals 108-1 18 may be modified without departing from the present disclosure. In one embodiment, upon receipt of the power up signal and before loading the memory contents to e2 volatile memory, another attempt is made to process any pending verifies or re-write attempts associated with data words stored in the e2 register. If the e2 register is connected to the pipeline structure, the attempts to process the data w'ords m the e2 register may occur directly from tire e2 register. In a different embodiment, the e2 register may need to move its contents to the el register prior to attempting the verify and re-write operations through the pipeline.
[0094] Power down signal 116 may indicate that e2 register 106 volatile memory contents should be moved to e2 register 106 non-volatile memory . For example, e2 register 106 volatile memory contents not already stored in e2 non-volatile memory may be moved to e2 register 106 non-volatile memory. Again, in one embodiment, if the e2 register is connected to the pipeline structure, upon receipt of the power down signal, the e2 register may attempt to process any pending verify or re-write operations prior to moving the contents into non-volatile memory.
[00951 In another embodiment, power down signal 1 16 may indicate that e2 register 106 contents should he moved to non-volatile memory bank 102.
[0096] In another embodiment, power down signal 116 may indicate that certain data words within el register 104 should be verified to memory bank 102. In another embodiment, power down signal 116 indicates that certain data words within el register 104 should be re-written to memory bank 102. If the verify or re-w'rite operations are unsuccessful, as mentioned above, the data words associated with those operations would then be moved to the e2 register upon power down.
[0097] Fig. 9 is a block diagram of exemplary memor device of the present disclosure having a single dynamic redundancy register (e l register 904 in this case). Fig. 9 show's memory devices 900 described herein that includes memory bank 902 and el register 904. As compared to the embodiment shown in Fig. 1 , the embodiment of Fig. 9 does not comprise an e2 register. Instead memory bank 902 comprises a secure memory storage area 932 that may be reserved for the el register to relocate data words, associated addresses, and control bits. In one embodiment, the reserved secured memory7 storage area 932 performs substantially the same function as the e2 register described in Fig. 1. However, instead of dedicating a separate dedicated register, the el register is able to relocate its contents to a secured location in memory as will be further described below.
[0098] Additionally, memos}7 device 900 communicates with data signals, for example, address signal 908, data word signal 910, clock signal 912, write and chip select signals 914, power down signal 916, and powor up signal 918. Note that Fig. 9 illustrates certain teachings of the present disclosure. However, it should be understood that the specific signals 908-918 illustrated may be modified by those with ordinary skill in the art without departing from the teachings of the present disclosure. Moreover, other communication interfaces, for example a double data rate (DDR) interface, to the memory device may be used. Although shown with only one memory bank (memory bank 102), memory device 900 may comprise one or more memory banks. Note further that while write and chip select signals have been lumped into one signal 914 in Figure 9, write, chip select and read may all comprise separate signals to memory device 900.
[0099] Memory bank 902 comprises an array of data storage elements comprising data bits and may be implemented by volatile or non-volatile RAM technologies such as static random-access memory (SRAM), dynamic random-access memory (DRAM), resistive random-access memory (RRAM), phase-change memory (PCM), MRAM, STT-MRAM, or other RAM technologies. In an exemplar)' embodiment, memory bank 902 may include an error correcting code block (not pictured). As noted above, the teachings of the present disclosure are especially beneficial when memory' bank 902 comprises STT-MRAM which suffers from an inherently stochastic write mechanism, wherein bits have certain probability of write failure on any given write cycle. The teachings of the present disclosure allow memory' bank 902 to be operated with high WER. However, many such errors can be corrected using the teachings of the present disclosure. Operating with high WER may allow memory bank 902 to operate under other beneficial conditions. For example, memory bank 902 could operate under high write speed, low write voltage (which may enable higher bitcell endurance), reducing ECC bits, or increased ECC decode speed, among other beneficial conditions.
[00100] In one embodiment, memory bank 902 may comprise 65,536x50 addressable bits for instance. Further, the 50 bits comprise a 32-bit data word and 18 parity bits for error correction. Operations may be performed on memory' bank 902 including system read, system write, re write and verify operations, among other data manipulations. A particular operation, for example a write operation, may occur at a particular address within memory bank 902. The operation may have a row address, indicating a word line, and a column address. The address for write operations may be communicated through a write port of memory' bank 902, The address for read or verify operations may be communicated through a read port of memory' bank 902.
[ 001011 In one embodiment, memory bank 902 comprises a pseudo-dual port memory' bank allowing memory device 900 to simultaneously (e g., substantially within a memory device clock cycle) perform a write operation and a verify operation sharing a common row (word line) address. System read operations to memory bank 902 generally supersede write and verify operations. Thus, system read operation would be performed before the scheduled write and verify operations. Write and verify operation could then happen on a subsequent clock cycle.
As explained above, a pseudo-dual port memory' bank can used to implement the write and verify operation on the same clock cycle.
[00102] The el register 904 is coupled to memoiy bank 902. Hie el register 904 comprises an array of data storage elements comprising data bits and may be implemented by volatile and non volatile RAM technologies. The el register 904 may also comprise control bits and
communicate using control signals that maintain consistency of operations within memory device 900. Typically, data is more reliably written to el register 904 than within memory bank 902. Thus, if memory' bank 902 comprises STT-MRAM, then el register 904 might comprise SRAM. In other embodiments, e l register may comprise non-volatile RAM such as STT-RAM The e 1 register may also comprise a dual-port STT-RAM to allow simultaneous read and write operations. In this case, e l register 904 can ran at the same cycle throughput speed as a memory bank. Tire el register 904 may also comprise content addressable memory' (CAM).
[00103] Generally , e 1 register 904 stores data words and associated addresses for data in memor bank 902 that have not been verified or have failed verification. In one embodiment, el register 904 may store data words that have not been verified. For example, e 1 register 904 receives a ROWchg signal that indicates row address change within a pipeline structure of the present disclosure. The ROWchg signal indicates that the data word and tire associated address from the pipeline structure should be stored within el register 904. The ROWchg signal may also indicate that that another data word and associated address should be transmitted from e 1 register 904 to the pipeline structure for a verify operation. If a pseudo-dual port memory bank is used, el register 904 may choose a data word and an associated address such that they share a common row address with a data word in the write register of the pipeline structure. In this way, a write operation and a verify operation can be simultaneously performed since the data words share a common row address
[00104] In another embodiment, e 1 register 904 may store data words that have failed verification. For example, the pipeline structure may transmit a signal to e l register 904 indicating that a data word has failed to write (e.g , failed verification) to memory bank 902.
The pipeline structure may also transmit data word and associated address (in the case that e l register 904 does not already contain the data word and associated address) to el register 904 in order to later try to re-write the data word to memory bank 902. In another example, a read operation may occur and pipeline structure may determine that the read operation did not occur within a predetermined error budget. Hie pipeline structure may then transmit a signal indicating that the read operation failed to occur wdthin the error budget and transmit the data word and associated address to el register 904 for storage.
[00105] From the preceding, one of ordinary skill in the art will understand that e l register 904 may store data words and associated addresses for future verification. For example, a data word may not have had an opportunity to verify due to a row address change. Thus, el register 904 may transmit the data word and associated address to the pipeline structure of the present disclosure during some subsequent clock cycle to verify the data word. Typically, a control signal may indicate to el register 904 that a row address change will occur or that memory bank 902 will become inactive during subsequent clock cycles. The e l register 904 may then determine the appropriate data word sharing a common row address with the data word to be written (in case of row address change) during the subsequent clock cycle. The el register 904 then transmits the data word and associated address to verify register of the pipeline structure. In one embodiment, el register 904 may also transmit the physical address within el register 904 if the data word is already stored within e l register 904. In this way, control bits associated with the data word may be updated.
|0Q1Q6] From the preceding, a person skilled in the art will understand that el register 904 may also store data words for future re-write attempts. The el register 904 may receive data words that have failed verification from the pipeline structure of the present disclosure. Memory device 900 may have attempted a write operation and the data word failed a verify operation. Memory device 900 may also have attempted a read operation and the data word may have failed to read within a specified error budget. In both cases, the pipeline structure of the present disclosure may transmit the data word to el register 904. Memory bank 902 may become inactive during a subsequent cycle. The el register 904 may then detennine an appropriate data word to attempt to re-write to memory bank 902. In this case, el register 904 may transmit a data word and associated address to the pipeline structure. The el register 904 transmits the data word such that a write register could re-write the data word during the clock cycle that memory' bank 902 would otherwise be inactive.
[00107] In the embodiment of Figure 9, the e l register 902 may relocate data words, associated addresses, and control bits to secure memory' storage 932 in memory' bank 902. If no more re write attempts are desired, e I register 904 may relocate data word and associated address to secure memory storage 332. The el register may also relocate data to secure memory' storage 932 on power down so that data is stored in non-volatile memory in the case that el register 104 comprises volatile memory. As mentioned above, generally, el register 904 stores data words and associated addresses for data in memory' bank 902 that have not been verified or have failed verification.
[00108] PROCESSING OPERATIONS PENDING IN A DYNAMIC REDUNDANCY REGISTER PRIOR TO POWERING DOWN
[00109] In one embodiment, upon receiving the power down signal, the el register 904 may attempt to perform all the pending operations associated with the data w'ords stored in the el register prior to the device shuting down. For example, the el register may attempt to store all the data words to be re-written back into memory to the targeted locations the memory bank 102 using the associated addresses for the data w'ords (also stored within el). It should be noted, however, that prior to attempting pending operations stored in the e 1 register, the memory device will first flush out the pipeline and finish up any pending operations in the pipeline from before the power down signal was received.
[00110] Note that, in one embodiment, the power down signal originates from a system level software stack and represents a system wide orderly power down event. However, in a different embodiment, the power down signal may not be part of a system wide orderly power down event. In other words, an analog detector may be configured to monitor the power level of the chip (e.g., a V CC power supply pin) and initiate a power down sequence if the power level of the chip falls below a certain threshold level, e.g., falls 10% or more. Further, one or more capacitors may be configured to hold charge in order to sustain the power level above a threshold level, which allows the entire power down sequence to finish to completion.
[00111] In one embodiment, a status pin(s) or register may be configured that allows the system to determine whether a power down sequence is complete. This status pin(s) or register may be used whether the shut down sequence is a result of an orderly shut down process or not. The status pin(s) or register may, for example, be associated with a timer that is set to allow the system enough time to run the entire power down sequence to completion
[00112] As mentioned above, the el register may comprise data words that have not yet been verified or that have failed verification. Upon receiving the power down signal, but before powering down, the el register may attempt to perform all the pending verify operations and move all the data words associated with pending re-write operations (e.g. operations that have failed verification) stored within it to the appropriate corresponding locations in the memory bank. In this embodiment, the power down sequence will typically take longer because it may take a few* cycles for the e 1 register to attempt to perform all the pending verify or re-write operations. In one embodiment, the power down signal 916 from the user or system warns the el register 904 to expect a shut down sequence. Upon notification of the power down signal, the el register can then attempt to perform the pending verify' and re-write operations. In one embodiment, an option bit (or bits) or pin(s) (not shown) is provided to the user to disable the processing of the contents of the el register prior to shutting down. For example, if a user wants to avoid a long power down sequence, an option may be provided to disable this scheme. By way of further example, the option bit(s) may be used to disable the scheme during a test mode [00113] In one embodiment, the el register may simply transmit the data words to the appropriate registers in the pipeline structure. For example, a data word to be re-written into the memory bank may be transmitted to the write register in the pipeline from the el register. From the pipeline, the data can be directed to the targeted locations within the memory bank 902. [00114] Another data word to be verified may be transferred to the verify register in the pipeline. Further details regarding the pipeline are provided in connection with Fig. 5.
[00115] In one embodiment, if the verify operations or the attempt to re-write the data words back to memory succeed, the corresponding entries for the data words m the el register may be deleted prior to shutting down. In other words, any data words that were successfully re-written or verified can be deleted from the el register prior to shutting down. Any data words in the el register that could not be successfully re-written or verified prior to shuting down will be stored in secure memory storage area 932. In one embodiment, where el comprises volatile memory, the data words that were successfully re-written or verified do not need to be pro-actively deleted, instead they will be deleted automatically once the power down sequence completes.
[00116] Memory bank 902 can comprise a secure area reserved for el register to transfer its contents into upon shuting down. In one embodiment, the memory device 900 ensures that data is written securely to the secure memory storage area 932 by using one or multiple schemes including voting, error-correcting code (ECC), or storing multiple copies. For example, in one embodiment, multiple copies of each of the data words can be written into secure memory' storage area 932. When one of the data words needs to be read, each of the copies of the data word are read from the secure memoiy storage area and compared to determine if the data between all the copies is consistent. In case of inconsistency, a voting scheme is used to determine the correct data word. In other words, the most frequently occurring version of the data word between the various copies is selected as the data word. In another embodiment,
ECC is used to ensure that the data words are error corrected to ensure that they are writen accurately into the secure memory storage area 932.
[00117] PROCESSING OPERATIONS PENDING IN SECURED MEMORY LOCATION UPON POWERING UP USING A DYNAMIC REDUNDANCY REGISTER
[00118] In one embodiment, upon power up of the memory device and receipt of power up signal 918, but before the device enters mission mode (or starts accepting commands), another attempt can be made to perform all the pending re-write or verify' using the associated addresses for the data words. As mentioned previously, subsequent to powering down, the secure memory storage area will comprise data words (with their associated addresses) that have not yet been verified or that have failed verification. The pending re-write or verify' operations wall now' be stored in non-volatile memory' in secure memory storage area 932 where they were re-located to following power down. The verify and re-write operations can be directly attempted from the secure memory' storage area 932 or they can be recalled to the e 1 register prior to processing the pending operations. [00119] If the contents of secure storage area 932 are m oved to the e 1 register prior to re attempting the pending operations, subsequent to the receipt of the power up signal 918, the el register may attempt to perform all the pending verify operations and move all the data words associated with pending re-write operations (e.g. operations that have failed verification) to the appropriate corresponding locations in the memory bank.
[00120] Alternatively, secure memory storage area 932 may be connected to the pipeline structure and the data words for the pending operations can be directed directly from secure memory storage area 932 to the pipeline structure. For example, a re-write operation can be sent directly from the secure memor ' storage area to a write register in the pipeline structure.
Similarly, a pending verify operation may be sent to a verify register in the pipeline structure directly from the secure memory storage area. The verify and re-write operations that do not complete successfully can be transferred to the el register. In other words, the verify and re write operations that cannot complete in the specified amount of time are transferred to the el register.
[00121] It should be noted that power up sequence in this scheme will typically take longer because it may take a few cycles to attempt to perform all the pending verify or re-write operations.
[00122] In one embodiment, an option bit(s) or pin(s) (not shown) can be set to determine whether to enable or disable this feature. Some users, for example, may not want a long power up sequence. In such cases, an option bit may be provided to users to disable tins feature.
[00123] In one embodiment, the data words associated with pending operations may be simply transmitted to the appropriate registers in the pipeline structure (from either the el register or the secured memory' storage). From the pipeline, the data can be directed to their targeted locations within the memory' bank 902.
[00124] In one embodiment, if the attempt to verify or write the data words back to memory' on power up succeeds, the corresponding entries for the data words in the secure memory storage area 932 or the e 1 register may be deleted prior to shutting down. In oilier words, any data words that were successfully re-written or verified can be deleted from both the secure memory storage area 932 and the el register 904. Any data words that could not be successfully re written or verified subsequent to powering up will be stored in the e 1 register.
[00125] PERFORMING A BLIND SAVE INTO A DYNAMIC REDUNDANCY REGISTER ON POWER DOWN AND A BLIND RECALL INTO A DYNAMIC REDUNDANCY REGISTER ON POWER UP [00126] In one embodiment, instead of attempting to process entries in the el register on power down, the memory device blindly transfers all the contents of the e 1 register into secure memory storage area 932 on power down. As mentioned previously, the power down signal 916 can be used to indicate that a power down sequence is expected. In response to the power down signal, el register 904 can dump the entirely of its contents into secure memory storage area 932. The blind save on power down will typically require more time than a regular power down sequence, but will not consume as many cycles as trying to execute pending operations in the el register prior to shut down.
[00127] In one embodiment, the memory device 900 ensures that data is written securely to the secure memory storage area 932 by using one or multiple schemes including voting, error- correcting code (ECC), or storing multiple copies. For example, in one embodiment, multiple copies of each of the data words can be written into secure memory storage area 932. When one of the data words needs to be read, each of the copies of the data word are read from the secure memory storage area and compared to determine if the data between all the copies is consistent. In case of inconsistency, a voting scheme can be used to determine the correct data werd. In other words, the most frequently occurring version of the data word between the various copies is selected as the data word. In another embodiment, ECC is used to ensure that the data werds are error corrected to ensure that they are written accurately into the secure memory storage area 932.
[00128] In one embodiment, instead of attempting to process pending verify and re-write entries from the secure memor storage area 932 on power up, the memory device blindly transfers all the contents from the secure area of the memory array into the el register. In other words, no attempt is made to process the operations associated with the data words stored in the secure memory storage area 932 on power up. The data words are simply saved to the el register.
[00129] It should be noted that the design for memory device 900 does not necessitate attempting pending verify and re-write operations on both shut down and power up. In other words, memory device may attempt to process pending re-w'rite and verity operations only on power up, but not on power down. Alternatively, in one embodiment, memory device may attempt pending operations only on power down, but not during the power up sequence (e.g., not before the device enters mission mode). In a different embodiment, memory device may attempt pending operations both on power down and power up. In cases where the pending verify and re-write operations are not processed, the corresponding data werds are either transferred directly from the e 1 register to the secure memory storage area 932 (on power down) or from the secure memory storage area to the el register (on power up). [00130] The e 1 register 904 may also relocate data to secure memos·)' storage 932 in the ease that el register 904 lacks space for data words. The el register comprises control bits and communicates using control signals. In one embodiment, el register comprises valid bits indicating whether the associated data word is a valid entry within el register. In another embodiment, e I register comprises fail count bits indicating tire number of re-write attempts associated with a data word. In this way, memory device 900 may try only a specified number of re-write atempts. In another embodiment, el register comprises bits indicating that the associated data word has not been verified due to row address change and should be verified.
[00131] Memory device 900 also communicates using exemplary signals 908-918 Address signal 908 comprises address within memory bank 902 of data to be written to or read from (or otherwise manipulated). Data word signal 910 comprises a data word to be written to (or otherwise manipulated) memory bank 902 Clock signal 912 comprises a memory device 900 clock signal or other clock signal (such as for specific components within memory device 900). Write and chip select signals 914 comprise signals used to determine the operation to be performed within memory bank 902 For example, if write signal is high and chip select signal is low a read operation might be performed on memory bank 902. Note that in such case write and chip select signals can be separate signals.
[00132] Power down signal 916 indicates whether power will be removed from memory device 900 or specific components within memory device 900 in accordance with an orderly shut down. Thus, power down signal 916 may be used to determine that contents of el register 904 should be written to secure memory storage area 932 as detailed above. Further, as detailed above, in one embodiment, power down signal 916 may indicate that certain data words within e 1 register 904 should be verified to memory bank 902. In another embodiment, power down signal 916 indicates that certain data words within el register 904 should be re-written to memory bank 902.
[00133] Power up signal 918 indicates that power is provided to memory device 900. Power up signal may indicate that contents of the non-volatile secure memory storage area 932. should be loaded to the el volatile memory . Further, as detailed above, in one embodiment, power up signal 918 may indicate that certain data words within secure memory storage 932 should be verified to memory bank 902. In another embodiment, power up signal 918 indicates that certain data words within secure memory storage 932 should be re-written to memory bank 902.
[00134] One of ordinary skill in the art will recognize that the specific signals 908-918 may be modified without departing from the present disclosure. [00135] Fig. 10 depicts an exemplary embodiment for a process flow 1000 showing the processing of pending memory related operations in a dynamic redundancy register on power down in an exemplar ' memory' device of the present disclosure.
[00136] At step 1002, a power down signal 916 is received. As stated above, the power down signal originates from a system level software stack and represents a system wide orderly power down event. In a different embodiment, the power down sequence is initiated when an analog detector detects that the operating power of the ch ip has fallen below a threshold level as noted above. At step 1004, the memory device 900 determines if an option bit or pin is set for enabling the processing of pending operations in a dynamic redundancy register prior to shutting down.
[00137] If the option bit or pin is set, then at step 1006 the memory device processes data words associated with pending verify operations in the el register 904. In other words, any verifies for which corresponding data w'ords and addresses are stored in the el register 904 are attempted prior to powering down. Similarly, at step 1008, the memory device processes any pending re write operations in the el register. Data words corresponding to any verifies or re-writes that are successful are deleted from tire dynamic redundancy register at step 1010. As noted above, if the dynamic redundancy register comprises volatile memory then a pro-active deletion step is not necessary . At step 1012, the remaining data words, if any, corresponding to operations that did not complete successfully are transferred to non-volatile secure memory storage area 932.
As noted previously, operations may not complete successfully because of certain specification mandated time limits on the power down sequence. At step 1018, the memory device is ready for power down and/or powers down.
[00138] Alternatively, if at step 1004, the option bit is not set, then at step 1014 all the contents of the el register are re-located directly to the non-volatile secure memory storage area 932 without attempting any of the verify and re-write operations associated with data words stored in the el register. At step 1016, the memory device powers down.
[00139] Fig. 11 depicts an exemplary embodiment for a process flow 1 100 showing the processing of pending memory related operations in a secure memory7 storage area on power up using a dynamic redundancy register in an exemplary memory device of the present disclosure.
[00140] At step 1102, a power up signal 918 is received from system level resources. At step 1104, the memory device 900 determines if an option bit is set for enabling the processing of pending operations in a secure memory storage area using a dynamic redundancy register prior to powering up. [00141] If the option bit is set, then at step 1106 the memory device processes data words associated with pending verify operations in the secure memory storage area 932. In other words, any verifies for which corresponding data words and addresses are stored in the secure memory are attempted prior to powering up. Similarly, at step 1008, the memory device processes any pending re-write operations in the secure memory area 932. As noted above, the data words and addresses associated with the pending verify and re-write operations can be injected directly into the pipeline structure from the secure memory storage area. Alternatively, in a different embodiment, the verify and re-write operations can be attempted by first transferring the corresponding data words and addresses to a dynamic redundancy register, e.g. the el register, then to the pipeline.
[00142] Data words corresponding to any verifies or re-writes that are successful are deleted from the secure memor ' storage area 932 (or the e 1 register if transferred there prior to attempting the operations) at step 11 10. If the verifies and re-writes are attempted directly from the secure memory' storage, then at step 1112, the remaining data w'ords corresponding to operations that did not complete successfully are transferred to the el register. As noted previously, operations may not complete successfully because of certain specification mandated time limits on the power up sequence. At step 1112, the memory device is ready to power up and/or powers up.
[00143] Alternatively, if at step 1104, the option bit is not set, then at step 1114 all the contents of the secure memory storage area 932 are re-located directly to the dynamic redundancy register without attempting any of the verify and re-write operations associated with data words stored m the secure memory storage. At step 1116, the memory device powers down.
[00144] Fig. 12 depicts an exemplary' embodiment for a process flow 1200 showing the processing of performing a blind save of the contents of a dynamic redundancy register on power down in an exemplary' memory device of the present disclosure. Upon receipt of a power down signal 916 at step 1206, ail the contents of the dynamic redundancy register (e.g. the el register) are transferred to secure storage location 932 without attempting to perform any of the operations associated with the data words stored in the el register. At step 1206, the memory device is then powered off.
[00145] Fig. 13 depicts an exemplary embodiment for a process flow 1300 showing the processing of performing a blind recall of the contents of the memory bank into a dynamic redundancy register on power up in an exemplary memory device of the present disclosure.
Upon receipt of a power up signal 918 at step 1310, at step 1312, all the contents of the secure memory storage area 932 are transferred to the dynamic redundancy register (e.g. the el register) without attempting to perform any of the operations associated with the data words stored in the secure memory storage area. At step 1314, the memory device is then powered off.
[00146] Fig. 2 depicts an exemplary embodiment for a process flow showing a write operation using an exemplary memory device of the present disclosure and i llustrates the high-level write operation performed on a memory device. In step 202, a write operation to be performed on primary memory (e.g., input register to memory bank 102) exists within a memory device. In step 202, the system write operation may be performed on primary memory. In step 204, it is determined whether system write operation was successful. For example, a verify operation could determine whether the write operation successfully occurred (for example, whe ther the data word was written with an acceptable error budget or perfectly) within primary memory. If the write operation was successful, process flow 200 proceeds to end step 210. On the other hand, if the w7rite operation was unsuccessful, a determination is made whether write operation should be retried in step 206. One retry is illustrated during process flow 200 of Fig. 2, but as many tries to write data into memory bank may be tried as desired (0 to n retries). If a retry should be tried, the data will be written from el register to primary memory when process flow 200 returns to step 202. From this description a person having ordinary skill in the art will understand the operation of steps 202-206 and 210. Ho 'ever, in some instances, a write operation from e 1 register to primary rnemoiy may be unsuccessful despite the total desired number of retries. In that case, if a detennination is made at step 206 that no more tries should be made to write data from e 1 register to primary memory, process flow7 200 will proceed to step 208. In step 208, data is written to alternate storage (e.g., from el register to e2 register).
[00147] Fig. 3 is a block diagram of exemplary embodiment of a memory7 device 300 of the present disclosure having dynamic redundancy registers. Fig. 3 is a block diagram of memory device 300 described herein that include memory banks 304 and 306, pipeline banks 308 and 310, input register 312, el register 314, and e2 register 316. Memory device 300 communicates using signals 318-324. Memory device 300 includes ports 326-336 for performing read, write, and verify (or other data manipulation) operations on memory banks 304 and 306. Memory device 300 is described herein to describe aspects of the present disclosure. One of ordinary skill wOuld understand how to modify memory device 300 without departing from the teachings of the present disclosure. Thus, for example, the specific signals 318-324 may be modified by those with ordinary7 skill in the art without departing from the teachings of the present disclosure. Although shown with only two dynamic redundancy registers here (e I register 314 and e2 register 316) and two memory banks (memory banks 304 and 306), memory7 device 300 may comprise two or more dynamic redundancy registers and one or more memory banks. In one embodiment, memory' device may only comprise a single dynamic redundancy register as discussed above.
[00148] Memory banks 304 and 306 have previously been described with respect to Fig. 1. Memory- banks 304 and 306 also include two ports (326 and 32.8; 332 and 334, respectively) for performing read, write, and verify (or other data manipulation) operations. Memory bank 304 could, for example, comprise data words having even addresses while memory bank 306 comprises data words having odd addresses. TWO ports 326 and 328 of memory bank 304 are coupled to bit lines of memory bank 304. Likewise, two ports 332 and 334 of memory bank 306 are coupled to bit lines of memory bank 306. Although shown with one read and one write port per memory bank, memory' device 300 may comprise any desired number of read and write ports. For example, one embodiment, memory device can comprise two write ports and a single read port. In one embodiment, a dual port memory bank is used. Thus, each port 326-336 could perform simultaneous read and write operations. However, one of ordinar- skill in the art will understand that the discussion proceeds with pseudo-dual port memory banks 304-306 in mind to highlight specific teachings of the present disclosure. The Y-mux structure of the present disclosure allows pseudo-dual port memory- banks 304-308 to perform simultaneous write and verify operations sharing common row address and different column address. As explained above, a pseudo-dual port memory bank may have one port optimized to perform write operations and another port optimized to perform read operations
[00149] As shown in Fig. 3, the memory device may comprise two memory- banks.
Alternatively, the memory- device may comprise several memory- banks, e.g., 2, 4, 8, 16 etc. In one embodiment, each memory- bank will be associated its own respective pipeline. In another embodiment, each memory bank will be associated with a dedicated pipeline and a dedicate device redundancy register. In oilier w-ords, the memory device will contain an el register for each of the memory banks. If each memory bank has a dedicated el register, the size of each of the el registers w-ill likely be smaller than an el register that services all memory banks. This will likely increase re-write and verify efficiency.
[00150] With respect to memory bank 304, write port 326 allows transmi ssion of signals comprising w-rite address and write data to memory bank 304 from pipeline bank 308. Port 328 allows transmission of data signals comprising read address or verify address to memory bank 304 from pipeline bank 308. Port 330 allows transm ission of data signals comprising read data word from memory bank 304 to pipeline bank 308.
[00151] Pipeline banks 308 and 310 comprise data registers for implementing the write, read, and verify (and other data manipulation) operations of the present disclosure. Pipeline banks 308 and 310 are coupled to memory banks 304 and 306, respectively, using pseudo-dual port structures, as explained above, for providing simultaneous write and verify operations.
Moreover, pipeline banks 308 and 310 are coupled to input register 312. As explained in connection with Fig. 5, pipeline banks 308 and 310 implement a pipeline structure that allows verify and write operations to be simultaneously performed on memory banks 304 and 306. Moreover, pipeline banks communicate with el register 314 to implement a pipeline structure of the present disclosure.
[00152] Input register 312 comprises data storage elements comprising data bits. Input register comprises a data word, an associated addresses within memory banks, and control bits indicating a system operation such as system read or system write. For example, input register 312 may comprise a data word to be written to memory banks (received from data signal 322), the address of the data (received from address signal 324), and control bits. Input register 312 may be coupled to pipeline bank 308 and pipeline bank 310 to communicate a data word, its associated address, and control bits. One of ordinary' skill in the art will recognize that other connections are possible and consistent with the teachings of the present disclosure and the specific connections are shown for ease of understanding. For example, input register 312 may be coupled to e 1 register 314 for transferring the associated address of data word to e 1 register 312 and control signals.
[00153] The el register 314 has been described in connection with Fig. 1, and will also be further described m connection with Fig. 7. The el register 314 is coupled to pipeline banks 308 and 310 and e2 register 316. The el register 314 comprises data storage elements comprising data bits. For example, e 1 register 314 may comprise data word and associated addresses for data words that have failed to verify correctly within memory banks 304 and 306. The el register 314 may comprise data words and associated addresses for data words that have not yet been verified within memory banks 304 and 306. The el register 314 may also comprise data words and associated addresses for data words that have failed to read from memory banks 304 and 306 within an associated error budget.
[00154] The e2 register 316 has been described in connection with Fig. 1, and will also further be described in connection with Fig. 8. The e2 register 316 may be coupled to el register 314.
As noted above, the e2 register 316 can, in one embodiment, be optional. The e2 register 316 comprises data storage elements comprising data bits. The e2 register 316 comprises data words, associated addresses, and control bits. These data words have typically failed to write to memory' banks 304 and 306. These words may have also been written from el register 314 to e2 register 316 because of power down of memory device 300 or lack of space w'ithin el register. In one embodiment, e2 register 316 may optionally be coupled to pipeline banks 308 and 310 or memory banks 304 and 306 in order to write data words (or other signals). For example, rather than storing data words and associated address from el register 316, e2 register may store remap addresses within memor ' banks 304 and 306 for writing directly to memory banks through a remap process in another embodiment, e2 register 316 writes data to memory banks 304 and 306 during power down.
[00155] Fig. 4 is a block diagram of exemplary' embodiment of a memory' device of the present disclosure showing a Y-mux structure. The Y-mux structure of the present disclosure allows pseudo-dual port memory banks to perform simultaneous write and verify operations sharing common row address and different column address. Accordingly, the Y-mux structure prevents the e 1 register from overflowing by allowing both a write and verify operation to take place in the same cycle (provided they share a common row' address). Fig. 4 show's portion of memory- device 400 comprising memory' bank 402, row' decoder 404, write column decoder and y-mux 406, read column decoder and y-mux 408, and muxes 410-412.
[00156] Fig. 4 show's a Y -mux structure for decoders 406-408. As mentioned above, the Y -mux stracture allows simultaneous verify and write operations for data words sharing a common row' address (word line) in the memory' bank but different column address. In one embodiment, one set of x addresses (common row address) and two sets of y addresses (one for the write and another for the verify operation) are inputted into the Y -mux structure. The row' address (x address) for both the verify and the write operation need to be the same. Further, the addresses for verify and write operations need to address different columns. In other words, the verify' and write operation cannot be performed at the same column address. In one embodiment, instead of a pseudo-dual port memory bank utilizing the Y-mux structure, a dual ported memory bank can be used that allow's two writes or two reads to be performed simultaneously.
[00157] Memory' bank 402. is coupled to decoders 404-408. Row' decoder 404 takes as an input the row' of address for data word that is to be written to or read or verified from memory bank 402. Row decoder then determines appropriate row for the data word. In various embodiments, a data word is a pre-defined number of bits for a piece of information handled by a memory device. For example, a data word may comprise 8, 16, 24, etc. bits. The size of a data word is dependent on the memory' device and may be varied as necessary.
[00158] Mux 410 is coupled to row decoder 404. Mux 410 takes as inputs the pipeline row address (Pipeline A Row') and read row' address (Read A Row). Pipeline row address indicates the row' address for data w'ords received from the pipeline for either a write or verify operation. Typically, the pipeline row' address indicates a shared row address between a data word to be written to memor ' bank 402 and another data word to be simultaneously verified in memory bank 402. Read row address indicates a row address for a data word to be read from memory' bank 402. Read row address generally takes precedence over pipeline row address when pseudo-dual port memory bank 402 is used. Mux 410 then outputs appropriate row address to row decoder 404. Row address decoder 404 then activates the appropriate row' in memory' bank 402. Appropriate activation schemes will be known to those with ordinary skill in the art
[00159] Write column decoder and y-mux 406 is coupled to memory bank 402. Write column decoder and y-mux 406 takes as inputs write address column WR A Col and write data WR_D, such as data word. Write address column indicates a column address for a system write or re- write operation received from the pipeline structure of the present disclosure. Write column decoder and y-mux 406 then determines appropriate column address for write operation. Write column decoder and y-mux 406 then activates the appropriate column in memory bank 402. Appropriate activation schemes will be known to those with ordinary' skill m the art.
[00160] Read column decoder and y-mux 408 is coupled to memory' bank 402. Read column decoder and y-mux 408 takes as its input the column address output from mux 412. Read column decoder and y-mux 408 then determines the appropriate column for read operation.
Read column decoder and y-mux 408 then activates the appropriate column in memory' bank 402. Appropriate activation schemes will be known to those with ordinary skill in the art.
[00161] Mux 412 is coupled to read column decoder and y-mux 408. Mux 412 takes as inputs pipeline column address (Pipeline_A_Col) and read column address (Read_A_Col). Pipeline column address indicates column address of data word that should be verified in memory bank 402. Pipeline column address is received from the pipeline structure. Read column address indicates a column address for a data word that should be read from memory' bank 402.
Typically, read column address takes precedence when a pseudo-dual port memory bank 402 is used. Mux 412 outputs signal comprising column address for read operation or verify operation to read column decoder and y-mux 408 Thus, operating together, row and column decoders 404-408 perform operation on specific addresses within memory bank 402 (for example, read, write, or verify).
[00162] One of ordinary skill in the art will understand that the Y-mux structure of column decoders and y-mux 406-408 allows memory bank 402 to be operated as a pseudo-dual port memory' bank. A single port memory' cell may' thus be used, but memory bank 402 may simultaneously perform verify and write operations when those operations share a common row' address but different column addresses. If a dual port memory' bank 402 was used, read and write or verify and write operations could be performed simultaneously (and not necessarily on a common row address). Further, with a dual port memory bank, two writes or two reads could be performed simultaneously as well. As mentioned above, in one embodiment, the pseudo-dual port of the memory bank is designed so that one port is optimized for a read operation and the other port is optimized for a write operation. The port that is optimized for a write operation can also perform reads because write ports typically require a strong driver. However the read port typically cannot perform writes because the driver does not support write operations with higher current requirements.
fOOl 631 A MEMORY DEVICE WITH A PLURALITY OF MEMORY BANKS WHERE EACH MEMORY BANK IS ASSOCIATED WITH A CORRESPONDING MEMORY INSTRUCTION PIPELINE AND A DYNAMIC REDUNDANCY REGISTER
[00164] Fig. 17 illustrates the manner in which a memory bank can be segmented in accordance with an embodiment of the present invention. As shown in Figure 17, a memory bank can be split mto segments, memory bank A 1702 and memory bank B 1703. Instead of being driven by one set of row and column decoders, the memor ' bank is now split into two and driven from both sides with two sets or row and column decoders. The row decoders 1704 and 1754 perform substantially the same function as the row decoder 404 in Figure 4. Similarly, the two segments can each be driven by a Write column decoder and Y-mux (e.g., 1706 and 1726) and a Read column decoder and Y-mux (e.g., 1708 and 1728). The write column decoder and Y-mux and the Read column decoder and Y-mux structures perform substantially the same function as the Write column decoder and Y-mux 406 and the Read column decoder and Y-mux 408 shown in Figure 4.
[00165] Each of the segments may be considered a separate memory' bank. As mentioned above, in an alternate embodiment, the memory device may comprise several memory banks or segments, e.g., 2, 4, 8, 16 etc. In one embodiment, each memory' bank or segment will be associated its own pipeline. In another embodiment, each memory' segment will be associated with a dedicated pipeline and a dedicated device redundancy register. In other words, the memory' device will contain an e l register for each of the memory banks or segments.
[00166] A MEMORY DEVICE WITH A DUAL Y-MULTIPLEXER STRUCTURE FOR PERFORMING TWO SIMULTANEOUS OPERATIONS ON THE SAME ROW OF A MEMORY BANK
[00167] Fig. 18 is a block diagram of exemplary' embodiment of a memory' device of the present disclosure showing the operation of a row' and column decoder in conjunction with a Y-mux structure in accordance with an embodiment of the present invention. As mentioned abo ve, the Y-mux structure of the present disclosure allows pseudo-dual port memory banks to perform simultaneous write and verify operations sharing common row address and different column address. Fig. 18 shows portion of memor device 1800 comprising memory bank 1802, row- decoder 1804, write column decoder and y-mux 1806, and read column decoder and y-mux 1808. Note that memory bank 1802, row decoder 1804, write column decoder and y-mux 1806, and read column decoder and y-mux 1808 perform substantially similar functions as the corresponding components in Figure 4 Further note that write column decoder and y-mux 1806, row- decoder 1804 and read column decoder and y-mux 1808 together comprise a read/write port for the pseudo dual port memory- bank.
[00168] Fig. 18 shows a Y-mux structure for decoders 1806 and 1808. Memory bank 1850 will typically comprise a plurality of rows and column bit-lines. The Y-mux structure allows simultaneous verify and write operations for data words sharing a common row' address (word line) in the memory' bank but different column address. For example, the row- decoder 1804 may activate a row' address 1 50 (an x address). At the same time, column decoder and Y-mux 1806 multiplexes the column bit --lines 1851 based on a column address (WR A COL) to arrive at the column lines associated with the addressed data w-ord in the Y-mux. In other words, the WR A COL signal is used to select the appropriate column bit-lines 1851 to w-rite the data inputted through the WR D signal. In the same cycle as column decoder and Y-mux 1806 are writing a data w-ord to the memory' bank 1802, the read column decoder and Y-mux is used to perform tire verify operation that shares the common ro address (on ro 1 50) as the write operation. For example, the read address 1852 is used to select the appropriate bit-lines for the verify (or read) operation and the result is outputted through the D-out signal. Accordingly, the column decoder and Y-mux 1806 is used to w-rite a data word into the memory- bank 1802 at a row- address 1850 in the same cycle as the read column decoder and Y-mux 1808 is used to verify (or read) a data w-ord from row address 1850
[00169] Fig. 5 is a block diagram of exemplary embodiment of a memory device of the present disclosure showing pipeline structure that allows verification and re-write operations. Fig. 5 shows exemplary- pipeline 500 for implementing the pipeline flow for system write, re-write, and verify operations, among other data manipulation operations. Pipeline 500 is implemented using system operations 502, input register 504, memory pipeline 506, el register 508, and memory- bank 510. Memory- pipeline 506 comprises write register 512, delay- register 514, verify register 516, and verify results register 518. Moreover pipeline 500 comprises compare memory logic 520. [00170] System operation 502 comprises signals for performing a desired operation such as system write and system read, among other data manipulation operations. As such, system operation 502 typically includes signals indicating a data word, the associated data address within memory bank 510, and control signals indicating the operation to be performed on memory bank 510 (such as write or chip select signal), among other signals for performing data manipulation operations and maintaining appropriate states. Typically, the signals from system operation 502 are stored in input register 504. Other configurations for signals from system operation 502 may be used without departing from the scope of the present disclosure.
Moreover, other embodiments of pipeline 500 are possible without departing from the teachings of this disclosure. For example, delay register 514 allows delay between write and verify operation on a data word. STT-MRAM may require a delay between write operations at a particular address and verify operation at the common address. The delay cycle allows data storage elements within memory bank 510 to return to a stable state before performing verify operation. Other RAM technologies, and in some instances STT-MRAM itself, may not require such delay and delay register 514 is not necessary.
[00171] Input register 504 is coupled to write register 512. Input register 504 comprises data storage elements comprising data bits. In certain embodiments, input register 504 can include data bits for a data word, associated address, a valid bit, and other desired control bits. The input register 504 comprises the initial stage of the pipeline.
[00172] In one embodiment, for example, where a pseudo-dual bank memory bank is used, the input register 504 adds a delay in the pipeline that allows the memory’ device time to search for a data word and an associated address in the el register 508 that shares a common row address with a data word (associated with a write operation) in the input register. For example, a write operation may be received into the input register 504 from system operations 502 along with the data word to be written and its corresponding address. The input register provides the requisite delay to be able to search in the e 1 register for a verify’ operation that shares a common row- address with the data word associated with the write operation. As discussed above, el register 904 can receive a ROWchg signal that indicates row address change within a pipeline structure of the present disclosure. The ROWchg signal may indicate that another data word and associated address should be transmitted from el register 904 to the pipeline structure for a verify operation. If a pseudo-dual port memory- bank is used, el register 904 ma choose a data word and an associated address such that they share a common row address with a data w-ord to be written into the write register of the pipeline structure. In this way, a write operation and a verify operation can be simultaneously performed since the data words share a common row address. The input register 504 provides the necessary delay in the pipeline to be able to look for the matching verify operation the e 1 register before the data word to be written is inserted into the write register 512. In other words, the delay of input register 504 allows enough time to search for the matching verify operation in the el register prior to inserting the data words to be written and verified into the write register 512 and the verify register 516 respectively.
[00173] The valid bit indicates whether data manipulation operations such as system write operation should be performed or the register should not be used to perform such operations.
For example, valid bits based on a write signal and chip select signal provided by system operation 502 may indicate whether data word in input register is used for write. Input register 504 may also be coupled to el register 508, for example, to transmit associated address and control bits to el register 508. This associated address and control bits may be used in case of row address change in the pipeline or to invalidate an el register 500 entr with die same associated address, for example. For example, the address and control bits may be used to look for a pending verify operation in the e 1 register that shares a common row address with a data word to be written into the memory bank.
[00174] An active memory' bank of an embodiment of the present disclosure denotes a memory' bank in which a system write or system read is taking place. Thus, an active bank signal (or an active bank bit) prevents re-writes during that clock cycle, and instead indicates that a system write or read will occur during that clock cycle. For example, an active bank signal indicates that write register 512 will write a data word previously received from input register 504 to memory' bank 510 during that clock cycle. Thus, e 1 register knows that data word for re-write operation should not be transmitted to write register 512 during that clock cycle. Input register 504 transmits data word, associated address, and desired control bits to write register 512.
[00175] The e l register 508 has previously been described with respect to Fig. 1 and will be described in conjunction with Fig. 7. The el register 508 is coupled to input register 504, waste register 12, delay register 514, verify register 516, and verify results register 520. The e 1 register 508 may supply data word, associated address of a data word within memory bank 510, and control signals to write register 512, and verify register 516. The e 1 register 508 may receive a data word, its associated address, and control signals from delay register 514 and verify results register 518. The el register 508 may also transmit a physical address within el register 508 in case the data word is already stored within el register 508. Although not shown, if delay register 514 were not used, el register 508 may receive data word, associated address, and control signals from write register 512. Moreover, el register 508 may communicate with input register to receive signals such as data word signal and control signal such as inactive bank signal.
[00176] Write register 512 is coupled to delay register 514 and memory bank 510 In other embodiments, write register 512 may be coupled to verify register 516. Write register 512 comprises data storage elements comprising data bits. Typically, write register 512 comprises data bits for a data word, its associated address, valid bit, and other desired control bits. The valid bit is a valid register bit and may be set to one when write register 512 contents are valid such that write operation may occur. Write register 504 receives data word, associated address, and desired control bits from input register 504 for system write operations. For memory bank clock cycles that write register 504 would not otherwise be writing system data words to that memory bank, el register 508 transmits data words, associated address, and desired control bits to write register 512. This allows write register 512 to attempt re-write operations when write register 512 would not otherwise be writing system data words to memor bank 510. As previously explained, when pseudo-dual port memory bank 510 is used, read operations generally take precedence over write operations from write register 512. Moreover, when pseudo-dual port memory bank 510 is used, write register 512 may perform write operation simultaneously with verify operation performed by verify register 516 if the operations share a common row address. Write register 512 also transmits data word, associated address, and desired control bits to delay register 514 (or verify register 516 if no delay register is used).
[00177] Delay register 514 is coupled to verify register 516 and el register 508. Delay register 514 comprises data storage elements comprising data bits. Typically, delay register 514 comprises a data word, associated address bits, a valid bit, and other desired control bits. Valid bit indicates if delay register 514 contents are valid. The delay register or multiple delay register could provide more clock cycle delay between write and verify. As previously explained, the delay register 514 is optional for RAM technologies that require delay between write and verify operations for a particular address within memory bank 510. If row address change occurs within memory pipeline 504, delay register 514 transmits data word, associated address, and desired control bits to el register 508. Thus, data word may be verified on a later clock cycle when w7rite register will write a data w'ord sharing a common row address. In another embodiment, data w7ord may be verified on a later clock cycle when no verify operation will otherwise occur to the memory bank. If no row address change occurs within memory pipeline 504, after desired delay clock cycles, delay register 514 transmits the data word, associated address, and desired control bits to verify register 516. [00178] Verify register 516 is coupled to memory bank 510 and verify results register 520 Verily register 516 comprises data storage elements comprising data bits. Typically, verify register 516 comprises a data word, its associated address, valid bit, and other desired control bits. Verify register 156 may comprise internal el address if data word was received as a result of re-write operation or verify operation from el register. Valid bit indicates whether verily register 516 contents are valid for verify operation. Verify register 516 contents, such as data word, can be sourced from either delay register 514 (or write register 512 in case delay register 512 is not used) or e 1 register 508. Verify register 516 would receive contents from delay register 514 if no row' address change has occurred. Verily register 516 w'ould receive contents from e l register 508 if row address change occurred. In one embodiment, verify7 register 516 receives the data word, its associated address, address within e l register, fail count bits, and other desired control bits from e l register 508. Verify register 516 transmits the associated address to memor bank 510 for the data word to be verified. Verify register 516 transmits the data word, fail count bits, and other desired status bits to compare data logic 520. Verify register 516 transmits the data word and its associated address to verify results register 518 in case of a system write. Verify register 516 transmits internal e l address in case of re-write operation or verify from el register 508. Thus, if the data word and the associated address already exist el register 508, verify register 516 need not transmit the data word and the associated address to verify results register 518.
[00179] Compare memory logic 520 is coupled to verify register 516. Compare memory logic 520 comprises data storage elements comprising data bits. Compare memory logic 520 may comprise read or sense amplifiers to read a data word from memory bank 510. Hardware logic for implementing compare memory logic 520 can be used by those with ordinary skill m the art.
[00180] In the case of verify7 operation, compare memory logic 520 receives input from verify register 516 and memory bank 510. Memory bank 510 outputs a data word to compare memory logic 520 based on die associated address transmitted from verify register 516. Compare memory logic 520 also receives the data word from verify register 516. Thus, compare memory logic 520 determines whether the w rite operation passed or failed. Compare memory7 logic 520 makes the pass/fail determination based on methods desired by those with ordinary skill in the art. In one embodiment, compare memory logic 520 detennines whether the data word from verify7 register 516 matches the data word from memory bank 510 in other embodiments, compare memory7 logic 520 deems that the operation passed if a prede termined number of bits match . If verify operation passed, compare memory logic 520 passes appropriate control bits to verify results register 518, for example fail count bits may be set to 0. Verify results register 518 may then invalidate the entry within el register if needed. If verify operation failed, verify results register 518 updates fail count bits within el register ( case of re-write or verify from el) or transmits the data word, the associated address, and control bits to el register (in case of system write).
[00181] In the case of read operation, memory bank 510 outputs a data word, the associated address, and desired control bits to compare memory logic 520. Compare memory logic 520 determines whether the read operation passed or whether re-write operation should be performed on memory bank 510 because too many errors occurred while reading the data word. In one embodiment, compare memory logic 520 corrects data words using ECC and parity bits associated with data words if ECC determines that too many errors occurred (e.g., errors above a predetemiined threshold), compare memory logic 520 also transmits the data word and control bits to verify results register 518.
[00182] Verify results register 518 is coupled to compare memory logic 520 and el register 508. Verify results register 518 comprises data storage elements comprising data bits.
Typically, verify results register 518 comprises data bits for a data word, associated address, valid bit, and desired control bits. Valid bit indicates that contents of verify results stage register 518 are valid to be written to el register 508. Verify results register 518 may also comprise internal el address. Verify results register 518 transmits data to el register as previously explained.
[00183] One of ordinary' skill in the art will understand that pipeline structure 500 is exemplary and may include more write, delay, verify', verify results registers, and compare logic blocks to allow' more re-write attempts before writing failed data words to e I register. Moreover, more registers and memor ' banks may be added without departing from the scope of the present disclosure.
[00184] INCREASED PIPELINE DEPTH TO SUPPORT PRE-READ OPERATIONS IN A MEMORY DEVICE
[00185] Fig. 14 is a block diagram of exemplary embodiment of a memory' device of the present disclosure showing pipeline structure that allows pipestages for performing a pre-read operation for a write operation. Fig. 14 show's exemplary pipeline 1400 for implementing the pipeline flow' for system pre-read, write, re-write, and verify' operations, among other data manipulation operations. Pipeline 1400 is implemented using system operations 1402, input register 1404, memory' pipeline 1406, el register 1408, and memory' bank 1410. Memory' pipeline 1406 comprises pre-read register 1460, write register 1412, delay register 1414, verify' register 1416, and verify results register 1418. Moreover pipeline 1400 comprises compare memory logic 1420
[00186] It should he noted that pipeline 1400 can be distinguished from pipeline 500 in that the memory pipeline 1406 comprises a pre-read register and pipe-stage 1460 prior to the write register 1412 and pipe -stage.
[00187] System operation 1402 performs substantially the same function as system operations 502 in Figure 5. For example, system operation 1402 comprises signals for performing a desired operation such as system write and system read, among other data manipulation operations. As such, system operation 1402 typically includes signals indicating a data word, the associated data address within memory bank 1410, and control signals indicating the operation to be performed on memory hank 1410 (such as write or chip select signal), among other signals for performing data manipulation operations and maintaining appropriate states. Typically, the signals from system operation 1402 are stored in input register 1404. Other configurations for signals from system operation 1402 may be used without departing from the scope of the present disclosure. Moreover, other embodiments of pipeline 1400 are possible without departing from the teachings of this disclosure. For example, delay register 1414 allows delay between write and verify operation on a data word. STT-MRAM may require a delay between weite operations at a particular address and verify operation at the common address. The delay cycle allows data storage elements within memory bank 1410 to return to a stable state before performing verify operation. Other RAM technologies, and m some instances STT-MRAM itself, may not require such delay and delay register 1414 is not necessary.
[00188] Input register 1404 is coupled to pre-read register 1460. input register 1404 comprises data storage elements comprising data bits. In certain embodiments, input register 1404 can include data bits for a data word, associated address, a valid bit, and other desired control bits. The input register 1404 comprises the initial stage of the pipeline.
[00189] As mentioned above, in one embodiment, for example, where a pseudo-dual bank memory bank is used, the input register 1404 adds a delay in the pipeline that allows the memory' device time to search for a data word and an associated address in the el register 1408 that shares a common row' address with a data word (associated with a write operation) in the input register. For example, a write operation may be received into the input register 1404 from system operations 1402 along with the data w'ord to be written and its corresponding address.
The input register provides the requisite delay to be able to search in the el register for a verify operation that shares a common row address with the data word associated with the write operation. In this way, a write operation and a verify operation can be simultaneously performed since the data words share a common row address.
[00190] The valid bit, as discussed above, indicates whether data manipulation operations such as system write operation should be performed or the register should not be used to perform such operations. For example, valid bits based on a write signal and chip select signal provided by system operation 1402 may indicate whether data word in input register is used for write. Input register 1404 may also be coupled to e l register 1408, for example, to transmit associated address and control bits to el register 1408. This associated address and control bits may be used in case of row address change in the pipeline or to invalidate an e l register 1400 entry with the same associated address, for example. For example, the address and control bits may he used to look for a pending verify operation in the e 1 register that shares a common row address with a data word to be written into the memory bank.
[00191] An active memory bank of an embodiment of the present disclosure denotes a memory bank in which a system write or system read is taking place. Tims, an active bank signal (or an active bank bit) prevents re-writes during that clock cycle, and instead indicates that a system write or read will occur during that clock cycle. For example, an active bank signal indicates that write register 1412 will w'rite a data word previously received from input register 1404 to memory bank 1410 during that clock cycle. Thus, el register knows that data word for re-write operation should not be transmitted to write register 1412 during that clock cycle.
[00192] Input register 1404 transmits data word, associated address, and desired control bits to pre-read register 1460. A pre-read register 1460 can be used in the pipeline for several purposes. For example, the data word, associated address and control bits received from the input register 1404 could be associated with a write operation. If the information transmitted from input register 1404 into the pre-read register is associated with a write operation, a pre-read register 1460 can he used to reduce power consumption by pre-reading the data word to be written from memory bank 1410. Power consumption is reduced as a result of performing a pre-read because instead of writing the data word received from the input register 1404 directly into the memory bank at the associated address, the current data word stored at the associated address in the memory' bank 1410 is pre-read to determine how many bits in the current data word need to be flipped in order to conform it to the newly received data word. For example, if the newly received data word to be w'ritten into the memory bank comprises all 1’s, but the pre-read operation determines that the data word already written into memory bank at the associated address also comprises all Ts, then power is saved because the newly received data word would not need to be re-written into the memory. Accordingly, the pre-read operation reduces power consumption by reducing the number of bits that need to be written for each write operation. In other words, the pre-read operation takes into account that some of the bits in a given word may already be in the correct orientation so a write operation does not need to typically write all the bits m the word.
[00193] In another embodiment, a pre-read operation is performed as part of a bit-redundancy remapping protocol. Examples of on-the-fly bit failure detection and bit redundancy remapping techniques are described in U.S Patent Application No. 15/792,672, Attorney Docket SPIN- 0004-01.01US, filed October 24, 2017, entitled“ON-THE-FLY BIT FAILURE DETECTION AND BIT REDUNDANCY REMAPPING TECHNIQUES TO CORRECT FOR FIXED BIT DEFECTS” and hereby incorporated by reference in its entirety.
[00194] In one embodiment, the pre-read register 1460 may require extra bits to carry the information acquired as a result of the pre-read operation. In other words, the pre-read register 1460 not only needs to store the data word, associated address, and desired control bits received from the input register 1404, but it also needs to store information acquired as a result of the pre- read operation, e.g., the bits read from memory bank 1410. For example, the pre-read register 1460 may need to store mask bits comprising information regarding the bits in the data word recei ved from the input register that need to be flipped in order to correctly perform the write operation. Further, the mask bits also need to store information regarding the direction in which the bits get flipped. In one embodiment of the present invention, pre-read register may also need to store ECC bits in order to perform error correction on the bits that are read from and written to memory bank 1410.
[00195] In one embodiment, instead of carrying the additional bits of storage within the pre- read register itself, the memory device can store the additional bits within el register 1408. However, as shown in Figure 14, the connection between the pre-read register 1460 and the el register 1408 is optional. In a more typical embodiment, the additional bits will be stored within the pre-read register 1460, because storing the additional data in the e l register may not be desirable in certain circumstances because of size considerations.
[00196] The e l register 1408 performs substantially the same function as the e l register described in conjunction with Fig. 5. The el register 1408 is coupled to input register 1404, write register 1412, delay register 1414, verify register 1416, and verify results register 1420.
The e l register may, in one embodiment, be also coupled to pre-read register 1460. The el register 1408 may supply data word, associated address of a data word within memory bank 1410, and control signals to write register 1412, and verify' register 1416. The e l register 1408 may receive a data word, its associated address, and control signals from delay register 1414 and verify results register 1418. The el register 1408 may also transmit a physical address within e l register 1408 in case the data w'ord is already stored within el register 1408. Although not shown, if delay register 1414 were not used, el register 1408 may receive data word, associated address, and control signals from write register 1412. Moreover, el register 1408 may communicate w ith input register to receive signals such as data word signal and control signal such as inactive bank signal.
[00197] Write register 1412 is coupled to delay register 1414 and memory bank 1410. Write register 1412 perforins substantially tire same function as write register 512 in Figure 5.
[00198] Delay register 1414 is coupled to verify register 1416 and e l register 1408. Delay register 1414 performs substantially the same function as delay register 514 in Figure 5.
[00199] Verify' register 1416 is coupled to memory bank 1410 and verify results register 1420. Verify register 1416 performs substantially the same function as verify register 516 in Figure 5.
[0Q2Q0] Compare memory logic 1420 is coupled to verify register 1416. Compare memory logic 1420 performs substantially the same function as compare logic 520 in Figure 5. Verify results register 1418 is coupled to compare memory logic 1420 and el register 1408. Verify results register 1418 performs substantially the same function as verify result register 518 in Figure 5.
[00201] One of ordinary skill in the art will understand that pipeline structure 1400 is exemplary and may include more write, delay, verify , verify results registers, and compare logic blocks to allow more re-write atempts before writing failed data words to el register.
Moreo ver, more registers and memory banks may be added without departing from the scope of the present disclosure.
[00202] Fig. 19 depicts an exemplary embodiment for a process flow showing the manner in which a pre-read register is used to perform a write operation in an exemplary memory7 device of the present disclosure.
[00203] At step 1902, a data word, an associated address and control bits are received into the input register 1404 from system operations 1402.
[00204] At step 1904, as mentioned above, in one embodiment, the input register 1404 adds a delay in the pipeline that allows the memory de vice time to search for a data word and an associated address in the e l register 1408 that shares a common row address with a data w7ord (associated with a write operation) in the input register.
[0Q2Q5] At step 1906, the input register 1404 transmits data word, associated address, and desired control bits to pre-read register 1460. As indicated above, the pre-read register 1460 can be used in the pipeline for several purposes. For example, the data word, associated address and control bits received from the input register 1404 could be associated with a write operation. If the information transmitted from input register 1404 into the pre-read register is associated with a write operation, a pre-read register 1460 can be used to reduce power consumption by pre- reading the data word to be written from memory bank 1410.
[00206] Accordingly, at step 1908, the data word stored in the memory bank at the associated address received from the input register is pre-read.
[00207] At step 1910, the data word pre-read from the memory bank is compared to the data word received from tire input register to determine which bits need to be flipped in the data word stored in the memory bank in order to successfully write the new data word received from the input register into the memory bank. The results of the comparison can, in one embodiment, be stored as mask bits in the pre-read register. In one embodiment, compare logic may be built into the pipeline to perfonn this comparison. As mentioned above, in one embodiment, the pre- read register 1460 may require extra bits to carry' the information acquired as a result of the pre- read operation. In other words, the pre-read register 1460 not only needs to store the data word, associated address, and desired control bits received from the input register 1404, but it also needs to store information acquired as a result of the pre-read operation, e.g., the bits related to the results of the compare operation.
[00208] At step 1912, at least the mask bits, the associated address and control bits may be transmitted to the write register. In a different embodiment, the data word to be written to the memory' bank (received from the input register) may also be transmitted along with the mask bits.
[00209] At step 1916, the write operation is performed using the mask bits. Further, if a data word and an associated address is received from the e 1 register at step 1904, the verify operation that shares a common row' address with the write operation is also performed in the same cycle as the w'rite operation.
[00210] Fig. 20 is a block diagram of an exemplary pipeline structure for a memory device that comprises a pre-read pipe-stage for a write operation in accordance with an embodiment of the present invention. As shown in pipeline structure 2000, at any given slice of time, e.g., T=3, T=4 and T=5, there will be a pre-read operation and a write operation being performed simultaneously. As each write is being performed in the write register, at any given slice of time, another wcite operation is coming into the pre-read register from the input register. For example, Instruction 1 2004 enters the pre-read pipestage at time T=2 At time, T=3, when Instruction 1 2004 enters the write register , Instruction 2 2005 enters the pre-read register. Similarly, at time T=4, Instruction 1 2004 enters the delay cycle. Instruction 2 enters the write register and new Instruction 3 2006 enters the pre-read register.
[00211] Accordingly, a read and a write operation will need to be performed to the memory' bank 1410 at any given period of time. The memory' device will, therefore, need an extra port into memory bank 1410. As mentioned earlier, a pseudo-dual port memory bank works in cases where in a single cycle at most a write operation is performed concurrently with a verify operation. The pipeline structure of Figure 14 would require that a read and a write operation be performed concurrently with a verify operation. Accordingly, two read ports (one for a verify operation and one for a read operation) and one write port will be needed.
[00212] INCREASED PIPELINE DEPTH TO SUPPORT ADDITIONAL WRITE
OPERATIONS IN A MEMORY DEVICE
[00213] Fig. 15 is a block diagram of exemplary embodiment of a memory' device of the present disclosure showing pipeline structure that allows an additional cycle for a write operation for storing a data word. Hie additional write cycle in Fig. 15 allows incoming data w'ords to be written an additional window to be written accurately into the memory bank. Fig. 15 show's exemplary pipeline 1500 for implementing the pipeline flow for system write, re-write, and verify operations, among other data manipulation operations. Pipeline 1500 is implemented using system operations 1502, input register 1504, memory' pipeline 1506, el register 1508, and memory' bank 1510. Memory pipeline 1506 comprises write register A 1560, write register B 1512, delay register 1514, verify register 1516, and verify results register 1518. Moreover pipeline 1500 comprises compare memory' logic 1520.
[00214] System operation 1502 comprises signals for performing a desired operation such as system write and system read, among other data manipulation operations. As such, system operation 1502 typically includes signals indicating a data word, the associated data address within memory bank 1510, and control signals indicating the operation to be performed on memory' bank 1510 (such as write or chip select signal), among other signals for performing data manipulation operations and maintaining appropriate states. Typically, the signals from system operation 1502 are stored in input register 1504. Other configurations for signals from system operation 1502 may be used without departing from the scope of the present disclosure.
[00215] Moreover, other embodiments of pipeline 1500 are possible without departing from the teachings of tins disclosure. For example, delay register 1514 allows delay between write and verify operation on a data word. STT-MRAM may require a delay between write operations at a particular address and verify operation at the common address. The delay cycle allows data storage elements within memory bank 1510 to return to a stable state before performing verify operation. Other RAM technologies, and in some instances STT-MRAM itself, may not require such delay and delay register 1514 is not necessary.
[00216] Input register 1504 is coupled to write register 1512. Input register 1504 comprises data storage elements comprising data bits. In certain embodiments, input register 1504 can include data bits for a data word, associated address, a valid bit, and other desired control bits. The input register 1504 comprises the initial stage of the pipeline.
[00217] In one embodiment, for example, where a pseudo-dual bank memory bank is used, the input register 1504 adds a delay in the pipeline that allows the memory device time to search for a data word and an associated address in the el register 1508 corresponding to a verify operation that shares a common row address with a data word in the input register. The data word in the input register would he associated with a write operation that shares a common row address with the data word for the verify operation in the el register. For example, a write operation may be received into the input register 1504 from system operations 1502 along with the data word to be written and its corresponding address. The input register provides the requisite delay to be able to search in the e l register for a verify operation that shares a common row address with the data word associated with the write operation. The input register 1504 provides the necessary delay in the pipeline to be able to look for the matching verify operation in the e 1 register before the data word to be written is inserted into the write register 1512. In other words, the delay of input register 1504 allows enough time to search for the matching verify operation in the el register prior to inserting tire data words to be written and verified into the write register 1512 and the verify register 1516 respectively.
[00218] The valid bit indicates whether data manipulation operations such as system write operation should be performed or the register should not be used to perform such operations.
For example, valid bits based on a write signal and chip select signal provided by system operation 1502 may indicate whether data word in input register is used for write. Input register 1504 may also be coupled to el register 1508, for example, to transmit associated address and control bits to el register 1508. This associated address and control bits may be used in case of row address change in the pipeline or to invalidate an el register entry with the same associated address, for example. For example, the address and control bits may be used to look for a pending verify operation in the el register that shares a common row address with a data word to be written into the memory bank.
[00219] An active memory bank of an embodiment of the present disclosure denotes a memory7 bank in which a system write or system read is taking place. Thus, an active bank signal (or an active bank bit) prevents re-writes during that clock cycle, and instead indicates that a system write or read will occur during that clock cycle. For example, an active bank signal indicates that write register 1560 will write a data word previously recei ved from input register 1504 to memor bank 1510 during that clock cycle. Thus, el register know's that data word for re-write operation should not be transmitted to write register 1512 during that clock cycle. Input register 1504 transmits data word, associated address, and desired control bits to write register A 1560. |0Q220] The el register 1508 performs substantially the same functions as the el register discussed in conjunction with Figures 5 and 14. The el register 1508 is coupled to input register 1504, write register A 1560, write register B 1512, delay register 1514, verify register 1516, and verify results register 1520. The el register 1508 rnay supply data word, associated address of a data word within memory bank 1510, and control signals to write register A 1560, write register B 1512, and verify register 1516. The el register 508 may receive a data word, its associated address, and control signals from delay register 1514 and verify results register 1518. The el register 1508 may also transmit a physical address within e l register 1508 in case the data word is already stored within el register 1508. Although not shown, if delay register 1514 were not used, el register 1508 may receive data word, associated address, and control signals from one of the write registers. Moreover, el register 1508 may communicate with input register to receive signals such as data word signal and control signal such as inactive bank signal.
[00221 j Write register A 1560 is coupled to write register B 1512 and to memory bank 1510. Write register 512 comprises data storage elements comprising data bits. Typically, write register A 1560 comprises data bits for a data word, its associated address, valid bit, and other desired control bits. The valid bit is a valid register bit and may be set to one when write register A contents are valid such that write operation may occur. Write register A 1560 receives data word, associated address, and desired control bits from input register 1504 for system write operations. For memory bank clock cycles that w'rite register A 1560 would not otherwise be writing system data words to that memory bank, el register 1508 transmits data words, associated address, and desired control bits to write register 1560. This allows write register 1560 to attempt re-write operations when write register 1560 would not otherwise be writing system data words to memory' bank 1510.
[Q0222] In one embodiment, write register A 1560 is coupled to another w'rite register B 1512. Accordingly, pipeline 1500 comprises two write stages. The purpose of two write stages in the pipeline is to attempt each write operation at least twice prior to the verification stage. As mentioned earlier, STT-MRAM may suffer from a high write error rate (WER) and, accordingly, attempting to write each word at least twice prior to verification may reduce the WER associated with the memory'. [00223] In one embodiment, an extra port in the memory bank will be required to support an additional write operation. Fig. 16 is a block diagram of an exemplary pipeline structure for a memor ' device that comprises an additional write stage in accordance with an embodiment of the present invention. As shown in pipeline structure 1600, at any given slice of time, e.g , T=3, T=4 and T=5, there will be two write operations being performed simultaneously. Each write will be performed twice, how'ever, at any given slice of time, as one write is going through its second cycle in write register B 1512, a new write will be incoming into write register A 1560. For example, Instruction I 1605 enters write register A at time T=2. At time T=3, wdien Instruction 1 1605 enters write register B, Instruction 2 1604 enters write register A. Similarly, at time T=4, Instruction I 1605 enters the delay cycle, Instruction 2 enters write register B and new Instruction 3 1606 enters write register A.
[0Q224] Accordingly, two write operations wall need to be performed to the memory bank 1510 at any given period of time. The memory device will, therefore, need an extra port into memory bank 1510. As mentioned earlier, a pseudo-dual port memory bank works in cases where in a Single cycle at most a write operation is performed concurrently with a verify operation. The pipeline structure of Figure 15 would require that two write operations be performed concurrently with a verify operation. Accordingly, two write ports and a single read (or verify) port into memory bank 1510 will be needed. Two write ports are necessar ' because simply performing one write in a given cycle and inserting the other write into the el register would increase the size of the el register beyond practical limits.
[00225] In one embodiment, a tri -ported memory bank structure can be obtained by adding an extra write port to the pseudo-dual port memory bank structure using the Y -mux structure as explained in conjunction with Figure 4. In a different embodiment, three separate ports are implemented into the memory' bank 1510, wherein two ports are optimized for write operations and one port is optimized for read operations. As explained earlier, ports that are optimized for write operations will have higher current requirements and occupy more physical space than ports that are optimized for read operations. In one embodiment, the three ports are all implemented using the Y-mux structure discussed in conjunction with Fig. 4. In one embodiment, a true dual port memory bank is implemented for the two write operations and an extra port is added using the Y-mux structure for the read port.
[00226] In one embodiment, instead of two separate write stages in the pipeline 1500, a single write pulse that is double the width of a traditional write pulse can also be used. Within the time period of the single write pulse, there can be two attempts at wri ting the data word into memory' bank 1510. [00227] Write register A 1560 transmits data word, associated address, and desired control bits to write register B 1512. This way the same data word can be written twice to the memory bank 1510 in two separate cycles
[00228] It should be noted that read operations generally take precedence over write operations from either of write registers. If a read operation occurs, then the pipeline is typically stalled to allow the read operation to terminate.
[00229] As discussed above, el register 1908 can receive a ROWchg signal that indicates row address change within a pipeline structure of the present disclosure. When a ROWchng signal is received in the embodiment of Figure 15, there will be an unfinished write in write register A 1560 and a waite that has not been verified yet in write register B 1512. Accordingly, in the embodiment of Figure 15, the el register will typically be larger than other embodiments because upon receiving a ROWchg signal, two entries from the pipeline will be inserted into the e 1 register while the memory' operation causing tire row' change signal to assert is allowed to enter the pipeline. The entry' from write register A 1560 will need to be re-written and the entry from write register B 1512 will need to be verified. In one embodiment, if a ROWchg signal is received, the data w'ord that has only passed through one write stage can be transferred to the e 1 register through connection 1590 while the other data w'ord that has passed through both write stages can be transferred to the e 1 regi ster through the delay regi ster 1514. The data word sent to the e 1 register through connection 1590 would need to be re-written while the data w'ord transmitted from the delay register 1514 would need to be verified during a later cycle.
[00230] Further, similar to the embodiments discussed in connection with Figure 5 and 14, the ROWchg signal may also be used to indicate that another data word and associated address should be transmited from el register 1508 to the pipeline structure for a verify operation. If a pseudo-dual port memory' bank is used, e l register 1508 may choose a data word and an associated address such that they share a common row address with a data word to be written into the write register of the pipeline structure. In this way, a write operation and a verify operation can be simultaneously performed since the data words share a common row' address. The input register 504 provides the necessary' delay in the pipeline to be able to look for the matching verify operation in the el register before the data w'ord to be written is inserted into the write register 512. In other words, the delay of input register 1504 allows enough time to search for the matching verify operation in the e 1 register prior to inserting the data words to be w'ritten and verified into the write registers and the verify register 516 respectively. In the embodiment of Figure 15, since the write operation passes through two stages of the pipeline, the e l register has another cycle to be able to look for the matching verify operation. Accordingly, the delay in the input register 3504 may not be necessary to provide sufficient time to find a matching verify operation.
[00231 j Write register B 1512 is coupled to delay register 1514 and memory bank 1510. In other embodiments, write register 1512 may be coupled to verify register 1516. Write register 1512 comprises data storage elements comprising data bits. Typically, write register 1512 comprises data bits for a data word, its associated address, valid bit, and other desired control bits. Hie valid bit is a valid register bit and may be set to one when write register 1512 contents are valid such that write operation may occur. Write register 1504 receives data word, associated address, and desired control bits from write register A 1560 so that the data word can be writen into memory bank 1510 a second time.
[Q0232] For memory bank clock cycles that write register 1504 would not otherwise be waiting system data words to that memory bank, e 1 register 1508 transmits data words, associated address, and desired control bits to write register 1512. This allow's write register 1512 to attempt re-write operations when write register 1512 would not otherwise be waiting system data words to memory bank 1510. In one embodiment, the e l register 1508 can also transmit data words associated with re-write operations to write register A 1560 so that the re-write operations may also be attempted at least twice in the pipeline.
[00233] Delay register 1514 is coupled to verify register 1516 and el register 1508. Delay register 1514 comprises data storage elements comprising data bits. Typically, delay register 1514 comprises a data word, associated address bits, a valid bit, and other desired control bits.
V alid bit indicates if delay register 1514 contents are valid. The delay register or multiple delay register could provide more clock cycle delay between write and verify.
[00234] As previously explained, the delay register 1514 is optional for RAM technologies that require delay between write and verify operations for a particular address within memory bank 1510. If row' address change occurs within memory pipeline 1504, delay register 1514 transmits data word, associated address, and desired control bits to el register 1508. Thus, data word may be verified on a later clock cycle when write register will write a data word sharing a common row address. In another embodiment, data word may be verified on a later clock cycle when no verify operation will otherwise occur to the memory bank. If no row address change occurs within memory pipeline 1504, after desired delay clock cycles, delay register 1514 transmits the data word, associated address, and desired control bits to verify register 1516. The addition of a delay between the write register 1560 and the verify register 1516 also allows the data transferred from the write register 1512 to stabilize before transferring the information to the verify register 1516. Hus prevents noise from being injected into the verify cycle. [00235] Verify register 1516 is coupled to memory bank 1510 and verify- results register 1520. Verily register 1516 performs substantially the same function as verify register 516 in Figure 5.
[0Q236] It should be noted that in one embodiment the second write register B 1512 may be placed subsequent to the verify register 1516. In other words, instead of having two write registers back to back in the pipeline, one of the write registers may follow the verify register 1516. This way a write operation can be attempted in the first write cycle and verified thereafter to ensure that the operation completed successfully. If the write operation did not complete successfully, then another write cycle subsequent to the verify operation can be used to attempt a re-write. This may be more efficient in certain cases than performing two write operations consecutively on the same data word. Similarly, other combinations are possible that attempt one or more re-write operations at different stages of the pipeline.
[00237] In one embodiment, the pipeline illustrated in Figure 15 could also have a pre-read register that performs substantially the same function as pre-read register 1460 in Figure 14. [Q0238] Compare memory logic 1520 is coupled to verify register 1516. Compare memory- logic 1520 performs substantially the same function as compare logic 520 in Figure 5. Verify results register 1518 is coupled to compare memory logic 1520 and el register 1508. Verify results register 1518 performs substantially the same function as verify result register 518 in Figure 5.
[0Q239] One of ordinary- skill in the art will understand that pipeline structure 1500 is exemplar - and may include more write, delay, verify-, verify results registers, and compare logic blocks to allow more re-write atempts before writing failed data words to e 1 register.
Moreover, more registers and memory banks may be added without departing from the scope of the present disclosure.
[00240] One of ordinary skill in the art will understand that pipeline structure 1500 is exemplary and may include more write, delay, verify-, verify results registers, and compare logic blocks to allow- more re-write atempts before writing failed data words to el register.
Moreo ver, more registers and memory banks may be added without departing from the scope of the present disclosure.
[00241] Fig. 6 is an exemplary process flow- showing an embodiment of a system read operation using an embodiment of memory device of the present disclosure. Fig. 6 shows process flow- 600 for system read operation of the present disclosure. Process flow 600 illustrates the high- level read operation performed on a memory device. In step 602, a system read operation to be performed on memory bank exists within a memory device. In step 604, the valid address stored in both pipeline banks are checked to determine whether the data word associated with system read operation exists there. If no, el register checks address to determine whether the data word associated with system read operation exists there in step 606. If no, e2 register checks the address to determine whether the data word associated with system read operation exists there in step 608. If no, the data word is read from memory bank at the associated address of system read operation in step 610. If the result of step 608 is yes, the data word is read from e2 register in step 618. If the answer to step 604 returned yes, then data word is read from pipeline 614. If the answer to step 606 is yes, then the data word is read from el register in step 616 One of ordinary' skill in the art may recognize other process flows for system read operations without departing from the teachings of the present disclosure.
[00242] System read process flow 600 may include additional steps. After step 610, compare logic may determine whether system data word from memory bank was read within a predetermined error budget in step 612. If the data word output from memory bank contains errors, such errors may be corrected though ECC. If the data word output from memory bank contained more errors than allowed by a predetermined error budget, the data word may also be corrected and stored in e l register in step 619. In this way, el register may attempt to re-write data word back to memory bank so that the data word may be read within a predetermined error budget on future read operations. The corrected data word and associated address would be stored within e 1 register.
[00243] It should be noted that as discussed above, in one embodiment, the e2 register is optional. For memory' devices without the additional dynamic redundancy register, the process flow's from step 606 directly to step 610. In other words, at step 606, e 1 register checks address to determine whether the data word associated with system read operation exists there. If no, then at step 610, tire data word is read from memory bank at the associated address of system read operation in step 610.
[00244] Fig. 7 is a block diagram of an embodiment of a memory' device showing a first level dynamic redundancy register. Fig. 7 shows exemplary el register 700 described herein that comprises physical address decoder 702, CAM 704, mux 706, RAM 708, status logic 710, and control logic 712. One of ordinary' skill in the art will recognize that el register 700 is exemplary', and includes features such as CAM 704 which are not required for achieving the teachings of the present disclosure. Moreover, el register 700 communicates control signals for maintaining consistency of operations both internally and to communicate with components of memory device such as pipeline banks, e2 register and secure memory storage, e.g., 932. Such control signals may be modified without departing from the teachings of the present disclosure. [00245] Physical address decoder 702 is coupled to CAM 704, mux 706, and control logic 712. Physical address decoder 702 receives an address input from control logic 712. Physical address decoder 702 uses the address input to detennine the appropriate physical addresses within CAM 704 and RAM 708 for performing data manipulation operation, such as read and write. Physical address decoder 702 selects an entry within CAM 704 using decode signal. Physical address decoder 702 may also select an entry within RAM 708 using decode signal to mux 706.
[00246] In one embodiment, physical address decoder 702 may take pointers as input from control logic 712. Different pointers from control logic 712 indicate available addresses for writing data to CAM 704 and RAM 708 or reading data from CAM 704 and RAM 708, or other pointers may be used. For example, pointers from control logic 712 may keep track of lowest open addresses within CAM 704 and RAM 704. Tims, el register 700 keeps track of addresses for storing new data. Pointers from control logic 712 may also keep track of oldest stored data within CAM 704 and RAM 708. Thus, re-write operations may be tried on a First-In-First-Out (FIFO) basis. Other schemes for addressing data within el register 700 and selecting data for data manipulation operations may be used by those with ordinary skill in the art without departing from the scope of this disclosure.
[00247] CAM: 704 is coupled to mux 706. CAM 704 takes as input decode signal from physical address decoder 702. CAM 704 also takes as input an associated address which may be received from input register, delay register, or verify results register of a pipeline structure. CAM 704 also takes as input control bits such as read, write, or search signal received from control logic 712. CAM 704 also takes as input other control bits from status logic 710.
[00248] The associated address signals indicate addresses within a memory bank. Associated address signal is typically received from input register, delay register, or verify results register. Thus, el register 700 receives an address within a memory bank where data word should he verified or written. The el register 700 may also receive associated address from input register to be searched for wxrrds with matching row' addresses which may be verified. As mentioned above, the input register allows a delay period for searching words associated with pending verify operations in tire e 1 register that have matching row addresses. CAM 704 will typically write associated address from delay register or verify results registers to itself, so that associated address may be used later for re-write or verify operation.
[00249] Status signal, such as valid bit, indicates whether physical address within CAM 704 contains valid data for data manipulation operation. CAM 704 may receive status signal from status logic 710. [00250] Read signal indicates that CAM 704 should output an associated address, and RAM 708 should output the corresponding data word. CAM 704 may use decode and read signal to output an associated address of the data word stored in RAM 708. For example CAM 704 may output an associated address of the data word to write register. In this way, write register may write data from el register in a clock cycle during which it would otherwise be inactive.
[00251] Write signal indicates that the associated address should be stored within CAM 704 and the corresponding data word should be stored within RAM 708. For example, CAM 704 may use the associated address signal, decode signal, and write signal to write the associated address to a physical address within CAM 704. In one embodiment, this may occur because row address change occurred within pipeline structure and delay register sent a data word, an associated address, and control bits to el register 700 for storage. In another embodiment, verify results register may send a data word, an associated address, and control bits to e l register 700 for storage because verify operation failed or data was not read within a predetermined error budget.
[00252] Search signal indicates that CAM 704 should search itself for an appropriate address. For example, CAM 704 uses search signal received from control logic 712 to search itself for an associated address to output to verify register. Thus, if row change has occurred in pipeline structure, CAM 704 may output the associated address of a data word sharing a common row- address with the data word to be writen from the pipeline. In addition, el RAM 708 outputs a data word matching the associated address within CAM 704 to the pipeline.
[00253] CAM 704 outputs associated addresses to the pipeline structure, such as to write register and verify register. CAM 704 also outputs associated addresses to e2 register or to secure memory storage area 932 (as discussed in connection with Figure 9). CAM 704 may only output a portion of associated address. For example, if row address change occurred and CAM 704 searched itself for an appropriate address for verify operation, CAM 704 may output only the column address since the row address may be known. CAM 704 also outputs match signal to mux 706. Match signal indicates the physical address within RAM 708 of a data word that corresponds to the associated address within CAM 704. Match signal may be used when reading a data word from RAM 708.
[00254] Mux 706 takes as input read, write, search signal from control logic 712. Mux 706 also takes as input decode signal received from physical address decoder. Mux 706 also takes as input match signal from CAM 704. Mux then transmits select signal to RAM 708 for data manipulation operation if mux 706 receives read signal, mux 706 typically transmits decode signal to RAM 708 because decode signal indicates the physical address within RAM 708 for read operation. If mux 706 receives write signal, mux 706 typically transmits decode signal to RAM 708 because decode signal indicates the physical address within RAM 708 for write operation. If mux 706 receives search signal, mux 706 typically transmits match signal to RAM 708 because match signal indicates the physical address within RAM 708 for outputting data word.
[00255] RAM 708 takes as input select signal from mux 706. RAM 708 also takes as input a data word received from pipeline structure, such as from delay register or verify results register. RAM 708 also takes as input read and write signals received from control logic 712. Select signal from mux 706 indicates the physical address within RAM 708 for performing data manipulation operation such as read or write operation. Data word signal indicates the data word for storage within RAM 708. Read signal indicates whether the physical address signal should be used for read operation such that data should be read from RAM 708 and output to pipeline structure or e2 register or secure memory storage. Write signal indicates whether select signal should be used for write operation such that data word signal should be written to RAM 708. RAM 708 typically comprises volatile memory such as SRAM, but may comprise non volatile memory such as STT-MRAM.
[00256] Status logic 710 comprises hardware logic that drives the selection of addresses within control logic 710. Status logic 710 takes as input control signals from pipeline structure and e2 register. Control signals may include ROWchg flag previously discussed. Control signals may also indicate whether data words associated with verify and re-write operations in the el register should be processed prior to re-locating them to secure memory storage or if the contents of the el register should be dumped in their entirety into the secure memory storage area 932.
Pipeline structure may also transmit fail count bits to status logic 710. In one embodiment, status logic 710 updates a valid bit associated with a data w'ord to invalid in the case that status logic 710 receives fail count bits set to 0. That is, because control signals received from verify results register indicated that verify operation passed, el register 700 invalidates the entry associated with data word (associated addresses, data word, any associated control bits). Status logic may also take as input inactive signal indicating that memory bank may become inactive during a subsequent clock cycle. Thus, e 1 register should output a data word to write register for a re-write operation. Status logic 710 may also receive control signals from e2 register. For example, status logic 710 may receive signal indicating that e2 register is ready for a new' data word. Status logic 710 may also receive a signal from the secure memory storage indicating that it is ready for a new data word in embodiments where there is no e2 register. Status logic 710 may also receive decode signal from physical decoder 702. Decode signal will indicate the entry or entries within el register 700 which are being updated. [00257] Status logic 710 transmits status signals. Status logic 710 transmits status signals both internally and externally. Status logic 710 transmits status signals to control logic 710. Status logic 710 may also transmit status signals, such as fail count bit, to pipeline structure and e2 register. Tims, control signals from status logic 710 may be used to maintain consistency of operations both within el register 700 and within pipeline structure.
[00258] Control logic 712 comprises hardware logic for determining operations to be performed on CAM 704 and RAM 708. Control logic 712 also comprises hardware logic for outputting address signal to physical address decoder 702. Control logic 712 takes as input status signals from status logic 710. Status signals drive the selection of addresses by control logic 712 For example, status signals may indicate that write operation should be performed on CAM 704 and RAM 708. Control logic may then increment a pointer to next address, indicating empty addresses within CAM 704 and RAM 708 for writing associated addresses and data words. The address signal output from control logic 712 may comprise pointers that are decoded by physical address decoder 702 to select appropriate physical addresses within CAM 704 or RAM 708 for performing data manipulation operation. The address signal output from control logic 712 may also be output to the pipeline to indicate physical addresses within el register 700.
[Q0259] In this way, e 1 register 700 may transmit a data word, its associated address, and its physical address within el register 700 to pipeline structure. The physical address within el register 700 may be used to update el register 700 control bits after verify or re-wiite operation occurs. If the re-write operation failed, for example, fail count bits within el register 700 may be updated using the physical address within el register 700.
[00260] One of ordinary skill the art will understand that the specific control signals, logic and structures disclosed with respect to Figure 7 are merely exemplar} , and illustrate one of many possible implementations of el register 700. Other implementations of el register 700 may be used in conjunction with the teachings of the present disclosure.
[00261] SMART DYNAMIC REDUNDANCY REGISTER DESIGN TO PREVENT El OVERFLOW
[0Q262] In one embodiment of the present invention, a memory device may comprise multiple banks or segments. As noted above, the memory bank may comprise STT-MRAM which suffers from an inherently stochastic write mechanism, wiierein bits have certain probability of write failure on any given write cycle. In other words, the memory cells are characterized by having a high write error rate. The dynamic redundancy registers of the present disclosure allow the memory bank to be operated with high WER (write error rate). However, designers of the memory device need to ensure that the size of a dynamic redundancy register or cache memory, e.g., an el register used to store data words associated with pending verify and re-write operations does not exceed practical limitations.
[00263] Accordingly, the el register needs to he designed with a sufficient fixed size so that overflow is avoided in all cases. One of the factors that need to be taken into consideration in determining an optimal size for the e 1 register is the WER. For example, for a higher error rate, the el register will need to be larger than for a lower error rate. In one embodiment, the number of entries in the el register will be at least the WER * the size of the memory bank.
[00264] Further, in one embodiment, the el register will contain at least one entry per row segment. In one embodiment, the el register can contain 2 entries per row segment. For example, if each row segment in a memory bank has a 100 rows, then the size of the e l register w'ould be at least 200 entries.
[00265] In one embodiment, the number of entries the el register needs to contain per row segment is related to the depth of tire pipeline. In other words, the number of entries the el register contains is directly proportional to the number of pipeline stages (or pipe-stages). This is because with a longer pipeline, there will be more data words that need to be stored in the e l register in case of a row change, e.g., when a RowChg signal is received. For example, as seen in Figures 5, 14 and 15, the pipeline can have several stages. The more stages the pipeline has, the higher the number of entries that el needs to be designed to contain. If the pipeline has an additional write stage, as shown m Figure 15, receiving a RowChg signal would mean that the entries in both a write register and a verify register would need to be saved to be verified at a later time. Accordingly, additional storage space will be needed in the e 1 register as compared to a case where there’s only a single write stage in the pipeline.
[00266] In one embodiment, if the e l register comprises N rows per segment and the pipeline has M number of stages, then, the el register will comprise at. least. N * M entries.
[0Q267] As mentioned above, the number of entries in the el register can also be a function of the WER. In one embodiment, the size of the el register can be at least (N * M) + (WER * number of entries in the memory bank).
[00268] In one embodiment, tire memory device can comprise a plurality of memory banks as discussed above, wherein each of the memory banks (or segments) can have its own pipeline and a dedicated el register. Or alternatively, the memory device can comprise a plurality of memory banks, w'herein each of the memory' banks (or segments) can have its own pipeline, but a single el register serves all the segments (instead of a dedicated el register per segment). [00269] In one embodiment, a warning pin or status bit can be used to indicated to the user the occupancy level of the el register. For example, status bits may indicate to a user that the el register is 25%, 50%, 75% or completely full.
[00270] Fig. 21 illustrates a smart design for a dynamic redundancy register in accordance with an embodiment of the present invention. The memory bank 2100 comprises multiple addressable memory cells configured in multiple segments, wherein each segment contains N rows per segment. Each of the segments can he associated with its own pipeline. As shown in Figure 21, segment 1 of memoiy bank 2100 can be associated with pipeline 2150 while segment 2 can be associated with pipeline 2151 . Each pipeline comprises M pipestages and are configured to process write operations for data words addressed to a given segment of a of the memory bank. Alternatively, in one embodiment, a single pipeline can sendee all the segments in the memoiy bank. In other words, the entire memoiy bank comprises a single pipeline.
[00271] The memory device can also comprise a dynamic redundancy register or cache memory' El 2110. The number of entries, Y, in el is based on M, N and a prescribed word error rate (WEIR) so as to prevent overflow of the cache memoiy . In a different embodiment, each of the segments of memory bank 2100 can have its own associated e l register. However, in a typical embodiment, a single e l register services all the segments of the memory bank.
[00272] In one embodiment, the number of entri es Y in e l can be calculated using the formula: (N*M + B*E), wherein B indicates the number of rows in the memory bank.
[00273] In one embodiment, a warning pin(s) or status bit(s) 2105 can be used to indicate to the user the occupancy level of the el register. For example, status bits may indicate to a user that the el register is 25%, 50%, 75% or completely full.
[00274] A METHOD OF OPTIMIZING WRITE VOLTAGE BASED ON ERROR BUFFER OCCUPANCY
[00275] As noted above, memoiy bank 2100 may comprise STT-MRAM which suffers from an inherently stochastic write mechanism, wherein bits have certain probability of write failure on any given write cycle. In other words, the memory cells are characterized by having a high write error rate. The dynamic redundancy registers (e.g., e l 2110) of the present disclosure allow the memory bank to be operated with high WEIR (write error rate). As also noted previously, designers of the memory device need to ensure that the size of a dynamic redundancy- register or cache memoiy, e.g., an el register used to store data words associated with pending verify and re-write operations does not exceed practical limitations. Furthermore, designers need to provide for a way to relieve the pressure off of the dynamic redundancy register, e.g., e l 2110 if it is approaching close to its capacity. In other words, designers need to provide a mechanism to prevent the dynamic redundancy register from overflowing if it is filling up too rapidly. The e l register needs to be designed to avoid overflow all cases.
[00276] Figure 22 is a block diagram of an exemplary' embodiment of a memory device that optimizes write voltage based on error buffer occupancy in accordance with an embodiment of the present invention. As shown in Figure 22, the e l register (also known as an‘error buffer ) 2214 stores data words that are to be verified or re-written to a memory bank 2210. The error buffer 2214 is coupled to the memory array 2210 through pipeline 2212 as discussed in detail, for example, in Figures 5, 14 and 15. The memory bank may be an MRAM array. In one embodiment, the MRAM may comprise STT-MRAM.
[00277] In one embodiment of the present invention, as entries in the e l register (also known as an‘error buffer’) 2214 increase, the write voltage on the write cycles to the memory bank can be increased in order to reduce the error rate. In one embodiment, the write voltage on both the bit and source lines of the memory cell are increased. Increasing the write voltage on write cycles to the memory bank of an MRAM array decreases the WER. Because the memory cells are written to with a higher voltage, there is a lesser likelihood of a memory' cell being written to incorrectly. Under typical operating conditions, increasing write voltage will consume more energy and reduce the reliability of the memory because the oxide in the memory cells wears down faster at higher voltage rates. Nevertheless, in order to prevent the el register from overflowing, embodiments of the present invention have built-in logic circuitry 2216 to recognize when the error buffer is filling up and to increase the voltage temporarily to reduce the error rate. Reducing the error rate advantageously reduces the number of entries placed into the el register because data words tend to be written correctly on a first attempt without requiring re-writes. Further, read operations are also less likely to add more entries to tire e l register.
[00278] It should be noted, however, operating the memory' at higher write voltages for longer periods of time may cause the memory' cells to wear down faster. In one embodiment, if a data word in the memory' bank has one or more memory cells that have failed or completely worn down, that data word is transferred or moved over to the el register. Subsequently, all accesses to that data word are from the el register as opposed to the memory' bank.
[00279] As mentioned previously, in one embodiment, a warning pin(s) or status bit(s) 2105 can be used to indicate to the user the occupancy level of the el register. For example, status bits may indicate to a user that the el register is 25%, 50%, 75% or completely full. Similarly, in one embodiment, status bits 2286 indicating buffer occupancy can be relayed to a logic module 2216 that determines how close to full the el register is getting. The status bits may, for example, indicate the level of occupancy of the e 1 register or error buffer. The higher the number of status bits available, the higher the precision with which the logic module 2216 can determine the occupancy levels of the error buffer.
[002801 Responsive to a determination that the occupancy level of the el register is increasing, or has crossed a predetermined threshold, the logic module 2216 communicates with the write voltage digital-to-analog converter (DAC) 2228, winch in turn increases the write voltage on the write drivers 2238.
[00281] In one embodiment, logic circuitry 2216 is configured to monitor cache occupancy to modulate the write voltage for error buffer and endurance optimizations. Using a higher write voltage at higher error buffer occupancy levels optimizes the error buffer by reducing the WER and, thereby, ensures that there is less likelihood of the error buffer exceeding capacity.
Similarly, logic circuitry 2216 also enables endurance optimization because it lowers the write voltage in response to lower cache occupancy levels, thereby, reducing write stress and promoting higher endurance.
[00282] In one embodiment, logic circuitry 2216, over a period of time, stabilizes the write voltage at an optimal level, which al lows the occupancy level of the error buffer to not exceed a predetermined threshold while at the same time maintaining an acceptable level of endurance. In other words, logic circuitry 2216 can be programmed to select an optimal write voltage level that achieves a balance between error buffer occupancy levels and memory' endurance levels.
Accordingly, the self-trimming of the write voltage on the memory' chips by logic circuitry 2216 can result in higher endurance levels for memory chips because the write voltage can be dynamically adjusted to operate at lower levels response to low error buffer occupancy levels. [QQ283] In one embodiment, the logic module 2216 can be programmed so that the increase in write voltage is proportional to the occupancy level of the error buffer 2214 For example, if the error buffer is 25% full, the write voltage is increased by 25%. Alternatively if the error buffer is close to 75% full, the write voltage would be increased by 75%. In one embodiment, the write voltage is not increased until the occupancy level of the e l register surpasses a threshold level, e.g , 25%. For example, the write voltage is increased after the error buffer is more than 25% full.
[00284] The logic circuitry' 2216 monitors the buffer occupancy signals 2286 to keep track of the occupancy level of the error buffer. Once the error buffer starts falling below a certain threshold level, for example, the write voltage can be decreased accordingly. For example, if the error buffer is less than 25%, the write voltage can be dialed down to normal operating conditions. [00285] In this way, embodiments of the present invention advantageously allow dynamic control over the occupancy levels of the error buffer. By optimizing the write voltage based on error buffer occupancy, the error buffer is prevented from ever getting overfull or exceeding capacity. Similarly, as mentioned above, endurance levels are optimized because embodiments of the present in vention are able to reduce the write voltage in response to lower error buffer occupancy levels.
[00286] In one em bodiment of the present invention, instead of adjusting the write voltage, the pulse width of the write cycle can be modified based on the error buffer fill rate. Similar to increasing the write voltage, increasing the pulse width can reduce the WER. Accordingly, as the error buffer becomes more full, the pulse width of the write cycle can be increased to reduce the write error rate. Conversely, as the error buffer empties out, the pulse width can be reduced in order to optimize for endurance. The logic circuitry 2216 can alter the pulse width using the write pulse width control module 2218. The pulse width control module 2218 communicates with the write drivers 2238 to effectuate the pulse width change on the write cycle. Controlling the pulse width allows an extra level of control over the WER, which is useful especially in cases where the write voltage cannot be increased any further. Therefore, to avoid chip breakdown, the pulse width can be increased instead of increasing the write voltage.
[00287] It should be noted that increasing the pulse width allows the same voltage to be applied for a longer duration and, therefore, it also causes oxide related stress in the memory cells. Accordingly, the logic circuitry module 2216 should be programmed to reduce the pulse width in response to lower error buffer occupancy levels.
[00288] In one embodiment, logic circuitry 2216 can be configured to control both the write voltage level (through write voltage DAC 2228) and the write pulse width (through write pulse width control module 2218) in order to achieve an optimal operating write voltage level for the chip. In such an embodiment, both write voltage and the write pulse width may be increased and decreased together to attain an optimal operating write voltage level for the chip. Alternatively, in a different embodiment, the write voltage and write pulse width may be adjusted in phases.
For example, in response to an error buffer that is getting full, the write voltage may be increased at first. Subsequently, if the error buffer continues to get full, the write pulse width may be increased before attempting to increase the write voltage to a higher voltage step. Also, in the scenario that the write voltage has been increased beyond an acceptable threshold, it is important to give the test and/or product engineers the flexibility to adjust the write pulse width. Once the write voltage level has been increased beyond an acceptable threshold, only the write pulse width may be increased to control the occupancy level of the error buffer. [00289] Embodiments of the present invention advantageously allow for dynamic self trimming in the field. Instead of the needing to select an optimal voltage level at test, the logic circuitry 2216 can be programmed to pick the optimal voltage level based on the error rates. For example, as the life of the chip gets older, the error rate may increase and, accordingly, the logic circuitry may need to apply a higher write voltage in order to prevent tire occupancy levels of the error buffer from increasing. The logic circuitry 2216 self-trims by adjusting the write voltage higher in response to higher occupancy levels of the error buffer without requiring human intervention.
[00290] Embodiments of the present invention also advantageously reduce test time because the test and/or product engineers do not have to manually find an optimal operational voltage for each memoiy chip, which can vary between chips. In other words, embodiments of the present invention can be used to self-trim the write voltage on memory chips. Typically, test time is used up by engineers to determine the appropriate voltage level for each die. Further, the engineers will typically need to select a different operational v oltage for each life cycle of the die, e.g., early life, mid-life and end-of-life voltages. It is appreciated that instead of using up valuable test time in determining appropriate voltage levels for each life cycle of a chip, embodiments of the present invention dynamically adjust tire voltage levels based on the error rate and the concomitant error buffer occupancy levels.
[00291] Temperature conditions may also affect the optimal operating voltage levels of a rnernoiy chip. Embodiments of the present invention allow the memory chip to dynamically adjust the write voltage levels to the changing temperature levels simply by monitoring the error buffer occupancy levels. This conserves engineer time in determining optimal voltage levels for various temperature ranges. Instead, a test technician or engineer may simply select an acceptable starting voltage for each chip and allow the logic circuitry module 2216 to converge the write voltage to an optimal level based on the error buffer occupancy levels. As a result, the product lifecycle is increased because the logic circuitry module can dynamically select the optimal write voltage for higher endurance levels
[00292] Fig. 23 depicts an exemplary embodiment for a process flow showing the manner in which the write voltage for a memory bank is optimized based on error buffer occupancy levels in accordance with an embodiment of the present invention.
[0Q293] At step 2302, the error buffer (or el register) 2214 is monitored by the logic circuitry'
2216 to determine the occupancy level of the error buffer. At step 2304, the logic circuitry determines if the error buffer occupancy level has increased past a predetermined threshold. The threshold information may be programmed or configured into the logic circuitry. [00294] At step 2306, responsive to a determination that the error buffer occupancy level has crossed the predetermined threshold, a signal is transmitted from the logic circuitry 2216 to the write voltage digital -to-analog converter (DAC) 2228, where the write voltage DAC controls the write voltage on the write drivers 2238 of the memory bank 2210
[00295] At step 2308, the write voltage on the write drivers 2238 is increased so subsequent data words written into the memory bank 2210 are written using a higher write voltage.
[0Q296] At step 2310, logic circuitry 2216 further determines if the error buffer occupancy levels have decreased below the predetermined threshold. At step 2312, responsive to a determination that the error buffer occupancy level has decreased below the predetermined threshold, log circuitry transmits a signal to the write voltage DAC. At step 2314, in response to the signal transmitted to the write voltage DAC, the write voltage on die write drivers 2238 is decreased so that incoming data words are written into the memory bank at a lower write voltage. Thereafter, the process continues until the voltage level converges to an optimal level. Alternatively, if the voltage level does not converge to an optimal level, the process continues to increase or decrease the write voltage as necessary depending on the occupancy level of the error buffer.
[00297] Fig. 24 depicts an exemplar)' embodiment for a process flow showing the manner in which the pulse width for write cycles of a memory bank is optimized based on error buffer occupancy levels in accordance with an embodiment of the present invention.
[00298] At step 2402, the error buffer (or el register) 2214 is monitored by the logic circuitry' 2216 to determine the occupancy level of the error buffer. At step 2404, the logic circuitry determines if the error buffer occupancy level has increased past a predetermined threshold. The threshold information may be programmed or configured into the logic circuitry'
[00299] At step 2406, responsive to a determination that the error buffer occupancy level has crossed the predetermined threshold, a signal is transmitted from the logic circuitry 2216 to the write pulse w'idth control module 2218, w'here the write pulse width control module controls the write voltage on the write drivers 2238 of tire memory bank 2210.
[00300] At step 2408, the write drivers 2238 are adjusted to increase the pulse width so subsequent data words written into the memory bank 2210 are written using a longer write pulse width.
[00301] At step 2410, logic circuitry 2216 further determines if the error buffer occupancy levels have decreased below the predetermined threshold. At step 2412, responsive to a determination that the error buffer occupancy level has decreased below' the predetermined threshold, transmit a signal to the pulse width control module 2218. At step 2414, in response to the signal transmited to the pulse width control module, the pulse width on the write drivers 2238 is adjusted so that incoming data words are written into the memory bank using a shorter pulse width. Thereafter, the process continues until the voltage level converges to an optimal level. Alternatively, if the voltage level does not converge to an optimal level, the process continues to increase or decrease the pulse width as necessary depending on the occupancy level of the error buffer.
[00302] Fig. 8 is a block diagram of an embodiment of a memory device of the present disclosure showing a last level dynamic redundancy register. Fig. 8 shows exemplary e2 register 800 described herein that comprises CAM/RAM/Enbl/Pointers block 802, mux 816, e2 RAM 818, and physical y-mux 832, sense amplifier 834, error correction code bits 836, write register 838, and control logic 840. One of ordinary skill in the art will recognize that e2 register 800 is exemplary, and includes features such as RAM Memory bank FC 814 which are not necessary for achieving the teachings of the present disclosure. Moreover, e2 register 800 communicates control signals for maintaining consistency of operations both internally and to communicate with components of memory device such as pipeline banks, memory banks, and el register.
Such control signals may be modified without departing from the teachings of the present disclosure.
[00303] CAM/RAM/Enbl/Pointers block 802 comprises physical address decoder 804, address CAM 806, RAM update flag 807, RAM enable 808, RAM e2 fail count 810, RAM used count 812, and RAM memory bank FC 814. Thus, block 802 comprises data storage elements comprising data bits. Block 802 is used for storing control bits and associated addresses of data words.
[00304] Physical address decoder 804 receives an address inputs from control logic 840. As explained in relation to el register and Fig. 7, physical address decoder 804 uses address inputs to determine physical addresses for writing associated addresses and data words to CAM 806 and RAM 818, respectively. Physical address decoder 804 outputs decode signal to CAM 806 and mux 816 Moreover, physical address decoder 804 may output decode signal to physical y- mux 832.
[00305] CAM: 806 stores associated addresses for data words. As explained in relation to el register and Fig. 7, CAM 806 may take as inputs various control signals and associated addresses. CAM 806 can then write associated addresses to itself or determine appropriate physical address within RAM 818 for matching data word. Typically, such data w'ord would be output, for example, to pipeline banks or memory' banks. [00306] RAM update flag 807 comprises control bits for determining whether associated data should be updated within RAM 818. For example, control signals received from control logic 840 may indicate that RAM 818 entry should be updated based on a new data word RAM update flag 807 thus provides a mechanism to track data words that should be updated in case it is not possible to update the data word immediately.
[00307] RAM enable 808 comprises control bits indicating whether e2 RAM 818 contains a valid data word. RAM enable 808 may thus require that all bits be set to one, for example, to provide a stringent mechanism to ensure that RAM 818 includes valid data. RAM enable 808 may be output to control logic 840 so that control logic may keep track of valid data wi thin block 802 and RAM 818. One of ordinary skill in the art will recognize that other schemes may be used to ensure reliability of data words. For example, multiple copies of data word may be maintained in RAM 818 and selected based on a voting scheme. In another scheme, a more stringent error correction code (ECC) scheme may be performed within e2 register 800 than in memory bank. In another scheme, RAM 818 points to particular addresses within mam memory for storing data words rather than storing the data words within e2 register 800 itself.
[QQ3Q8] RAM e2 fail count 810 indicates the number of times a data word has failed to wiite to e2 RAM 818. For example, RAM 818 may comprise non-volatile STT-MRAM in an embodiment. In that case, e2 register 800 may write to RAM 818 until write operation is successful in order to maintain reliability within e2 register 800. Thus, e2 fail count indicates the number of times a data word has failed to write to RAM 818. RAM e2 fail count 810 may be output to control logic 840, so that control logic 840 may output appropriate addresses for writing to RAM 18.
[00309] RAM used count 812 indicates the number of times that a physical address within e2 RAM 818 has been used. The e2 register 800 may desire to keep track of the number of times that a particular physical address within RAM 818 has been used. For example, the number of times that a read operation has occurred, write operation has occurred, or both to a specific physical address within RAM 818.
[0Q310] RAM memory bank FC 814 indicates the number of times that a data w7ord has failed to write to a memory bank. For example, e2 register 800 may desire to keep track of the number of times that a write operation from e2 register 800 has failed to the memory bank. This may7 be useful so that only a desired number of re-write operations are tried. The specific components of block 802 are exemplary and may be modified without departing from the teachings of the present disclosure. For example, one of ordinary skill in the art will recognize that RAM memory bank FC 814 is optional and provides a mechanism for controlling the number of re write attempts to memory bank.
[00311 ] Mux 816 is coupled to CAM/RAM/Enbl/Pointers block 802 and e2 RAM 818 Mux 816 takes as input decode signal from physical address decoder 804 indicating physical address within e2 RAM 818 and match signal from CAM 806 indicating that match exists within e2 RAM 818. Thus, as explained with respect to e l register 700 of Fig. 7, e2 RAM 818 can perform read or write operation. If e2 RAM 818 comprises MRAM, write operations may be tried a number of times based on RAM e2 fail count 810. In another embodiment, after a predetermined number of write attempts to physical address within e2 RAM 818, RAM used count 812 may operate to indicate that another location w ithin e2 RAM 818 should be chosen for write operation.
[00312] The e2 RAM 818 comprises RAM data 820, RAM address 822, RAM enable 824,
RAM used count 826, and Memory Bank FC 830. The e2 RAM 818 may comprise volatile or non-volatile memory. In one embodiment, The e2 RAM 818 comprises non-volatile memory such as MRAM so that contents may be saved on during power down.
[00313] RAM data 820 comprises data storage elements comprising data bits storing a data word received from e l register. RAM address 822 stores an associated address within a memory7 bank for the data word stored within RAM data 820. For example, CAM 806 may store an associated address to RAM address 822. RAM enable 824 stores the same enable bits as RAM enable 808. RAM used count 826 stores the same used count as in RAM used count 812.
Memory Bank FC 830 stores the same fail count as RAM Memory' Bank FC 814 Thus, block 802 comprising volatile storage (e.g., SRAM) may be backed up to non-volatile storage (e.g., MRAM).
[00314] Similar to the explanation given with respect to Fig. 4, y-mux 832 allows read and write operations to be performed on RAM 818. Sense amplifiers 824 are used to read RAM 818. ECC block 836 allows error correcting on RAM 818. Write Register 938 may comprise CAM for searching write register contents. Write register 838 receives data word and address from el register. Write register 838 also communicates with e2 control logic 840 to, for example, send ready e2 ready signal when write register 838 is ready for new7 data word from e l register.
[00315] Control logic 840 comprises hardware logic. Control logic 840 determines appropriate operations (such as read, write, and search) to be performed on e2 register 800. Control logic 840 also determines addresses. As previously explained in connection with Fig. 7, control logic 840 may use many different addressing schemes. In one embodiment, control logic 840 uses pointers to detemiine physical addresses within block 802 and RAM 818 for writing data w'ords. Control logic 840 may also communicate with other components of memory device including pipeline banks, memory banks, and e 1 register. For example control logic 840 transmits e2 flag to e 1 register to indicate that e2 register 800 may receive a new data word to write register 838.
[00316] The above description and drawings are only to be considered illustrative of specific embodiments, which achieve the features and advantages described herein. Modifications and substitutions to specific process conditions can be made. Accordingly, the embodiments in this patent document are not considered as being limited by the foregoing description and drawings.

Claims

CLAIMS We claim:
1. A method of writing data into a memory device, die method comprising:
utilizing a pipeline to process write operations of a first plurali ty of data words addressed to a memory bank;
writing a second plurality of data words and associated memory addresses into an error buffer, wherein the second plurali ty of data words are a subset of the first plurality of data words, wherein the error buffer is associated with the memory bank and wherein further each data word of die second plurality of data words is either awaiting write verification associated with the memory bank or is to be re-written into the memory' bank;
monitoring an occupancy' level of the error buffer;
determining if the occupancy level of the error buffer is larger than a predetermined threshold; and
responsive to a determination that the occupancy level of the error buffer is larger than the predetermined threshold, increasing a write voltage of the memory bank, wherein subsequent write operations are performed at a higher write voltage.
2. The method of Claim 1, wherein the increasing the write voltage of the memory bank comprises:
transmitting a signal to a digital-to-analog converter (DAC), wherein the DAC controls write drivers on the memory bank to drive source and bit lines associated with memory cells of the memory' bank at the higher write voltage during writing.
3. A method as described in Claim 1 wherein the memory bank comprises a plurality of magnetic random access memory' (MRAM) cells.
4. A method as described in Claim 1 wherein the memory bank comprises a plurality of spin-transfer torque magnetic random access memory (STT-MRAM) cells.
5. The method of Claim 1, further comprising:
determining if the occupancy level of the error buffer is below the predetermined threshold; and responsive to a determination that the occupancy level of the error buffer is below the predetemiined threshold, decreasing the write voltage of the memory bank, wherein subsequent write operations are performed at a lower write voltage.
6. The method of Claim 1, wherein the increasing the write voltage of the memory bank further comprises:
determining if the write voltage of the memory bank can be increased; and
responsive to a determinati on that the write voltage of the memory bank cannot be increased, increasing a length of a pulse width for write cycles of the memory' bank, wherein subsequent write operations are performed using the pulse width.
7. The method of Claim 6, wherein the increasing the length of the pulse width for write cycles of the memory bank comprises:
transmitting a signal to a write pulse-width control module, wherein the write pulse- width control module controls write drivers on the memory bank to drive source and bit lines associated with memory cells of the memory' bank using the pulse width for longer durations during write cycles
8. The method of Claim 1, wherein the determining comprises:
calculating, based on a plurality' of status bits from the error buffer, if the occupancy level of tire error buffer is larger than the predetermined threshold.
9. A memory device for storing data, the memory device comprising:
a memory bank comprising a plurality of addressable memory cells:
a pipeline configured to process write operations of a first plurality of data words addressed to the memory' bank;
a cache memory operable for storing a second plurality of data words and associated memory addresses, wherein the cache memory is associated with the memory bank and wherein further each data word of the second plurality of data words is either awaiting write verification associated with the memory' bank or is to be re-written into the memory' bank; and
a logic module operable to:
monitor an occupancy level of the error buffer;
determine if the occupancy level of the error buffer exceeds a predetermined threshold; and responsive to a determination that the occupancy level of the error buffer exceeds the predetermined threshold, increase a write voltage of the memory bank, wherein subsequent write operations are performed at a higher write voltage.
10. A memory device as described in Claim 9 wherein the plurality of addressable memory' cells of the memory' bank comprises spin-transfer torque magnetic random access memory' (STT-MRAM) cells
11. A memory device as described in Claim 9 wherein the plurality of addressable memory' cells of the memory' bank comprises magnetic random access memory' (MRAM) cells.
12. A memory device as described in Claim 9, wherein to increase tire write voltage of the memory bank, the logic module is operable to:
transmit a signal to a digital -to-analog converter (DAC), wherein the DAC controls write drivers on the memory bank to drive source and bit lines associated with memory cells of the memory' bank at the higher write voltage.
13. A memory de vice as described in Claim 9, wherein the logic module is further operable to:
determine if the occupancy level of the error buffer is below the predetermined threshold; and
responsive to a determination that the occupancy level of the error buffer is below' the predetermined threshold, decrease the write voltage of the memory' bank, wherein subsequent write operations are performed at a lower write voltage.
14. A memory device as described in Claim 9, wherein to increase the write voltage of tire memory bank, the logic module is further operable to:
determine if the write voltage of the memory' bank can be increased; and
responsive to a determination that the write voltage of the memory bank cannot be increased, increase a length of a pulse width for write cycles of the memory bank, wherein subsequent write operations are performed using the pulse width.
15. A method of writing data into a memory de vice, the method comprising: utilizing a pipeline to process write operations of a first plurali ty of data words addressed to a memory bank;
writing a second plurality of data -words and associated memory addresses into an error buffer wherein the error buffer is associated with the memory bank and wherein further each data word of the second plurali ty of data words is either awai ting wri te verifi cation associated with the memory bank or is to be re-written into the memory bank;
monitoring an occupancy level of the error buffer;
determining if the occupancy level of the error buffer has increased beyond a predetermined threshold; and
responsive to a determination that the occupancy level of the error buffer has increased beyond the predetermined threshold, increasing a length of a pulse width for write cycles of the memory bank, wherein subsequent write operations are performed using the pulse width.
16 The method of Claim 15, wire rein the increasing the write voltage of the memory bank comprises:
transmitting a signal to a write pulse-width control module, wherein the write pulse width control module controls write drivers on the memory bank to drive source and bit lines associated with memory cells of the memory bank for the pulse width during write cycles.
17 A method as described in Claim 15 wherein the memory bank comprises a plurality of magnetic random access memory (MRAM) cells.
18 A method as described in Claim 15 wherein the memory bank comprises a plurality of spin-transfer torque magnetic random access memory (STT-MRAM) ceils.
19 The method of Claim 15, further comprising:
determining if the occupancy le v el of the error buffer has dropped below tire
predetermined threshold; and
responsive to a determination that the occupancy level of the error buffer has dropped below the predetermined threshold, decreasing the length of the pulse width for the write cycles of the memory bank, wherein subsequent write operations are performed using a shorter pulse width.
20. The method of Claim 15, wherein the increasing the length of the pulse width for write cycles of the memory bank further comprises:
determining if the length of the pulse width for the write cycles of the memor bank can be increased; and
responsive to a determination that the length of the pulse width for the write cycles of the memory bank cannot be increased, increasing a write voltage level for write cycles of the memory bank, wherein subsequent write operations are perfonned using a higher write voltage.
PCT/US2019/046653 2018-08-30 2019-08-15 A method of optimizing write voltage based on error buffer occupancy WO2020046595A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/118,137 2018-08-30
US16/118,137 US10546625B2 (en) 2016-09-27 2018-08-30 Method of optimizing write voltage based on error buffer occupancy

Publications (1)

Publication Number Publication Date
WO2020046595A1 true WO2020046595A1 (en) 2020-03-05

Family

ID=69643082

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/046653 WO2020046595A1 (en) 2018-08-30 2019-08-15 A method of optimizing write voltage based on error buffer occupancy

Country Status (1)

Country Link
WO (1) WO2020046595A1 (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070113150A1 (en) * 2003-05-20 2007-05-17 Cray Inc. Apparatus and method for memory asynchronous atomic read-correct-write operation
US20110078538A1 (en) * 2009-09-28 2011-03-31 Sumio Ikegawa Magnetic memory
US20140237205A1 (en) * 2011-02-08 2014-08-21 Diablo Technologies Inc. System and method for providing a command buffer in a memory system
KR20160062809A (en) * 2014-11-25 2016-06-03 삼성전자주식회사 Memory system for improving raw bit error rate through rewrite and therefore rewrite method
WO2017183354A1 (en) * 2016-04-20 2017-10-26 Sony Corporation Magnetic storage element
US20190139590A1 (en) * 2016-09-27 2019-05-09 Spin Transfer Technologies, Inc Method of optimizing write voltage based on error buffer occupancy

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070113150A1 (en) * 2003-05-20 2007-05-17 Cray Inc. Apparatus and method for memory asynchronous atomic read-correct-write operation
US20110078538A1 (en) * 2009-09-28 2011-03-31 Sumio Ikegawa Magnetic memory
US20140237205A1 (en) * 2011-02-08 2014-08-21 Diablo Technologies Inc. System and method for providing a command buffer in a memory system
KR20160062809A (en) * 2014-11-25 2016-06-03 삼성전자주식회사 Memory system for improving raw bit error rate through rewrite and therefore rewrite method
WO2017183354A1 (en) * 2016-04-20 2017-10-26 Sony Corporation Magnetic storage element
US20190139590A1 (en) * 2016-09-27 2019-05-09 Spin Transfer Technologies, Inc Method of optimizing write voltage based on error buffer occupancy

Similar Documents

Publication Publication Date Title
US10460781B2 (en) Memory device with a dual Y-multiplexer structure for performing two simultaneous operations on the same row of a memory bank
US10192602B2 (en) Smart cache design to prevent overflow for a memory device with a dynamic redundancy register
US10192601B2 (en) Memory instruction pipeline with an additional write stage in a memory device that uses dynamic redundancy registers
US10446210B2 (en) Memory instruction pipeline with a pre-read stage for a write operation for reducing power consumption in a memory device that uses dynamic redundancy registers
US10437723B2 (en) Method of flushing the contents of a dynamic redundancy register to a secure storage area during a power down in a memory device
US10360964B2 (en) Method of writing contents in memory during a power up sequence using a dynamic redundancy register in a memory device
US10628316B2 (en) Memory device with a plurality of memory banks where each memory bank is associated with a corresponding memory instruction pipeline and a dynamic redundancy register
US10437491B2 (en) Method of processing incomplete memory operations in a memory device during a power up sequence and a power down sequence using a dynamic redundancy register
US10424393B2 (en) Method of reading data from a memory device using multiple levels of dynamic redundancy registers
US10818331B2 (en) Multi-chip module for MRAM devices with levels of dynamic redundancy registers
US11586553B2 (en) Error cache system with coarse and fine segments for power optimization
US11151042B2 (en) Error cache segmentation for power reduction
US10546625B2 (en) Method of optimizing write voltage based on error buffer occupancy
US11580014B2 (en) Heuristics for selecting subsegments for entry in and entry out operations in an error cache system with coarse and fine grain segments
US10990465B2 (en) MRAM noise mitigation for background operations by delaying verify timing
US11386010B2 (en) Circuit engine for managing memory meta-stability
WO2019133233A1 (en) A method of writing contents in memory during a power up sequence using a dynamic redundancy register in a memory device
US11010294B2 (en) MRAM noise mitigation for write operations with simultaneous background operations
US10991410B2 (en) Bi-polar write scheme
WO2020046595A1 (en) A method of optimizing write voltage based on error buffer occupancy
WO2019133244A1 (en) Smart cache design to prevent overflow for a memory device with a dynamic redundancy register
WO2019133299A1 (en) A memory device with a dual y-multiplexer structure for performing two simultaneous operations on the same row of a memory bank
WO2019133293A1 (en) A memory device with a plurality of memory banks where each memory bank is associated with a corresponding memory instruction pipeline and a dynamic redundancy register
WO2019133213A1 (en) A method of processing incompleted memory operations in a memory device during a power up sequence and a power down sequence using a dynamic redundancy register
WO2019133223A1 (en) A method of flushing the contents of a dynamic redundancy register to a secure storage area during a power down in a memory device

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19855825

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19855825

Country of ref document: EP

Kind code of ref document: A1