WO2019081167A1 - Projection system calibration method - Google Patents

Projection system calibration method

Info

Publication number
WO2019081167A1
WO2019081167A1 PCT/EP2018/076753 EP2018076753W WO2019081167A1 WO 2019081167 A1 WO2019081167 A1 WO 2019081167A1 EP 2018076753 W EP2018076753 W EP 2018076753W WO 2019081167 A1 WO2019081167 A1 WO 2019081167A1
Authority
WO
WIPO (PCT)
Prior art keywords
projection system
merit function
lot
parameters
weights
Prior art date
Application number
PCT/EP2018/076753
Other languages
French (fr)
Inventor
Pierluigi FRISCO
Giovanni IMPONENTE
James Robert Downes
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2019081167A1 publication Critical patent/WO2019081167A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors

Definitions

  • the present invention relates to a projection system calibration method.
  • the projection system may form part of a lithographic apparatus.
  • a lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a lithographic apparatus may for example project a pattern from a patterning device (e.g. a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate (e.g. a silicon wafer).
  • a patterning device e.g. a mask
  • resist radiation-sensitive material
  • the lithographic apparatus comprises a projection system.
  • the projection system comprises optical elements such as, for example, lenses.
  • Optical aberrations may arise from imperfections in the optical elements.
  • Optical aberrations may also arise from projection effects such as, for example, the heating of optical elements that occurs during a lithographic exposure.
  • Projection system models are used to determine one or more adjustments that may be made to the optical elements of the projection system. The determined adjustments may have the effect of reducing optical aberrations within the projection system.
  • a computer implemented method for calibrating a projection system model the projection system model being configured to determine and output a set of optical element adjustments based upon a merit function.
  • the merit function comprises a set of parameters and corresponding weights.
  • the method comprises performing a merit function update process at each of a plurality of instances during processing of a lot, the lot comprising a plurality of wafers.
  • the merit function update process outputs an updated merit function different to a current merit function.
  • the merit function update process may be performed during non-exposure periods during processing of the lot. This provides a way to facilitate the use of the method in substantially real-time, as wafers are exposed.
  • the merit function update process may be performed at least once for each wafer of the plurality of wafers of the lot. In this way, the merit function may be updated to account for aberrations within each wafer of the lot.
  • the merit function update process may be performed for each die of each wafer of the plurality of wafers of the lot, allowing for even greater adaptability.
  • the merit function update process may comprise executing an optimization algorithm starting from the initial set of parameters and weights to determine a second merit function comprising a second set of parameters and weights, the optimization algorithm scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
  • the merit function update process may comprise an evolutionary algorithm having a fitness function, the fitness function being configured to perform the scoring of different sets of parameters and weights.
  • the merit function update process may comprise simulated annealing.
  • the merit function update process may comprise executing an artificial neural network (ANN), wherein inputs to the ANN comprise aberrations measured on a wafer of the lot and a desired optimization target.
  • ANN artificial neural network
  • the ANN may have been trained using test data generated by exposing a plurality of test lots, each test lot comprising a plurality of wafers, wherein for each test lot, a second merit function update process is performed at each of a plurality of instances during processing of the test lot, the second merit function update process being different to the first merit function update process.
  • the second merit function update process may comprise executing an optimization algorithm starting from the initial set of parameters and weights to determine a second merit function comprising a second set of parameters and weights, the optimization algorithm scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
  • the second merit function update process may be configured to optimize at least one selected from the list comprising overlay, image plane deviation, astigmatism and root mean square sum of wavefront errors.
  • the second merit function update process may comprise simulated annealing.
  • the method may further comprise, for each merit function update process, adjusting the projection system using optical element adjustments output by the projection system model when executing using the updated merit function. In this way the projection system of the lithographic apparatus may be adjusted to account for optical aberrations that vary between wafers of a lot and/or between dies of a wafer.
  • a computer implemented method for calibrating a projection system comprises obtaining a set of optical element adjustments from an artificial neural network (ANN) at each of a plurality of instances during processing of a lot, the lot comprising a plurality of wafers.
  • ANN artificial neural network
  • the ANN may have been trained to output a set of optical element adjustments from inputs comprising an optimization target and a set of optical aberrations.
  • the ANN may have been trained using test data generated by exposing a plurality of test lots, each test lot comprising a plurality of wafers, wherein for each test lot, a merit function update process is performed at each of a plurality of instances during processing of the test lot.
  • the merit function update process may be performed at least once for each wafer of the plurality of wafers of the lot.
  • the merit function update process may be performed for each die of each wafer of the plurality of wafers of the lot.
  • the merit function update process may comprise executing an optimization algorithm starting from the initial set of parameters and weights to determine a second merit function comprising a second set of parameters and weights, the optimization algorithm scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
  • the merit function update process may comprise an evolutionary algorithm having a fitness function, the fitness function being configured to perform the scoring of different sets of parameters and weights.
  • the merit function update process may comprise simulated annealing.
  • a lithographic apparatus comprising: an illumination system configured to condition a radiation beam; a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; and a projection system configured to project the patterned radiation beam onto a target portion of the substrate, wherein the lithographic apparatus further comprises one or more controllers configured to perform the method of the first or second aspect and any of their associated aspects.
  • a computer program product comprising machine readable instructions for causing a processing device to perform the method of the first or second aspect and any of their associated options.
  • Figure 1 schematically depicts a lithographic apparatus comprising a computer configured to implement a method according to an embodiment of the invention
  • Figure 2A is a schematic illustration of a projection system model according to a described example
  • FIG. 2B is a flowchart of a projection system model according to a described example
  • Figure 3 is a flowchart of an evolutionary algorithm which may be used in a described example
  • Figure 4 is a flowchart of a projection system modelling method according to a described example
  • Figure 5 is a graph of a projection system characteristic changing as a result of applying a projection system modelling method according to a described example
  • Figure 6 is a flowchart of an exemplary projection system modelling method
  • Figure 7 is a flowchart showing exemplary processing that may be performed to calculate optical adjustments while processing lots of wafers.
  • Figure 8 is a plot showing improvements obtained determining a root mean square sum of wavefront errors using the processing of Figure 7.
  • lithographic apparatus in the manufacture of ICs
  • the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal displays (LCDs), thin film magnetic heads, etc.
  • LCDs liquid-crystal displays
  • any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively.
  • the substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist) or a metrology or inspection tool.
  • the disclosure herein may be applied to such and other substrate processing tools.
  • the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
  • UV radiation e.g. having a wavelength of 365, 248, 193, 157 or 126 nm
  • EUV extreme ultra-violet
  • particle beams such as ion beams or electron beams.
  • patterning device used herein should be broadly interpreted as referring to a device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • a patterning device may be transmissive or reflective.
  • Examples of patterning device include masks, programmable mirror arrays, and programmable LCD panels.
  • Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase- shift, as well as various hybrid mask types.
  • An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions; in this manner, the reflected beam is patterned.
  • a support structure holds the patterning device. It holds the patterning device in a way that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as, for example, whether or not the patterning device is held in a vacuum environment.
  • the support can use mechanical clamping, vacuum, or other clamping techniques, for example electrostatic clamping under vacuum conditions.
  • the support structure may be a frame or a table, for example, which may be fixed or movable as required and which may ensure that the patterning device is at a desired position, for example with respect to the projection system PL. Any use of the terms "reticle” or “mask” herein may be considered synonymous with the more general term "patterning device”.
  • projection system PL used herein should be broadly interpreted as encompassing various types of projection system PL, including refractive optical systems, reflective optical systems, and catadioptric optical systems, as appropriate for example for the exposure radiation being used, or for other factors such as the use of an immersion fluid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system PL".
  • illumination system used herein may encompass various types of optical components, including refractive, reflective, and catadioptric optical components for directing, shaping, or controlling the beam of radiation, and such components may also be referred to below, collectively or singularly, as a "lens”.
  • the lithographic apparatus may also be of a type wherein the substrate is immersed in a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the final element of the projection system PL and the substrate.
  • Immersion techniques are well known in the art for increasing the numerical aperture of projection systems PL.
  • Figure 1 schematically depicts a lithographic apparatus comprising a projection system PL manipulator according to a particular embodiment of the invention.
  • the apparatus comprises:
  • an illumination system IL to condition a beam PB of radiation (e.g. DUV radiation or EUV radiation).
  • a beam PB of radiation e.g. DUV radiation or EUV radiation.
  • a support structure (which may be referred to as a mask table) MT to support a patterning device (e.g. a mask) MA and connected to first positioning device PM to accurately position the patterning device with respect to a projection system PL;
  • a substrate table (which may be referred to as a wafer table) WT2 for holding a substrate (e.g. a resist coated wafer) W2 and connected to second positioning device PW2 for accurately positioning the substrate with respect the projection system PL;
  • the projection system PL e.g. a refractive projection lens
  • PL configured to image a pattern imparted to the radiation beam PB by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W2.
  • the apparatus is of a transmissive type (e.g. employing a transmissive mask).
  • the apparatus may be of a reflective type (e.g. employing a programmable mirror array of a type as referred to above).
  • the illuminator IL receives a beam of radiation from a radiation source SO.
  • the source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD comprising for example suitable directing mirrors and/or a beam expander.
  • the source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
  • the illuminator IL may comprise adjusting means AM for adjusting the angular intensity distribution of the beam. Generally, at least the outer and/or inner radial extent of the intensity distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL generally comprises various other components, such as an integrator IN and a condenser CO.
  • the illuminator provides a conditioned beam of radiation PB, having a desired uniformity and intensity distribution in its cross section.
  • the radiation beam PB is incident on the patterning device (e.g. mask) MA, which is held on the support structure MT. Having traversed the patterning device MA, the beam PB passes through the lens PL, which focuses the beam onto a target portion C of the substrate W2.
  • the substrate table WT2 can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB.
  • the first positioning device PM and another position sensor (which is not explicitly depicted in Figure 1) can be used to accurately position the patterning device MA with respect to the path of the beam PB, e.g.
  • the object tables MT and WT will be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the positioning device PM and PW.
  • the support structure MT may be connected to a short stroke actuator only, or may be fixed.
  • the lithographic apparatus may for example move the patterning device MA and the substrate W2 with a scanning motion when projecting the pattern from the patterning device onto a target portion C.
  • Cartesian coordinates are indicated in Figure 1.
  • the z-direction corresponds with an optical axis of the radiation beam PB.
  • the y-direction corresponds with the direction of scanning motion.
  • the lithographic apparatus may be of a type having two (dual stage) or more substrate tables WTl, WT2.
  • two substrate tables WTl, WT2 are provided in order to allow properties of one substrate Wl to be measured whilst exposure of another substrate W2 is taking place ("exposure of a substrate” means projection of patterned radiation onto the substrate as described above).
  • an alignment system AS is provided on the left-hand side of the figure.
  • the projection system PL is provided on the right-hand side of the figure.
  • the alignment system AS measures the positions of alignment marks provided on a substrate Wl (schematically depicted by boxes PI, P2) which is held on a first substrate table WTl.
  • a pattern is simultaneously projected by the projection system PL onto a substrate W2 held on a second substrate table WT2.
  • the substrate Wl supported by the first substrate table WTl is then exposed using patterned radiation projected by the projection system PL.
  • the already exposed wafer W2 supported by the second substrate table WT2 is removed from the substrate table for subsequent processing.
  • Another substrate is then placed on the second substrate table WT2 for measurement by the alignment system AS prior to exposure using patterned radiation projected by the projection system PL.
  • An interferometer (not depicted) and/or other position measurement means may be used to monitor the position of the substrate table WTl during alignment measurements.
  • a processor PR may receive data from the alignment system AS and also receive substrate table WTl position information. Since the substrate W is fixed on the substrate table WTl, position information relating to the substrate table may be taken as being position information relating to the substrate.
  • the projection system PL comprises optical elements such as, for example, lenses.
  • Optical aberrations may arise from imperfections in projection system PL optical elements.
  • Optical aberrations may also arise from projection effects such as, for example, the heating of optical elements occurring during lithographic exposures.
  • the term 'optical aberrations' as used herein takes its ordinary meaning.
  • Optical aberrations may result in projection errors such as, for example, overlay errors and/or focus errors.
  • the alignment of an image to its intended position on a substrate may be referred to as overlay. Inaccuracies in the alignment of an image to its intended position on a substrate may be known as overlay errors.
  • the projection of an image onto a substrate takes place with the image at an intended focus with respect to the substrate.
  • Deviations from the intended focus of an image on a substrate may be known as focus errors.
  • Optical aberrations (including, for example, those present in the projection system PL or caused by heating of components such as the patterning device MA or the substrate W) may be measured by methods that will be well known to those skilled in the art. Details of the measured optical aberrations may be stored on suitable storage media such as hard disk drives.
  • a controller 10 may measure, or receive measurements of, optical aberrations of the projection system PL.
  • the controller 10 may comprise or be connected to suitable storage media for storage of measured optical aberrations of the projection system PL for use in further processing described in more detail below.
  • a projection system model 11 (schematically depicted in Figure 2 A) may be used to determine one or more adjustments (referred to herein as optical element adjustments) that may be made to one or more of the optical elements of the projection system PL.
  • the determined adjustments may have the effect of reducing optical aberrations within the projection system PL.
  • the determined adjustments may have the effect of changing the optical aberrations such that the optical aberrations approach and/or reach a pre-determined set of non-zero optical aberrations.
  • the projection system model 11 may comprise one or more computer programs operable on one or more computers, such as the controller 10.
  • Figure 2B is a flowchart of processing that may be carried out during use of an exemplary projection system model 11.
  • the processing of Figure 2B may be carried out by, for example, the controller 10, or by another computer system (not shown).
  • the projection system model 11 receives input in the form of projection system characteristics 12.
  • the projection system characteristics 12 may comprise characteristics such as, for example, information relating to existing optical aberrations in the projection system PL (which may have been determined through suitable measurement, e.g. using a detector arrangement or by performing an exposure in a resist, or which may be known a priori, e.g. the characteristics may have been predicted using a model).
  • the projection system characteristics 12 may comprise other characteristics such as, for example, optical element adjustment constraints (e.g., constraints on the type and/or magnitude of mechanical adjustments that may be made to one or more of the optical elements within the projection system PL), overlay errors, and focus errors. Other projection system characteristics will be apparent to the skilled person from the teaching herein.
  • the projection system characteristics 12 provided to the projection system model may take the form of, for example, a numerical vector or a matrix, although it will be understood that the projection system characteristics may take any form.
  • Information relating to existing optical aberrations in the projection system PL may be represented as wavefront data in the form of Zernike polynomials.
  • Zernike polynomials are a set of polynomials that are orthogonal in a continuous manner on a unit disk. Zernike polynomials are used to represent wavefront data because they have a corresponding mathematical form to optical aberrations that occur in optical systems. Different Zernike polynomials represent different types of optical aberrations. For example, a first Zernike polynomial may represent a piston aberration whereas another Zernike polynomial may represent a spherical aberration. Zernike polynomials are often categorized as being either odd or even. Different categories of Zernike polynomials may correspond to different projection system characteristics.
  • even Zernike polynomials may correspond to focus errors whereas odd Zernike polynomials may correspond to overlay errors.
  • the Zernike polynomials may be categorized in any desired manner. Considering a larger number of Zernike polynomials provides more information about optical aberrations present in an optical system such as the projection system PL. Considering a larger number of Zernike polynomials provides more information about how different optical aberrations may be induced within the projection system PL via adjustments made to optical elements present within the projection system PL.
  • the first 64 Zernike polynomials may be considered for a thorough understanding of an optical system. Alternatively, 32 or 18 Zernike polynomials may be considered. Generally, it will be appreciated that any number of Zernike polynomials may be considered.
  • Information relating to existing optical aberrations in the projection system PL may be represented in different ways e.g. in the form of orientation Zernike polynomials.
  • step S2 After receiving the projection system characteristics 12 at step SI, processing passes to step S2, wherein an adjustment determination module 13 of the projection system model 11 determines optical element adjustments that may be applied to the projection system PL in order to improve the projection system characteristics.
  • the determination of optical element adjustments at step S2 may be by way of one or more of a number of techniques.
  • the adjustment determination module 13 attempts to compensate for optical aberrations by determining one or more optical element adjustments which, when made, induce further optical aberrations within the projection system PL, which act to correct for the existing optical aberrations.
  • the one or more techniques executed by the adjustment determination module 13 may involve, for example, single value decomposition, Tikhonov regularization, linear programming, quadratic programming, and other techniques as will be apparent to the skilled person from the foregoing.
  • An output 16 of the projection system model 11 may comprise one or more optical element adjustments which, if applied to the projection system PL, induce further optical aberrations to reduce the existing optical aberrations.
  • An output 16 of the projection system model 11 may comprise residual projection system characteristics that are expected to be present after the determined optical element adjustments have been made.
  • the projection system PL may comprise any number of optical elements.
  • the projection system PL may, for example, comprise between 4 and 50 optical elements or more.
  • Different optical elements may be subject to different optical element adjustments. Different optical elements may have different manipulation constraints. That is, different optical elements in the projection system may have different restrictions on the type and extent of adjustments available to them such as, for example, movement in the x, y and z directions, rotations, compressions, etc.
  • Different optical elements in the projection system may have different extents to which they are able to correct for optical aberrations present in the projection system PL. In general, increasing the number of optical elements and/or the type of optical element adjustments available and/or the extent of optical element adjustments available may increase the number of degrees of freedom associated with the projection system model 11.
  • Optical aberrations present in an optical element may be coupled to each other in complex ways. Adjusting an optical element to correct for one optical aberration may induce unwanted changes in one or more other optical aberrations present in the optical element.
  • the projection system model 11 has a target which defines the desired projection system characteristics for the projection system PL.
  • the projection system model attempts to achieve the target by determining optical element adjustments.
  • the projection system model uses a merit function 14 to determine optical element adjustments.
  • the merit function 14 comprises a set of parameters 15 which may be adjusted to provide different merit functions.
  • Each parameter is a mathematical formula applied to, for example, one or more Zernike polynomials. For instance, one parameter could be the least squared of Zernikes 2 and 3 or the mean error per field of Zernike 6.
  • Each parameter in the merit function can have an associated weight.
  • a dominant parameter 15 of the merit function 14 may be a least square term expressed as a weighted sum of Zernike polynomials.
  • the merit function 14 of the projection system model 11 may take the following form:
  • a m is a measured (or known) optical aberration
  • a c is a further optical aberration induced by the optical element adjustments calculated by the adjustment determination module 13 and x, y and z represent other parameters in the merit function 14.
  • the other parameters may comprise functions of other projection system characteristics such as, for example, optical element adjustment constraints, other functions of Zernike polynomials, root mean square sum of wavefront errors, overlay errors, etc.
  • the z parameter is followed by an ellipsis to indicate that more than three additional parameters 15 may be included within the merit function 14.
  • the other parameters may, for example, comprise Tikhonov variables that may be related to the extent of the optical element adjustments output 16 by the projection system model.
  • the other parameters may, for example, comprise Gembicki variables that may be related to the behaviour of different Zernike polynomials across an exposure slit or "field" of the lithographic apparatus. That is, different parts of the radiation beam PB exit the exposure slit at different points along the exposure slit and subsequently travel through different parts of the projection system PL which may have different optical aberrations.
  • the Gembicki variables may be related to a maximum value of a Zernike polynomial across the field.
  • the parameters may be related to different techniques used in the adjustment determination model, e.g. one parameter may determine the number of iterations that are performed during single value decomposition.
  • the parameters 15 may comprise functions of any projection system characteristics.
  • the functions may take any form, such as, for example, linear functions, quadratic functions, etc.
  • Some parameters 15 may be linearly added to the merit function.
  • Some parameters 15 may be non-linearly added to the merit function or can be non-linear functions of the variables to be optimized.
  • step S3 After determining one or more optical element adjustments at step S2, processing passes to step S3, in which the optical element adjustments determined by the projection system model are utilized.
  • the optical element adjustments may be provided to one or more actuators (not shown in Figure 1) within the projection system PL which are configured to perform the determined optical element adjustments.
  • An arrow from the controller 10 to the projection system PL in Figure 1 schematically illustrates the passing of optical element adjustments from the controller 10 to the one or more actuators of the projection system PL.
  • step S4 in which a measurement of the projection system characteristics that have resulted from the optical element adjustments is performed. The measurement may, for example, be performed using a detector arrangement.
  • the measurement may, for example, involve performing a lithographic exposure with the projection system PL and analysing the resulting overlay errors and focus errors etc.
  • the process depicted by Figure 2B may be executed again if the results of the measurement of the projection system characteristics are undesirable, e.g. if the overlay errors present are greater than a pre-determined limit. That is, the resulting projection system characteristics may be fed back into the projection system model 11 at step S 1 and the process may be repeated.
  • the parameters 15 of the merit function 14 may have associated mathematical weights 17.
  • a weight 17 may represent the importance of a parameter 15 to the projection system model 11. That is, for example, the larger the weight 14 that is assigned to a particular Zernike polynomial, the more the adjustment determination module 13 will attempt to reduce that Zernike polynomial, and thus its associated optical aberration.
  • the other parameters 15 may, for example, comprise numerical weights 17 that may be assigned to terms that represent dynamic (or "fading") effects that occur during a lithographic exposure (e.g. radiation exiting different positions along an exposure slit may experience different effects when travelling through the projection system PL).
  • the other parameters 15 may, for example, comprise weights 17 assigned to Gembicki variables and/or Tikhonov variables. In general, any projection system characteristic may have a weight 17 assigned to it.
  • Different projection system model merit function parameters 15 may be coupled to each other in unknown and undesired ways. For example, adjusting optical elements to reduce one Zernike polynomial may increase one or more other Zernike polynomials. That is, one optical aberration present in the projection system PL may be reduced with one or more optical element adjustments that also result in an undesirable increase of one or more other optical aberrations.
  • Other parameters 15 of the projection system model 11 may also be unpredictably affected by the minimization of one or more particular Zernike polynomials. For example, minimising a particular Zernike polynomial may have the unintended consequence of increasing a focus error associated with the projection system PL.
  • the target of the projection system model 11 may involve different constraints.
  • a target of the projection system model 11 may be to achieve minimum optical aberrations.
  • the target of the projection system model 11 may be to achieve minimum optical aberrations whilst also constraining the number of optical element adjustments needed to achieve those optical aberrations below a defined threshold.
  • the target of the projection system model may be to minimise multiple Zernike polynomials, e.g. 64 Zernike polynomials, in order to reduce overlay errors whilst also minimising any errors (such as, for example, focus errors) that are affected by the minimisation of the Zernike polynomials.
  • a solution space of the projection system model 11 may be complex.
  • the manner in which weights 17 are assigned to different parameters 15 may affect how well the projection system model 11 can search the complex solution space and thus ultimately affect the quality of the output 16 provided by the projection system model 11.
  • known projection system models assign weights 17 to parameters 15 in a simplistic manner. For example, each odd Zernike may be assigned a weight 17 of 1 whereas each even Zernike may be assigned a weight 17 of 0.
  • each odd Zernike may be assigned a weight 17 of 2
  • each even Zernike may be assigned a weight 17 of 4
  • each higher order Zernike may be assigned a weight 16 of 3.
  • These weights 16 are generally chosen for their simplicity and convenience.
  • an optimization algorithm may be used to search for projection system model merit function parameters 15 and their associated weights 17 that result in improved performance (according to one or more desired measures) when those weights are used in the projection system model.
  • the optimization algorithm may, for example, be an evolutionary algorithm.
  • the optimization algorithm may comprise an algorithm that involves techniques such as, for example, (adaptive) simulated annealing or quadratic programming.
  • FIG 3 is a flowchart of a known, evolutionary (also known as genetic) algorithm.
  • the evolutionary algorithm receives or generates part of a full initial population of individuals.
  • Each individual represents one possible set of values that may be used as a solution to a posed problem. For example, if the posed problem is to find an improved set of weights 17 to be assigned to Zernike polynomials in the projection system model merit function 14, then each individual in the population may represent a set of weights 17 that may be assigned to Zernike polynomials in the parameters 15.
  • An individual may comprise other values.
  • an individual may comprise a set of numerical weights 17 that are to be assigned to other projection system characteristics such as, for example, overlay errors or optical element adjustment constraints that may be present in the parameters 15.
  • An individual may comprise a set of numerical weights 17 that are to be used for other purposes in the projection system model merit function 14.
  • An individual may, for example, comprise terms that may be added to the projection system model merit function 14 in order to provide a solution for a specific problem (e.g. to provide unique optical aberrations required for a particular lithographic exposure).
  • the individuals may comprise any parameters and/or weights involved in the merit function 14 of the projection system model 11.
  • a wavefront may be defined by a linear combination of Zernike polynomials.
  • Each individual may comprise a set of weights for each Zernike polynomial, wherein the weights are to be optimized by the evolutionary algorithm with respect to one or more particular performance metrics (such as least squares, overlay, astigmatism, etc.).
  • the evolutionary algorithm comprises a fitness function (also sometimes known as an optimization function).
  • the fitness function determines how appropriate (or "fit") an individual is for solving the posed problem.
  • the posed problem may be how to improve the ability of the projection system model 11 to search the complex solution space such that the projection system model 11 may provide an improved set of optical element adjustments.
  • the fitness function provides a score for each individual of the initial population that reflects how good a solution each individual is for the posed problem according to the fitness function. It will be appreciated that the particular way in which the fitness function operates will depend upon the nature of the problem that the evolutionary algorithm is to solve.
  • step S12 may correspond with the process depicted in Figure 2B. That is, the fitness function may determine how good a merit function of the projection system model 11 is by assessing the projection system characteristics that result from the output 16 of the projection system model 11.
  • step S13 the score that was assigned by the fitness function to the best individual of the initial population is evaluated to see if it is satisfactory. If the score assigned to the best individual of a population is satisfactory then the best individual is the desired solution to the posed problem. If the score assigned to the best individual of the initial population is not satisfactory then the population is evolved until a next generation population is produced. Whether a score is satisfactory or not may be determined on comparison with a pre-determined score. Alternatively the evolutionary algorithm may output the best individual of a population after a pre-determined time or after a pre-determined number of generations have been produced or after a pre-determined number of evolutionary processes have been applied to the populations. The evolutionary algorithm may output the best individual of a population after any desired limit is reached and/or any desired result is achieved.
  • step S14 the evolutionary algorithm "evolves" the population of individuals via known processes such as reproduction, mutation, recombination and selection. For example, the individuals with the best scores may be selected for reproduction with each other to produce new individuals. Alternatively, some individuals can be stored and not take part in a particular round of reproduction. New individuals may be produced via an existing individual experiencing a random change, i.e. a mutation. New individuals may be produced via recombination occurring between more than one parent individual.
  • the evolutionary algorithm repeats these processes until a next generation population of individuals is produced. The processes may be repeated for a pre-determined amount of time. The processes may be repeated 1 a pre -determined number of times. The process may be repeated until a predetermined number of individuals have been produced. The processes may be repeated until a predetermined number of populations have been generated.
  • step S15 the fitness function provides a score for each individual of the next generation population.
  • the process depicted in Figure 2B may be performed using a merit function determined by the evolutionary algorithm and the output may be assessed using the fitness function.
  • step S16 the score that was assigned by the fitness function to the best individual of the population is evaluated to see if it is satisfactory. If the score assigned to the best individual of a population is not satisfactory then processing returns to step S14 and the population is evolved again until a "next generation" population is produced. If the score assigned to the best individual of a population is satisfactory then processing passes to step S17 and the best individual is output as the desired solution to the posed problem.
  • FIG. 4 is a flowchart of an example of a method that may be used to obtain a merit function 14 of a projection system model 11.
  • step S21 an initial population of individuals is received.
  • each individual is a set of projection system model merit function parameters and associated weights.
  • the initial population provided to the evolutionary algorithm may comprise individuals that each represent a 'simplistic' (i.e., non-optimized, naive, or arbitrary) set of Zernike weights.
  • the initial population may comprise individuals that are currently or have previously been used within the projection system PL.
  • a first individual of the initial population may, for example, include a weight of 1 for odd Zernike polynomials, a weight of 2 for even Zernike polynomials and a weight of 3 for higher order Zernike polynomials.
  • a second individual of the initial population may, for example, include a weight of 5 for odd Zernike polynomials, a weight of 10 for even Zernike polynomials and a weight of 0 for higher order Zernike polynomials.
  • the Zernike polynomials may be categorized in different ways and each category may be assigned a different weight. Alternatively, as described above, each Zernike polynomial within a linear combination of Zernikes defining the wavefront may be assigned a respective weight, with the weights being the object of the optimization.
  • An evolutionary algorithm is then applied to the received initial population.
  • the process applied by the evolutionary algorithm may, in an example, be similar to that described above with reference to in figure 3.
  • processing passes from step S21 to step S22, wherein the projection system model 11 is executed for the initial population.
  • the initial population is scored based on a fitness function of the evolutionary algorithm.
  • the fitness function of the evolutionary algorithm is a function of the projection system model 11.
  • the fitness function of the evolutionary algorithm is based upon the output of the projection system model 11. That is, the fitness function of the evolutionary algorithm scores individuals of each population based upon how close the outputs 16 of the projection system model 11, using a merit function 14 based upon that individual, are to a target.
  • Processing passes from step S23 to step S24 at which a new population of individuals is evolved as described above with reference to Figure 3.
  • step S25 the projection system model is executed again for the current generation population.
  • the process applied by the projection system model may, for example, as described above with reference to figure 2.
  • the fitness function may score an individual by analyzing the output 16 of the projection system model 11 when using a merit function 14 based on the individual with reference to a target. For example, the residual aberrations output by the projection system model 11 may be assessed and potential improvements to the projection system characteristics evaluated to determine whether or not the projection system is now capable of performing a desired lithographic exposure with desired characteristics. If it is determined, at step S26 that no individual in the current generation produces an output 16 that is satisfactory, then processing may return to step S24 and a new population evolved. If, on the other hand, it is determined at step S26 that the output 16 of the projection system model 11 is satisfactory for one individual of the current generation then the evolutionary algorithm may end.
  • the fitness function of the evolutionary algorithm may be evaluated using the output 16 of the projection system model 11. That is, if the output 16 of the projection system model 11 is unsatisfactory then the fitness function of the evolutionary algorithm may be changed.
  • the evolutionary algorithm may output a set of optimal solutions in the form of a Pareto front. That is, the evolutionary algorithm may output a Pareto front of merit functions that are each optimal in different respects. For example, a first merit function in the Pareto front may enable the projection system model to minimize a first Zernike polynomial whereas a second merit function in the Pareto front may enable the projection system model to minimize a second Zernike polynomial.
  • step S27 of Figure 4 the projection system model output 16 obtained using the merit function 14 that includes a chosen individual from the evolutionary algorithm may be provided to the projection system PL to manipulate the optical elements of the projection system PL in accordance with the determined optical element adjustments.
  • Step S27 is depicted in dashed outline to indicate that this step is optional within the example processing of Figure 4.
  • a lithographic exposure may be performed.
  • the projection system characteristics may have improved due to the optical element adjustments calculated by the projection system model 11 with the improved merit function 14.
  • the results of the lithographic exposure may therefore be improved compared to a lithographic exposure performed prior to the optical element adjustments.
  • a post- adjustment exposure may have smaller overlay errors, fewer optical element adjustments, and reduced focus errors, among other improvements.
  • the design of the fitness function of the evolutionary algorithm is important to produce good solutions.
  • the design of the fitness function depends on the parameters and/or weights of the projection system model that are provided to the evolutionary algorithm.
  • the fitness function may, for example, be designed around optical aberrations and Zernike weights that are provided to the projection system model.
  • the fitness function may be designed around projection system characteristics that can be determined from the output of the projection system model, e.g. overlay errors or a root mean square sum of wavefront errors.
  • the fitness function may be changed in accordance with a change in the target of the projection system model 11. For example, in a first case a target of the projection system model may be to reduce a specific Zernike polynomial more so than other Zernike polynomials whereas in a second case the target of the projection system model may be to reduce the overlay error in a specific direction across a substrate.
  • the fitness function may be different for these two cases and for other cases.
  • the fitness function may change between different iterations of the evolutionary algorithm in order to achieve different results, which may depend on the input at each iteration.
  • the evolutionary algorithm may be executed with a first fitness function that aims to minimize a first Zernike polynomial.
  • the evolutionary algorithm may be executed with a second fitness function that aims to minimize a second Zernike polynomial without negatively affecting the first Zernike polynomial.
  • Figure 5 is a graph showing improved projection system characteristics as a result of applying the projection system modelling method described herein.
  • the graph plots a simulated root mean square sum of wavefront errors against the number of evolutionary algorithm iterations that have taken place, where a smaller root mean square is considered to be better.
  • the root mean square sum of wavefront errors represents the deviation of a wavefront from an ideal spherical wavefront as averaged across the entire wavefront. Deviations of a wavefront from its ideal form may be caused by the presence of optical aberrations in the projection system.
  • the line labelled "Min” represents the lowest scoring individual of a population.
  • the line labelled "Max” represents the highest scoring individual of a population.
  • the line labelled “Ref ' represents the results achieved using the simplistic weighting method that is currently applied in projection system models.
  • the line labelled "Best” represents the results achieved using the best individual of the final iteration of the evolutionary algorithm. It can be seen that as the number of evolutionary algorithm iterations increases the “Min” and “Max” lines converge. This is because the individuals in each successive population tend to improve as a result of the evolutionary algorithm.
  • the optimization of the merit function may be performed by (adaptive) simulated annealing.
  • the definition of a state and energy is similar to the one of 'individual' and fitness function, respectively, for the evolutionary algorithm.
  • the annealing parameter can be, for example, a fast or Boltzman annealing, while a temperature update function can be linear, exponential or logarithmic. Other annealing parameters and temperature update functions (as found in literature or new ones specific to the problem of interest) may also be used.
  • the reannealing function can depend, for each dimension, on the slope of the current state or on the slope of a state obtained from previous states. For instance, one could consider two previous states: the current state one and the one obtained in an earlier iteration. Then one could compute the slope of the mean of these two states. Functions different than the mean can be used. More than two states may also be considered.
  • the projection system modelling method described herein may be applied to determine adjustments for a single optical element or multiple optical elements. For example, during production of a projection system, individual optical elements may be calibrated before forming part of the projection system. The projection system modelling method described herein may be used to improve the calibration of individual optical elements. The projection system modelling method described herein may be applied for different layers and/or different features of a device created using a lithographic apparatus in order to improve the projection system characteristics for those particular layers and/or features.
  • the tuning of the merit function 14 of the projection system model 11 has been performed "off-line", by which it is meant that the tuning of the merit function 14 does not depend on the current lens aberration state.
  • the tuning of the merit function 14 has conventionally been performed once, at a particular point in time during a "lot" (i.e., a set of wafers to be exposed), such as before processing a first wafer of the lot, or at a "saturated state" (lens heating during exposures is characterized by an increase of aberrations which, after some time, reach a maximum and remains stable at a constant value which is referred to as a 'saturated state').
  • Figure 6 is a flowchart illustrating conventional processing for calculating optical element adjustments during the exposure of a lot.
  • the method of Figure 6 may be performed by a controller, such as, for example, the controller 10. While references below to operations of readying lots, wafers and dies for processing will generally correspond with physical operations relating to the processing of wafers, it will be appreciated that usage in the context of Figure 6 refers to internal processing within the control flow of Figure 6 (e.g. setting of relevant variables, terms, etc.), rather than to the physical actions themselves.
  • step S30 a first lot is obtained for processing. Processing passes from step S30 to step S31 at which point in time the projection system model 22 is generated using a merit function 20.
  • the generation of the projection system model 22 may be, for example, as described above with reference to Figure 4, in which the merit function 20 is generated and optimized by a computer without any human intervention. In other embodiments, the merit function 20 may be selected manually by a human. In any event, however, the merit function 20 is generated at a particular point in time such that it is a static input into the processing of Figure 6. The merit function 20 is therefore based upon expected aberrations for the entire lot, determined at the time that the merit function 20 is generated.
  • step S31 Processing passes from step S31 to step S32, at which the first wafer of the current lot is readied for processing. From step S32, processing passes to step S33, at which point in time the first die of the current wafer is readied for processing. Processing passes from step S33 to step S34 at which the generated projection system model 22 is used to calculate optical element adjustments for the current die.
  • the processing of step S34 may be, for example, as described above with reference to Figure 2B.
  • step S35 processing passes to step S35 at which it is determined whether there are further dies for patterning. If the determination at step S35 is positive, processing passes to step S36 at which the next die is readied for processing. From step S36, processing returns to step S34 and optical element adjustments are calculated for the next die.
  • step S35 If the determination at step S35 is negative (i.e., there are no more dies on the current wafer), processing passes from step S35 to step S37 at which time it is determined whether there are further wafers within the current lot. If the determination at step S37 is positive, processing passes to step S38 at which time the next wafer is readied for processing. From step S38, processing passes back to step S33. If the determination at step S37 is negative, processing passes to step S39 at which time it is determined whether there are further lots to process. If the determination at step S39 is positive, processing passes to step S40 and the next lot is readied for processing. From step S40, processing may pass back to step S31.
  • the projection system model 20 may be regenerated for the next lot.
  • the merit function 20 may be retuned on the basis of expected aberrations for the next lot. If the determination at step S39 is negative, processing ends at step S41.
  • a process is provided which allows for a merit function of a projection system model to adapt based to the variable aberrations that are experienced between wafers of a single lot.
  • Figure 7 is a flowchart showing outline exemplary processing that may be performed to calculate optical adjustments while processing lots of wafers.
  • step S50 a first lot is readied for processing. Processing passes from step S50 to step S51 at which time a first wafer within the current lot is readied for processing. Processing passes from step S51 to step S52 at which time a merit function 30 is generated for a projection system model 32.
  • the generation of the merit function 30 may be performed using the processing of or similar to that described above with reference to Figure 4.
  • the generation of the merit function 30 is based upon expected aberrations for the current wafer. For example, the generation of the merit function 30 may be based upon aberrations measured at the first die of the current wafer. Optical aberrations may be measured by methods that will be well known to those skilled in the art.
  • step S53 processing passes to step S53 at which time the projection system model 32 is generated, based on the merit function 30. Processing passes from step S53 to step S54, at which time the first die of the current wafer is readied for processing. Processing passes from step S54 to step S55 at which time the generated projection system model 32 is used to calculate optical element adjustments for the current die.
  • the processing of step S55 may be, for example, as described above with reference to Figure 2B.
  • step S55 processing passes to step S56 at which time it is determined whether there are further dies for patterning. If the determination at step S56 is positive, processing passes to step S57 at which time the next die is readied for processing. From step S57, processing returns to step S55 and optical element adjustments are calculated for the next die.
  • step S56 determines whether there are further wafers within the current lot. If the determination at step S58 is positive, processing passes to step S59 at which time the next wafer is readied for processing. From step S59, processing passes back to step S52, such that a new merit function is eventually generated for the current wafer, based on the aberrations expected for that particular wafer.
  • step S58 If the determination at step S58 is negative, processing passes to step S60 at which it is determined whether there are further lots to process. If the determination at step S60 is positive, processing passes to step S61 and the next lot is readied for processing. From step S61, processing passes back to step S51.
  • step S60 If the determination at step S60 is negative, processing ends at step S62.
  • a merit function may be calculated for each of a predetermined number of dies (e.g. every three dies), or for each of a predetermined number of wafers (e.g. every three wafers). That is, it will be appreciated that benefit from the presently described techniques may be obtained from any sequence of intra-lot merit function optimization calculations.
  • Figure 8 is a plot showing improvements obtained in a residual root mean square sum of wavefront errors when minimizing Zernike polynomials when using processing similar to that of Figure 7 such that optimizations are determined during the processing of a lot (intra-lot).
  • the wafers within a lot are plotted along the horizontal axis while the root mean square sum of wavefront errors is plotted on the vertical axis.
  • a first plot 40 depicts the change in root mean square sum of wavefront errors over a lot when a merit function is generated based upon the expected aberrations determined from a first wafer the lot. It can be seen that in this case the root mean square sum of wavefront errors decreases over the lot.
  • a second plot 42 depicts the change in root mean square sum of wavefront errors over a lot when a merit function is generated based upon a last wafer of the current lot. It can be seen that in this case, the root mean square sum of wavefront errors starts low but increases over the lot.
  • a final plot 44 depicts the change in root mean square sum of wavefront errors using the processing of Figure 7. Here it can be seen that the root mean square sum of wavefront errors remains low over the entire lot, providing a significant benefit over known methods. The techniques described with reference to Figure 7 allow for optimization which is both time-dependent and feature -dependent.
  • the processing of Figure 7 results in an improvement per wafer of, for example 50% (as shown in Figure 8) on relevant features.
  • a solution is obtained which targets reduced residuals of both odd and even Zernikes. For example, providing a fitness function which seeks an overlay improvement of 50% on the root mean square sum of wavefront errors for even Zernikes, no material degradation is observed in the root mean square sum of wavefront errors for odd Zernikes, and improvements in any relevant imaging parameter (e.g., overlay, image plane deviation, astigmatism, root mean square, etc.) may be targeted.
  • any relevant imaging parameter e.g., overlay, image plane deviation, astigmatism, root mean square, etc.
  • an artificial neural network may be used to obtain the benefits of a relatively computationally intensive algorithm (such as the processing of Figure 7) during a lot, where it may otherwise not be possible to use that algorithm to calculate projection system model adjustments sufficiently quickly.
  • the processing of Figure 7 may be used to train an artificial neural network (ANN).
  • ANN artificial neural network
  • an ANN trained using data from test lots may take as inputs actual aberrations measured for each die or wafer (or for each of a predetermined number of dies or wafers - e.g. every three dies, or every three wafers, etc.) before the die is exposed together with a desired performance measure to be optimized (also referred to herein as an optimization target) and output one or more optical element adjustments.
  • a set of training data may be generated by performing the processing of Figure 7 on one or more test lots, that is lots which are exposed before production begins.
  • the processing of Figure 7 provides for accurate optical adjustments, which account for aberrations which vary between wafers of a lot.
  • the ANN may be trained to output beneficial optical adjustments.
  • the ANN may be used during exposure of lots, thereby providing a system that can operate in real-time while still providing adaptation to experienced aberration variability.
  • the ANN may be a feedforward ANN, a radial basis function ANN, a recurrent ANN or may comprise a modular neural network comprising modules of different forms and types.
  • the ANN can have one or more layers of hidden nodes and it can operate with real or complex numbers or a combination thereof. It will further be appreciated that the training of the ANN will depend upon the particular type of ANN that is selected.
  • an ANN may be trained to replace (during production, e.g., exposure of lots) the processing of step S52 of Figure 7. That is, while it is described above that the processing of step S52 of Figure 7 may utilise, for example, the processing of steps S21 to S27 of Figure 4, in some embodiments, the processing of step S52 of Figure 7 may be provided an ANN that has been trained on data generated by test lots exposed using the processing of Figure 4. In this way, a projection system model may be calculated quickly during exposure of lots to allow for intra-lot optimization calculations.
  • an ANN may trained using data from test lots, may take as input one or more of a current state of the projection system, a desired performance metric it is desired to target with the optimization, and actual or expected aberrations, and output projection system model merit function parameters and weights directed to optimizing the desired optical performance metric.
  • Embodiments of the invention may form part of a mask inspection apparatus, a metrology apparatus, or any apparatus that measures or processes an object such as a wafer (or other substrate) or mask (or other patterning device). These apparatus may be generally referred to as lithographic tools. Such a lithographic tool may use vacuum conditions or ambient (non-vacuum) conditions.
  • the illumination optics, optics and detection optics may encompass various types of optical components, including refractive, reflective, and catadioptric optical components for directing, shaping, or controlling the beam of radiation.
  • EUV radiation may be considered to encompass electromagnetic radiation having a wavelength within the range of 4-20 nm, for example within the range of 13-14 nm. EUV radiation may have a wavelength of less than 10 nm, for example within the range of 4-10 nm such as 6.7 nm or 6.8 nm.
  • Embodiments of the invention may be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the invention may also be implemented as instructions stored on a machine -readable medium, which may be read and executed by one or more processors.
  • a machine -readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device).
  • a machine-readable medium may include read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other forms of propagated signals (e.g. carrier waves, infrared signals, digital signals, etc.), and others.
  • firmware, software, routines, instructions may be described herein as performing certain actions. However, it should be appreciated that such descriptions are merely for convenience and that such actions in fact result from computing devices, processors, controllers, or other devices executing the firmware, software, routines, instructions, etc.
  • a computer implemented method for calibrating a projection system model the projection system model being configured to determine and output a set of optical element adjustments based upon a merit function, the merit function comprising a set of parameters and corresponding weights, the method comprising:
  • the merit function update process outputs an updated merit function different to a current merit function.
  • the merit function update process is performed for each die of each wafer of the plurality of wafers of the lot. 5. The method of any preceding clause, wherein the merit function update process comprises executing an optimization algorithm starting from the initial set of parameters and weights to determine a second merit function comprising a second set of parameters and weights, the optimization algorithm scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
  • the merit function update process comprises an evolutionary algorithm having a fitness function, the fitness function being configured to perform the scoring of different sets of parameters and weights.
  • the merit function update process comprises executing an artificial neural network (ANN), wherein inputs to the ANN comprise aberrations measured on a wafer of the lot and a desired optimization target.
  • ANN artificial neural network
  • a second merit function update process is performed at each of a plurality of instances during processing of the test lot, the second merit function update process being different to the first merit function update process.
  • the second merit function update process comprises executing an optimization algorithm starting from the initial set of parameters and weights to determine a second merit function comprising a second set of parameters and weights, the optimization algorithm scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
  • a computer implemented method for calibrating a projection system comprising: obtaining a set of optical element adjustments from an artificial neural network (ANN) at each of a plurality of instances during processing of a lot, the lot comprising a plurality of wafers.
  • ANN artificial neural network
  • a merit function update process is performed at each of a plurality of instances during processing of the test lot.
  • the merit function update process comprises executing an optimization algorithm starting from the initial set of parameters and weights to determine a second merit function comprising a second set of parameters and weights, the optimization algorithm scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
  • a lithographic apparatus comprising:
  • an illumination system configured to condition a radiation beam
  • a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; and
  • a projection system configured to project the patterned radiation beam onto a target portion of the substrate
  • the lithographic apparatus further comprises one or more controllers configured to perform the method of any of clauses 1 to 21.
  • a computer program product comprising machine readable instructions for causing a processing device to perform the method of any one of clauses 1 to 21.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

A computer implemented method for calibrating a projection system model. The projection system model is configured to determine and output a set of optical element adjustments based upon a merit function, the merit function comprising a set of parameters and corresponding weights. The method comprises performing a merit function update process at each of a plurality of instances during processing of a lot, the lot comprising a plurality of wafers. The merit function update process outputs an updated merit function different to a current merit function.

Description

Projection System Calibration Method
CROSS-REFERENCE TO RELATED APPLICATIONS
[001] This application claims priority of EP application 17198087.3 which was filed on October 24, 2017 and which is incorporated herein in its entirety by reference.
FIELD
[002] The present invention relates to a projection system calibration method. The projection system may form part of a lithographic apparatus.
BACKGROUND
[003] A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus may for example project a pattern from a patterning device (e.g. a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate (e.g. a silicon wafer).
[004] The lithographic apparatus comprises a projection system. The projection system comprises optical elements such as, for example, lenses. Optical aberrations may arise from imperfections in the optical elements. Optical aberrations may also arise from projection effects such as, for example, the heating of optical elements that occurs during a lithographic exposure. Projection system models are used to determine one or more adjustments that may be made to the optical elements of the projection system. The determined adjustments may have the effect of reducing optical aberrations within the projection system.
[005] It may be desirable to provide, for example, a projection system modelling method which obviates or mitigates one or more of the problems of the prior art, whether identified herein or elsewhere.
SUMMARY
[006] Unless otherwise explicitly stated, terms such as first, second, etc. are used as labels and do not impart temporal limitation.
[007] According to a first aspect of the invention, there is provided a computer implemented method for calibrating a projection system model, the projection system model being configured to determine and output a set of optical element adjustments based upon a merit function. The merit function comprises a set of parameters and corresponding weights. The method comprises performing a merit function update process at each of a plurality of instances during processing of a lot, the lot comprising a plurality of wafers. The merit function update process outputs an updated merit function different to a current merit function. [008] In this way, a method is provided which allows for a merit function of a projection system model to adapt based to the variable aberrations that are experienced between wafers of a single lot.
[009] The merit function update process may be performed during non-exposure periods during processing of the lot. This provides a way to facilitate the use of the method in substantially real-time, as wafers are exposed.
[0010] The merit function update process may be performed at least once for each wafer of the plurality of wafers of the lot. In this way, the merit function may be updated to account for aberrations within each wafer of the lot.
[0011] The merit function update process may be performed for each die of each wafer of the plurality of wafers of the lot, allowing for even greater adaptability.
[0012] The merit function update process may comprise executing an optimization algorithm starting from the initial set of parameters and weights to determine a second merit function comprising a second set of parameters and weights, the optimization algorithm scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
[0013] The merit function update process may comprise an evolutionary algorithm having a fitness function, the fitness function being configured to perform the scoring of different sets of parameters and weights. The merit function update process may comprise simulated annealing.
[0014] The merit function update process may comprise executing an artificial neural network (ANN), wherein inputs to the ANN comprise aberrations measured on a wafer of the lot and a desired optimization target. In this way, the updating of the merit function may take place in real-time (or substantially real-time) by reducing the computing resources necessary to calculate the updated merit function. The ANN may have been trained using test data generated by exposing a plurality of test lots, each test lot comprising a plurality of wafers, wherein for each test lot, a second merit function update process is performed at each of a plurality of instances during processing of the test lot, the second merit function update process being different to the first merit function update process.
[0015] The second merit function update process may comprise executing an optimization algorithm starting from the initial set of parameters and weights to determine a second merit function comprising a second set of parameters and weights, the optimization algorithm scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
[0016] The second merit function update process may be configured to optimize at least one selected from the list comprising overlay, image plane deviation, astigmatism and root mean square sum of wavefront errors.
[0017] The second merit function update process may comprise simulated annealing. [0018] The method may further comprise, for each merit function update process, adjusting the projection system using optical element adjustments output by the projection system model when executing using the updated merit function. In this way the projection system of the lithographic apparatus may be adjusted to account for optical aberrations that vary between wafers of a lot and/or between dies of a wafer.
[0019] According to a second aspect described herein, there is provided a computer implemented method for calibrating a projection system. The method comprises obtaining a set of optical element adjustments from an artificial neural network (ANN) at each of a plurality of instances during processing of a lot, the lot comprising a plurality of wafers.
[0020] The ANN may have been trained to output a set of optical element adjustments from inputs comprising an optimization target and a set of optical aberrations.
[0021] The ANN may have been trained using test data generated by exposing a plurality of test lots, each test lot comprising a plurality of wafers, wherein for each test lot, a merit function update process is performed at each of a plurality of instances during processing of the test lot.
[0022] The merit function update process may be performed at least once for each wafer of the plurality of wafers of the lot. The merit function update process may be performed for each die of each wafer of the plurality of wafers of the lot.
[0023] The merit function update process may comprise executing an optimization algorithm starting from the initial set of parameters and weights to determine a second merit function comprising a second set of parameters and weights, the optimization algorithm scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
[0024] The merit function update process may comprise an evolutionary algorithm having a fitness function, the fitness function being configured to perform the scoring of different sets of parameters and weights.
[0025] The merit function update process may comprise simulated annealing.
[0026] According to a third aspect described herein, there is provided a lithographic apparatus comprising: an illumination system configured to condition a radiation beam; a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; and a projection system configured to project the patterned radiation beam onto a target portion of the substrate, wherein the lithographic apparatus further comprises one or more controllers configured to perform the method of the first or second aspect and any of their associated aspects.
[0027] According to a fourth aspect described herein, there is provided a computer program product comprising machine readable instructions for causing a processing device to perform the method of the first or second aspect and any of their associated options. [0028] Features described in the context of one aspect may be used with other aspects. BRIEF DESCRIPTION OF THE DRAWINGS
[0029] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings, in which:
Figure 1 schematically depicts a lithographic apparatus comprising a computer configured to implement a method according to an embodiment of the invention;
Figure 2A is a schematic illustration of a projection system model according to a described example;
- Figure 2B is a flowchart of a projection system model according to a described example;
Figure 3 is a flowchart of an evolutionary algorithm which may be used in a described example; Figure 4 is a flowchart of a projection system modelling method according to a described example;
Figure 5 is a graph of a projection system characteristic changing as a result of applying a projection system modelling method according to a described example;
Figure 6 is a flowchart of an exemplary projection system modelling method;
Figure 7 is a flowchart showing exemplary processing that may be performed to calculate optical adjustments while processing lots of wafers; and
Figure 8 is a plot showing improvements obtained determining a root mean square sum of wavefront errors using the processing of Figure 7.
DETAILED DESCRIPTION
[0030] Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal displays (LCDs), thin film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms "wafer" or "die" herein may be considered as synonymous with the more general terms "substrate" or "target portion", respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist) or a metrology or inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
[0031] The terms "radiation" and "beam" used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g. having a wavelength of 365, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams.
[0032] The term "patterning device" used herein should be broadly interpreted as referring to a device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
[0033] A patterning device may be transmissive or reflective. Examples of patterning device include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase- shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions; in this manner, the reflected beam is patterned.
[0034] A support structure holds the patterning device. It holds the patterning device in a way that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as, for example, whether or not the patterning device is held in a vacuum environment. The support can use mechanical clamping, vacuum, or other clamping techniques, for example electrostatic clamping under vacuum conditions. The support structure may be a frame or a table, for example, which may be fixed or movable as required and which may ensure that the patterning device is at a desired position, for example with respect to the projection system PL. Any use of the terms "reticle" or "mask" herein may be considered synonymous with the more general term "patterning device".
[0035] The term "projection system PL" used herein should be broadly interpreted as encompassing various types of projection system PL, including refractive optical systems, reflective optical systems, and catadioptric optical systems, as appropriate for example for the exposure radiation being used, or for other factors such as the use of an immersion fluid or the use of a vacuum. Any use of the term "projection lens" herein may be considered as synonymous with the more general term "projection system PL".
[0036] The term "illumination system" used herein may encompass various types of optical components, including refractive, reflective, and catadioptric optical components for directing, shaping, or controlling the beam of radiation, and such components may also be referred to below, collectively or singularly, as a "lens".
[0037] The lithographic apparatus may also be of a type wherein the substrate is immersed in a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the final element of the projection system PL and the substrate. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems PL.
[0038] Figure 1 schematically depicts a lithographic apparatus comprising a projection system PL manipulator according to a particular embodiment of the invention. The apparatus comprises:
a. an illumination system IL to condition a beam PB of radiation (e.g. DUV radiation or EUV radiation).
b. a support structure (which may be referred to as a mask table) MT to support a patterning device (e.g. a mask) MA and connected to first positioning device PM to accurately position the patterning device with respect to a projection system PL;
c. a substrate table (which may be referred to as a wafer table) WT2 for holding a substrate (e.g. a resist coated wafer) W2 and connected to second positioning device PW2 for accurately positioning the substrate with respect the projection system PL;
d. another substrate table WT1 for holding a substrate Wl and connected to third positioning device PW3 for accurately positioning the substrate with respect to alignment system AS; and
e. the projection system PL (e.g. a refractive projection lens) PL configured to image a pattern imparted to the radiation beam PB by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W2.
[0039] As here depicted, the apparatus is of a transmissive type (e.g. employing a transmissive mask). Alternatively, the apparatus may be of a reflective type (e.g. employing a programmable mirror array of a type as referred to above).
[0040] The illuminator IL receives a beam of radiation from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD comprising for example suitable directing mirrors and/or a beam expander. The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
[0041] The illuminator IL may comprise adjusting means AM for adjusting the angular intensity distribution of the beam. Generally, at least the outer and/or inner radial extent of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL generally comprises various other components, such as an integrator IN and a condenser CO. The illuminator provides a conditioned beam of radiation PB, having a desired uniformity and intensity distribution in its cross section.
[0042] The radiation beam PB is incident on the patterning device (e.g. mask) MA, which is held on the support structure MT. Having traversed the patterning device MA, the beam PB passes through the lens PL, which focuses the beam onto a target portion C of the substrate W2. With the aid of the second positioning device PW2 and position sensor IF (e.g. an interferometric device), the substrate table WT2 can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB. Similarly, the first positioning device PM and another position sensor (which is not explicitly depicted in Figure 1) can be used to accurately position the patterning device MA with respect to the path of the beam PB, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the object tables MT and WT will be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the positioning device PM and PW. However, in the case of a stepper (as opposed to a scanner) the support structure MT may be connected to a short stroke actuator only, or may be fixed.
[0043] The lithographic apparatus may for example move the patterning device MA and the substrate W2 with a scanning motion when projecting the pattern from the patterning device onto a target portion C. Cartesian coordinates are indicated in Figure 1. As is conventional, the z-direction corresponds with an optical axis of the radiation beam PB. In an embodiment in which the lithographic apparatus is a scanning lithographic apparatus, the y-direction corresponds with the direction of scanning motion.
[0044] As depicted, the lithographic apparatus may be of a type having two (dual stage) or more substrate tables WTl, WT2. In a dual stage lithographic apparatus two substrate tables WTl, WT2 are provided in order to allow properties of one substrate Wl to be measured whilst exposure of another substrate W2 is taking place ("exposure of a substrate" means projection of patterned radiation onto the substrate as described above).
[0045] In the dual stage lithographic apparatus depicted in Figure 1 an alignment system AS is provided on the left-hand side of the figure. The projection system PL is provided on the right-hand side of the figure. The alignment system AS measures the positions of alignment marks provided on a substrate Wl (schematically depicted by boxes PI, P2) which is held on a first substrate table WTl. A pattern is simultaneously projected by the projection system PL onto a substrate W2 held on a second substrate table WT2. When measurement of the substrate Wl supported by the first substrate table WTl is completed and exposure of the substrate W2 supported by the second substrate table WT2 is completed, the positions of the substrate tables are swapped over. The substrate Wl supported by the first substrate table WTl is then exposed using patterned radiation projected by the projection system PL. The already exposed wafer W2 supported by the second substrate table WT2 is removed from the substrate table for subsequent processing. Another substrate is then placed on the second substrate table WT2 for measurement by the alignment system AS prior to exposure using patterned radiation projected by the projection system PL.
[0046] An interferometer (not depicted) and/or other position measurement means may be used to monitor the position of the substrate table WTl during alignment measurements. A processor PR may receive data from the alignment system AS and also receive substrate table WTl position information. Since the substrate W is fixed on the substrate table WTl, position information relating to the substrate table may be taken as being position information relating to the substrate.
[0047] The projection system PL comprises optical elements such as, for example, lenses. Optical aberrations may arise from imperfections in projection system PL optical elements. Optical aberrations may also arise from projection effects such as, for example, the heating of optical elements occurring during lithographic exposures. The term 'optical aberrations' as used herein takes its ordinary meaning. Optical aberrations may result in projection errors such as, for example, overlay errors and/or focus errors. The alignment of an image to its intended position on a substrate may be referred to as overlay. Inaccuracies in the alignment of an image to its intended position on a substrate may be known as overlay errors. The projection of an image onto a substrate takes place with the image at an intended focus with respect to the substrate. Deviations from the intended focus of an image on a substrate may be known as focus errors. Optical aberrations (including, for example, those present in the projection system PL or caused by heating of components such as the patterning device MA or the substrate W) may be measured by methods that will be well known to those skilled in the art. Details of the measured optical aberrations may be stored on suitable storage media such as hard disk drives. For example, a controller 10 may measure, or receive measurements of, optical aberrations of the projection system PL. The controller 10 may comprise or be connected to suitable storage media for storage of measured optical aberrations of the projection system PL for use in further processing described in more detail below.
[0048] A projection system model 11 (schematically depicted in Figure 2 A) may be used to determine one or more adjustments (referred to herein as optical element adjustments) that may be made to one or more of the optical elements of the projection system PL. The determined adjustments may have the effect of reducing optical aberrations within the projection system PL. The determined adjustments may have the effect of changing the optical aberrations such that the optical aberrations approach and/or reach a pre-determined set of non-zero optical aberrations. The projection system model 11 may comprise one or more computer programs operable on one or more computers, such as the controller 10. Figure 2B is a flowchart of processing that may be carried out during use of an exemplary projection system model 11. The processing of Figure 2B may be carried out by, for example, the controller 10, or by another computer system (not shown). In step S 1 the projection system model 11 receives input in the form of projection system characteristics 12. The projection system characteristics 12 may comprise characteristics such as, for example, information relating to existing optical aberrations in the projection system PL (which may have been determined through suitable measurement, e.g. using a detector arrangement or by performing an exposure in a resist, or which may be known a priori, e.g. the characteristics may have been predicted using a model). The projection system characteristics 12 may comprise other characteristics such as, for example, optical element adjustment constraints (e.g., constraints on the type and/or magnitude of mechanical adjustments that may be made to one or more of the optical elements within the projection system PL), overlay errors, and focus errors. Other projection system characteristics will be apparent to the skilled person from the teaching herein. The projection system characteristics 12 provided to the projection system model may take the form of, for example, a numerical vector or a matrix, although it will be understood that the projection system characteristics may take any form. [0049] Information relating to existing optical aberrations in the projection system PL may be represented as wavefront data in the form of Zernike polynomials. Zernike polynomials are a set of polynomials that are orthogonal in a continuous manner on a unit disk. Zernike polynomials are used to represent wavefront data because they have a corresponding mathematical form to optical aberrations that occur in optical systems. Different Zernike polynomials represent different types of optical aberrations. For example, a first Zernike polynomial may represent a piston aberration whereas another Zernike polynomial may represent a spherical aberration. Zernike polynomials are often categorized as being either odd or even. Different categories of Zernike polynomials may correspond to different projection system characteristics. For example, even Zernike polynomials may correspond to focus errors whereas odd Zernike polynomials may correspond to overlay errors. In general, the Zernike polynomials may be categorized in any desired manner. Considering a larger number of Zernike polynomials provides more information about optical aberrations present in an optical system such as the projection system PL. Considering a larger number of Zernike polynomials provides more information about how different optical aberrations may be induced within the projection system PL via adjustments made to optical elements present within the projection system PL. For example, the first 64 Zernike polynomials may be considered for a thorough understanding of an optical system. Alternatively, 32 or 18 Zernike polynomials may be considered. Generally, it will be appreciated that any number of Zernike polynomials may be considered. Information relating to existing optical aberrations in the projection system PL may be represented in different ways e.g. in the form of orientation Zernike polynomials.
[0050] After receiving the projection system characteristics 12 at step SI, processing passes to step S2, wherein an adjustment determination module 13 of the projection system model 11 determines optical element adjustments that may be applied to the projection system PL in order to improve the projection system characteristics. The determination of optical element adjustments at step S2 may be by way of one or more of a number of techniques. Generally, the adjustment determination module 13 attempts to compensate for optical aberrations by determining one or more optical element adjustments which, when made, induce further optical aberrations within the projection system PL, which act to correct for the existing optical aberrations. The one or more techniques executed by the adjustment determination module 13 may involve, for example, single value decomposition, Tikhonov regularization, linear programming, quadratic programming, and other techniques as will be apparent to the skilled person from the foregoing. An output 16 of the projection system model 11 may comprise one or more optical element adjustments which, if applied to the projection system PL, induce further optical aberrations to reduce the existing optical aberrations. An output 16 of the projection system model 11 may comprise residual projection system characteristics that are expected to be present after the determined optical element adjustments have been made.
[0051] The projection system PL may comprise any number of optical elements. The projection system PL may, for example, comprise between 4 and 50 optical elements or more. Different optical elements may be subject to different optical element adjustments. Different optical elements may have different manipulation constraints. That is, different optical elements in the projection system may have different restrictions on the type and extent of adjustments available to them such as, for example, movement in the x, y and z directions, rotations, compressions, etc. Different optical elements in the projection system may have different extents to which they are able to correct for optical aberrations present in the projection system PL. In general, increasing the number of optical elements and/or the type of optical element adjustments available and/or the extent of optical element adjustments available may increase the number of degrees of freedom associated with the projection system model 11. Optical aberrations present in an optical element may be coupled to each other in complex ways. Adjusting an optical element to correct for one optical aberration may induce unwanted changes in one or more other optical aberrations present in the optical element.
[0052] The projection system model 11 has a target which defines the desired projection system characteristics for the projection system PL. The projection system model attempts to achieve the target by determining optical element adjustments. The projection system model uses a merit function 14 to determine optical element adjustments. The merit function 14 comprises a set of parameters 15 which may be adjusted to provide different merit functions. Each parameter is a mathematical formula applied to, for example, one or more Zernike polynomials. For instance, one parameter could be the least squared of Zernikes 2 and 3 or the mean error per field of Zernike 6. Each parameter in the merit function can have an associated weight. For example, a dominant parameter 15 of the merit function 14 may be a least square term expressed as a weighted sum of Zernike polynomials. In one example, the merit function 14 of the projection system model 11 may take the following form:
(Am - ACY + (at) + (y) + (z) +. ..
[0053] Where Am is a measured (or known) optical aberration, Ac is a further optical aberration induced by the optical element adjustments calculated by the adjustment determination module 13 and x, y and z represent other parameters in the merit function 14. The other parameters may comprise functions of other projection system characteristics such as, for example, optical element adjustment constraints, other functions of Zernike polynomials, root mean square sum of wavefront errors, overlay errors, etc. The z parameter is followed by an ellipsis to indicate that more than three additional parameters 15 may be included within the merit function 14. The other parameters may, for example, comprise Tikhonov variables that may be related to the extent of the optical element adjustments output 16 by the projection system model. The other parameters may, for example, comprise Gembicki variables that may be related to the behaviour of different Zernike polynomials across an exposure slit or "field" of the lithographic apparatus. That is, different parts of the radiation beam PB exit the exposure slit at different points along the exposure slit and subsequently travel through different parts of the projection system PL which may have different optical aberrations. For example, the Gembicki variables may be related to a maximum value of a Zernike polynomial across the field. The parameters may be related to different techniques used in the adjustment determination model, e.g. one parameter may determine the number of iterations that are performed during single value decomposition. In general, the parameters 15 may comprise functions of any projection system characteristics. The functions may take any form, such as, for example, linear functions, quadratic functions, etc. Some parameters 15 may be linearly added to the merit function. Some parameters 15 may be non-linearly added to the merit function or can be non-linear functions of the variables to be optimized.
[0054] After determining one or more optical element adjustments at step S2, processing passes to step S3, in which the optical element adjustments determined by the projection system model are utilized. At step S3 the optical element adjustments may be provided to one or more actuators (not shown in Figure 1) within the projection system PL which are configured to perform the determined optical element adjustments. An arrow from the controller 10 to the projection system PL in Figure 1 schematically illustrates the passing of optical element adjustments from the controller 10 to the one or more actuators of the projection system PL. Processing passes from step S3 to step S4, in which a measurement of the projection system characteristics that have resulted from the optical element adjustments is performed. The measurement may, for example, be performed using a detector arrangement. The measurement may, for example, involve performing a lithographic exposure with the projection system PL and analysing the resulting overlay errors and focus errors etc. The process depicted by Figure 2B may be executed again if the results of the measurement of the projection system characteristics are undesirable, e.g. if the overlay errors present are greater than a pre-determined limit. That is, the resulting projection system characteristics may be fed back into the projection system model 11 at step S 1 and the process may be repeated.
[0055] The parameters 15 of the merit function 14 may have associated mathematical weights 17. A weight 17 may represent the importance of a parameter 15 to the projection system model 11. That is, for example, the larger the weight 14 that is assigned to a particular Zernike polynomial, the more the adjustment determination module 13 will attempt to reduce that Zernike polynomial, and thus its associated optical aberration. The other parameters 15 may, for example, comprise numerical weights 17 that may be assigned to terms that represent dynamic (or "fading") effects that occur during a lithographic exposure ( e.g. radiation exiting different positions along an exposure slit may experience different effects when travelling through the projection system PL). The other parameters 15 may, for example, comprise weights 17 assigned to Gembicki variables and/or Tikhonov variables. In general, any projection system characteristic may have a weight 17 assigned to it.
[0056] Different projection system model merit function parameters 15 may be coupled to each other in unknown and undesired ways. For example, adjusting optical elements to reduce one Zernike polynomial may increase one or more other Zernike polynomials. That is, one optical aberration present in the projection system PL may be reduced with one or more optical element adjustments that also result in an undesirable increase of one or more other optical aberrations. Other parameters 15 of the projection system model 11 may also be unpredictably affected by the minimization of one or more particular Zernike polynomials. For example, minimising a particular Zernike polynomial may have the unintended consequence of increasing a focus error associated with the projection system PL.
[0057] The target of the projection system model 11 (against which the merit function 14 judges outputs of the adjustment determination module 13) may involve different constraints. For example, a target of the projection system model 11 may be to achieve minimum optical aberrations. As a further example, the target of the projection system model 11 may be to achieve minimum optical aberrations whilst also constraining the number of optical element adjustments needed to achieve those optical aberrations below a defined threshold. As yet another example, the target of the projection system model may be to minimise multiple Zernike polynomials, e.g. 64 Zernike polynomials, in order to reduce overlay errors whilst also minimising any errors (such as, for example, focus errors) that are affected by the minimisation of the Zernike polynomials.
[0058] Given the unknown and unpredictable ways in which different parameters 15 and the different constraints of the projection system model 11 are coupled, a solution space of the projection system model 11 may be complex. The manner in which weights 17 are assigned to different parameters 15 may affect how well the projection system model 11 can search the complex solution space and thus ultimately affect the quality of the output 16 provided by the projection system model 11. Due to this complexity, known projection system models assign weights 17 to parameters 15 in a simplistic manner. For example, each odd Zernike may be assigned a weight 17 of 1 whereas each even Zernike may be assigned a weight 17 of 0. As another example, each odd Zernike may be assigned a weight 17 of 2, each even Zernike may be assigned a weight 17 of 4 and each higher order Zernike may be assigned a weight 16 of 3. These weights 16 are generally chosen for their simplicity and convenience.
[0059] In embodiments described herein, an optimization algorithm may be used to search for projection system model merit function parameters 15 and their associated weights 17 that result in improved performance (according to one or more desired measures) when those weights are used in the projection system model. The optimization algorithm may, for example, be an evolutionary algorithm. The optimization algorithm may comprise an algorithm that involves techniques such as, for example, (adaptive) simulated annealing or quadratic programming.
[0060] Figure 3 is a flowchart of a known, evolutionary (also known as genetic) algorithm. In step SI 1 the evolutionary algorithm receives or generates part of a full initial population of individuals. Each individual represents one possible set of values that may be used as a solution to a posed problem. For example, if the posed problem is to find an improved set of weights 17 to be assigned to Zernike polynomials in the projection system model merit function 14, then each individual in the population may represent a set of weights 17 that may be assigned to Zernike polynomials in the parameters 15. An individual may comprise other values. For example, an individual may comprise a set of numerical weights 17 that are to be assigned to other projection system characteristics such as, for example, overlay errors or optical element adjustment constraints that may be present in the parameters 15. An individual may comprise a set of numerical weights 17 that are to be used for other purposes in the projection system model merit function 14. An individual may, for example, comprise terms that may be added to the projection system model merit function 14 in order to provide a solution for a specific problem (e.g. to provide unique optical aberrations required for a particular lithographic exposure). In general the individuals may comprise any parameters and/or weights involved in the merit function 14 of the projection system model 11. For example, a wavefront may be defined by a linear combination of Zernike polynomials. Each individual may comprise a set of weights for each Zernike polynomial, wherein the weights are to be optimized by the evolutionary algorithm with respect to one or more particular performance metrics (such as least squares, overlay, astigmatism, etc.).
[0061] Processing passes from step Sl l to step S12. The evolutionary algorithm comprises a fitness function (also sometimes known as an optimization function). The fitness function determines how appropriate (or "fit") an individual is for solving the posed problem. The posed problem may be how to improve the ability of the projection system model 11 to search the complex solution space such that the projection system model 11 may provide an improved set of optical element adjustments. In step S12 the fitness function provides a score for each individual of the initial population that reflects how good a solution each individual is for the posed problem according to the fitness function. It will be appreciated that the particular way in which the fitness function operates will depend upon the nature of the problem that the evolutionary algorithm is to solve. In one example embodiment, step S12 may correspond with the process depicted in Figure 2B. That is, the fitness function may determine how good a merit function of the projection system model 11 is by assessing the projection system characteristics that result from the output 16 of the projection system model 11.
[0062] In step S13 the score that was assigned by the fitness function to the best individual of the initial population is evaluated to see if it is satisfactory. If the score assigned to the best individual of a population is satisfactory then the best individual is the desired solution to the posed problem. If the score assigned to the best individual of the initial population is not satisfactory then the population is evolved until a next generation population is produced. Whether a score is satisfactory or not may be determined on comparison with a pre-determined score. Alternatively the evolutionary algorithm may output the best individual of a population after a pre-determined time or after a pre-determined number of generations have been produced or after a pre-determined number of evolutionary processes have been applied to the populations. The evolutionary algorithm may output the best individual of a population after any desired limit is reached and/or any desired result is achieved.
[0063] In step S14 the evolutionary algorithm "evolves" the population of individuals via known processes such as reproduction, mutation, recombination and selection. For example, the individuals with the best scores may be selected for reproduction with each other to produce new individuals. Alternatively, some individuals can be stored and not take part in a particular round of reproduction. New individuals may be produced via an existing individual experiencing a random change, i.e. a mutation. New individuals may be produced via recombination occurring between more than one parent individual. The evolutionary algorithm repeats these processes until a next generation population of individuals is produced. The processes may be repeated for a pre-determined amount of time. The processes may be repeated 1 a pre -determined number of times. The process may be repeated until a predetermined number of individuals have been produced. The processes may be repeated until a predetermined number of populations have been generated.
[0064] In step S15 the fitness function provides a score for each individual of the next generation population. For example, the process depicted in Figure 2B may be performed using a merit function determined by the evolutionary algorithm and the output may be assessed using the fitness function. In step S16 the score that was assigned by the fitness function to the best individual of the population is evaluated to see if it is satisfactory. If the score assigned to the best individual of a population is not satisfactory then processing returns to step S14 and the population is evolved again until a "next generation" population is produced. If the score assigned to the best individual of a population is satisfactory then processing passes to step S17 and the best individual is output as the desired solution to the posed problem.
[0065] Figure 4 is a flowchart of an example of a method that may be used to obtain a merit function 14 of a projection system model 11. In step S21 , an initial population of individuals is received. In the present example, each individual is a set of projection system model merit function parameters and associated weights. The initial population provided to the evolutionary algorithm may comprise individuals that each represent a 'simplistic' (i.e., non-optimized, naive, or arbitrary) set of Zernike weights. For example, the initial population may comprise individuals that are currently or have previously been used within the projection system PL. A first individual of the initial population may, for example, include a weight of 1 for odd Zernike polynomials, a weight of 2 for even Zernike polynomials and a weight of 3 for higher order Zernike polynomials. A second individual of the initial population may, for example, include a weight of 5 for odd Zernike polynomials, a weight of 10 for even Zernike polynomials and a weight of 0 for higher order Zernike polynomials. The Zernike polynomials may be categorized in different ways and each category may be assigned a different weight. Alternatively, as described above, each Zernike polynomial within a linear combination of Zernikes defining the wavefront may be assigned a respective weight, with the weights being the object of the optimization.
[0066] An evolutionary algorithm is then applied to the received initial population. The process applied by the evolutionary algorithm may, in an example, be similar to that described above with reference to in figure 3. In more detail, processing passes from step S21 to step S22, wherein the projection system model 11 is executed for the initial population. At step S23, the initial population is scored based on a fitness function of the evolutionary algorithm. The fitness function of the evolutionary algorithm is a function of the projection system model 11. In the depicted example, the fitness function of the evolutionary algorithm is based upon the output of the projection system model 11. That is, the fitness function of the evolutionary algorithm scores individuals of each population based upon how close the outputs 16 of the projection system model 11, using a merit function 14 based upon that individual, are to a target. [0067] Processing passes from step S23 to step S24 at which a new population of individuals is evolved as described above with reference to Figure 3.
[0068] In step S25 the projection system model is executed again for the current generation population. The process applied by the projection system model may, for example, as described above with reference to figure 2.
[0069] As at step S26, it is determined whether an individual of the current generation is satisfactory. As at step S23, the fitness function may score an individual by analyzing the output 16 of the projection system model 11 when using a merit function 14 based on the individual with reference to a target. For example, the residual aberrations output by the projection system model 11 may be assessed and potential improvements to the projection system characteristics evaluated to determine whether or not the projection system is now capable of performing a desired lithographic exposure with desired characteristics. If it is determined, at step S26 that no individual in the current generation produces an output 16 that is satisfactory, then processing may return to step S24 and a new population evolved. If, on the other hand, it is determined at step S26 that the output 16 of the projection system model 11 is satisfactory for one individual of the current generation then the evolutionary algorithm may end.
[0070] The fitness function of the evolutionary algorithm may be evaluated using the output 16 of the projection system model 11. That is, if the output 16 of the projection system model 11 is unsatisfactory then the fitness function of the evolutionary algorithm may be changed. The evolutionary algorithm may output a set of optimal solutions in the form of a Pareto front. That is, the evolutionary algorithm may output a Pareto front of merit functions that are each optimal in different respects. For example, a first merit function in the Pareto front may enable the projection system model to minimize a first Zernike polynomial whereas a second merit function in the Pareto front may enable the projection system model to minimize a second Zernike polynomial.
[0071] In some embodiments, as shown in step S27 of Figure 4, the projection system model output 16 obtained using the merit function 14 that includes a chosen individual from the evolutionary algorithm may be provided to the projection system PL to manipulate the optical elements of the projection system PL in accordance with the determined optical element adjustments. Step S27 is depicted in dashed outline to indicate that this step is optional within the example processing of Figure 4.
[0072] Additionally, following adjustment of the projection system, in step S28 a lithographic exposure may be performed. The projection system characteristics may have improved due to the optical element adjustments calculated by the projection system model 11 with the improved merit function 14. The results of the lithographic exposure may therefore be improved compared to a lithographic exposure performed prior to the optical element adjustments. For example, a post- adjustment exposure may have smaller overlay errors, fewer optical element adjustments, and reduced focus errors, among other improvements. [0073] The design of the fitness function of the evolutionary algorithm is important to produce good solutions. The design of the fitness function depends on the parameters and/or weights of the projection system model that are provided to the evolutionary algorithm. The fitness function may, for example, be designed around optical aberrations and Zernike weights that are provided to the projection system model. The fitness function may be designed around projection system characteristics that can be determined from the output of the projection system model, e.g. overlay errors or a root mean square sum of wavefront errors. The fitness function may be changed in accordance with a change in the target of the projection system model 11. For example, in a first case a target of the projection system model may be to reduce a specific Zernike polynomial more so than other Zernike polynomials whereas in a second case the target of the projection system model may be to reduce the overlay error in a specific direction across a substrate. The fitness function may be different for these two cases and for other cases.
[0074] The fitness function may change between different iterations of the evolutionary algorithm in order to achieve different results, which may depend on the input at each iteration. For example, the evolutionary algorithm may be executed with a first fitness function that aims to minimize a first Zernike polynomial. Once a satisfactory result is achieved by the projection system model, the evolutionary algorithm may be executed with a second fitness function that aims to minimize a second Zernike polynomial without negatively affecting the first Zernike polynomial.
[0075] Figure 5 is a graph showing improved projection system characteristics as a result of applying the projection system modelling method described herein. The graph plots a simulated root mean square sum of wavefront errors against the number of evolutionary algorithm iterations that have taken place, where a smaller root mean square is considered to be better. The root mean square sum of wavefront errors represents the deviation of a wavefront from an ideal spherical wavefront as averaged across the entire wavefront. Deviations of a wavefront from its ideal form may be caused by the presence of optical aberrations in the projection system. The line labelled "Min" represents the lowest scoring individual of a population. The line labelled "Max" represents the highest scoring individual of a population. The line labelled "Ref ' represents the results achieved using the simplistic weighting method that is currently applied in projection system models. The line labelled "Best" represents the results achieved using the best individual of the final iteration of the evolutionary algorithm. It can be seen that as the number of evolutionary algorithm iterations increases the "Min" and "Max" lines converge. This is because the individuals in each successive population tend to improve as a result of the evolutionary algorithm.
[0076] As a further example, the optimization of the merit function may be performed by (adaptive) simulated annealing. In this case, the definition of a state and energy is similar to the one of 'individual' and fitness function, respectively, for the evolutionary algorithm. The annealing parameter can be, for example, a fast or Boltzman annealing, while a temperature update function can be linear, exponential or logarithmic. Other annealing parameters and temperature update functions (as found in literature or new ones specific to the problem of interest) may also be used.
[0077] In the case of adaptive simulated annealing, the reannealing function can depend, for each dimension, on the slope of the current state or on the slope of a state obtained from previous states. For instance, one could consider two previous states: the current state one and the one obtained in an earlier iteration. Then one could compute the slope of the mean of these two states. Functions different than the mean can be used. More than two states may also be considered.
[0078] The projection system modelling method described herein may be applied to determine adjustments for a single optical element or multiple optical elements. For example, during production of a projection system, individual optical elements may be calibrated before forming part of the projection system. The projection system modelling method described herein may be used to improve the calibration of individual optical elements. The projection system modelling method described herein may be applied for different layers and/or different features of a device created using a lithographic apparatus in order to improve the projection system characteristics for those particular layers and/or features.
[0079] Conventionally, the tuning of the merit function 14 of the projection system model 11 (whether by way of the techniques described above (e.g. the processing of Figure 4) or traditional methods which rely upon operator experience) has been performed "off-line", by which it is meant that the tuning of the merit function 14 does not depend on the current lens aberration state. Rather, the tuning of the merit function 14 has conventionally been performed once, at a particular point in time during a "lot" (i.e., a set of wafers to be exposed), such as before processing a first wafer of the lot, or at a "saturated state" (lens heating during exposures is characterized by an increase of aberrations which, after some time, reach a maximum and remains stable at a constant value which is referred to as a 'saturated state').
[0080] Figure 6 is a flowchart illustrating conventional processing for calculating optical element adjustments during the exposure of a lot. The method of Figure 6 may be performed by a controller, such as, for example, the controller 10. While references below to operations of readying lots, wafers and dies for processing will generally correspond with physical operations relating to the processing of wafers, it will be appreciated that usage in the context of Figure 6 refers to internal processing within the control flow of Figure 6 (e.g. setting of relevant variables, terms, etc.), rather than to the physical actions themselves.
[0081] At step S30, a first lot is obtained for processing. Processing passes from step S30 to step S31 at which point in time the projection system model 22 is generated using a merit function 20. The generation of the projection system model 22 may be, for example, as described above with reference to Figure 4, in which the merit function 20 is generated and optimized by a computer without any human intervention. In other embodiments, the merit function 20 may be selected manually by a human. In any event, however, the merit function 20 is generated at a particular point in time such that it is a static input into the processing of Figure 6. The merit function 20 is therefore based upon expected aberrations for the entire lot, determined at the time that the merit function 20 is generated.
[0082] Processing passes from step S31 to step S32, at which the first wafer of the current lot is readied for processing. From step S32, processing passes to step S33, at which point in time the first die of the current wafer is readied for processing. Processing passes from step S33 to step S34 at which the generated projection system model 22 is used to calculate optical element adjustments for the current die. The processing of step S34 may be, for example, as described above with reference to Figure 2B. From step S34, processing passes to step S35 at which it is determined whether there are further dies for patterning. If the determination at step S35 is positive, processing passes to step S36 at which the next die is readied for processing. From step S36, processing returns to step S34 and optical element adjustments are calculated for the next die. If the determination at step S35 is negative (i.e., there are no more dies on the current wafer), processing passes from step S35 to step S37 at which time it is determined whether there are further wafers within the current lot. If the determination at step S37 is positive, processing passes to step S38 at which time the next wafer is readied for processing. From step S38, processing passes back to step S33. If the determination at step S37 is negative, processing passes to step S39 at which time it is determined whether there are further lots to process. If the determination at step S39 is positive, processing passes to step S40 and the next lot is readied for processing. From step S40, processing may pass back to step S31. That is, once a lot has been completed, the projection system model 20 may be regenerated for the next lot. For example, the merit function 20 may be retuned on the basis of expected aberrations for the next lot. If the determination at step S39 is negative, processing ends at step S41.
[0083] It can be seen from Figure 6 that while the aberrations vary over time within a single lot, the merit function 14 is generated upfront per lot and fixed during exposure from wafer to wafer. It has been realised, however, that optical aberrations exhibited by a lithographic apparatus vary over time and vary between wafers within a single lot. Moreover, where it is desirable to optimize, for example, overlay, which is a linear combination of residual aberrations, it has been realised that during a lot it may be possible for the projection system model to find a different, more effective, balancing of Zernikes based on different relative values of aberrations. In an embodiment, a process is provided which allows for a merit function of a projection system model to adapt based to the variable aberrations that are experienced between wafers of a single lot. Figure 7 is a flowchart showing outline exemplary processing that may be performed to calculate optical adjustments while processing lots of wafers.
[0084] Referring to Figure 7, at step S50, a first lot is readied for processing. Processing passes from step S50 to step S51 at which time a first wafer within the current lot is readied for processing. Processing passes from step S51 to step S52 at which time a merit function 30 is generated for a projection system model 32. The generation of the merit function 30 may be performed using the processing of or similar to that described above with reference to Figure 4. The generation of the merit function 30 is based upon expected aberrations for the current wafer. For example, the generation of the merit function 30 may be based upon aberrations measured at the first die of the current wafer. Optical aberrations may be measured by methods that will be well known to those skilled in the art. From step S52, processing passes to step S53 at which time the projection system model 32 is generated, based on the merit function 30. Processing passes from step S53 to step S54, at which time the first die of the current wafer is readied for processing. Processing passes from step S54 to step S55 at which time the generated projection system model 32 is used to calculate optical element adjustments for the current die. The processing of step S55 may be, for example, as described above with reference to Figure 2B.
[0085] From step S55, processing passes to step S56 at which time it is determined whether there are further dies for patterning. If the determination at step S56 is positive, processing passes to step S57 at which time the next die is readied for processing. From step S57, processing returns to step S55 and optical element adjustments are calculated for the next die.
[0086] If the determination at step S56 is negative (i.e., there are no more dies on the current wafer), processing passes from step S56 to step S58 at which time it is determined whether there are further wafers within the current lot. If the determination at step S58 is positive, processing passes to step S59 at which time the next wafer is readied for processing. From step S59, processing passes back to step S52, such that a new merit function is eventually generated for the current wafer, based on the aberrations expected for that particular wafer.
[0087] If the determination at step S58 is negative, processing passes to step S60 at which it is determined whether there are further lots to process. If the determination at step S60 is positive, processing passes to step S61 and the next lot is readied for processing. From step S61, processing passes back to step S51.
[0088] If the determination at step S60 is negative, processing ends at step S62.
[0089] The processing of Figure 7 calculates an optimized merit function for each wafer of a lot.
It will be appreciated that in other embodiments, other frequencies of intra-lot merit function calculations may be made. For example, additional benefits may be observed from calculating merit function adjustments for each die of each wafer. In other embodiments, a merit function may be calculated for each of a predetermined number of dies (e.g. every three dies), or for each of a predetermined number of wafers (e.g. every three wafers). That is, it will be appreciated that benefit from the presently described techniques may be obtained from any sequence of intra-lot merit function optimization calculations.
[0090] Figure 8 is a plot showing improvements obtained in a residual root mean square sum of wavefront errors when minimizing Zernike polynomials when using processing similar to that of Figure 7 such that optimizations are determined during the processing of a lot (intra-lot). In Figure 8, the wafers within a lot are plotted along the horizontal axis while the root mean square sum of wavefront errors is plotted on the vertical axis. A first plot 40 depicts the change in root mean square sum of wavefront errors over a lot when a merit function is generated based upon the expected aberrations determined from a first wafer the lot. It can be seen that in this case the root mean square sum of wavefront errors decreases over the lot. A second plot 42 depicts the change in root mean square sum of wavefront errors over a lot when a merit function is generated based upon a last wafer of the current lot. It can be seen that in this case, the root mean square sum of wavefront errors starts low but increases over the lot. A final plot 44 depicts the change in root mean square sum of wavefront errors using the processing of Figure 7. Here it can be seen that the root mean square sum of wavefront errors remains low over the entire lot, providing a significant benefit over known methods. The techniques described with reference to Figure 7 allow for optimization which is both time-dependent and feature -dependent. For instance, given a certain feature to be printed by a lithographic apparatus onto dies of a wafer, the processing of Figure 7 results in an improvement per wafer of, for example 50% (as shown in Figure 8) on relevant features. Additionally, it has been determined that using the processing of Figure 7, a solution is obtained which targets reduced residuals of both odd and even Zernikes. For example, providing a fitness function which seeks an overlay improvement of 50% on the root mean square sum of wavefront errors for even Zernikes, no material degradation is observed in the root mean square sum of wavefront errors for odd Zernikes, and improvements in any relevant imaging parameter (e.g., overlay, image plane deviation, astigmatism, root mean square, etc.) may be targeted.
[0091] It will be appreciated that calculating a new merit function for each wafer of a lot incurs a time overhead which may restrict throughput. It may be desirable that the processing of Figure 7 operates in substantially real-time, as wafers are exposed, so that adjustments to the optical elements of the projection system can be made in time to compensate for optical aberrations as and when they occur within a lot. In an embodiment, the processing of Figure 7 (or similar) may be used during particular non-exposure times, such as wafer transitions during a lot.
[0092] In an embodiment, an artificial neural network may be used to obtain the benefits of a relatively computationally intensive algorithm (such as the processing of Figure 7) during a lot, where it may otherwise not be possible to use that algorithm to calculate projection system model adjustments sufficiently quickly..
[0093] In one embodiment, the processing of Figure 7 may be used to train an artificial neural network (ANN). For example, an ANN trained using data from test lots may take as inputs actual aberrations measured for each die or wafer (or for each of a predetermined number of dies or wafers - e.g. every three dies, or every three wafers, etc.) before the die is exposed together with a desired performance measure to be optimized (also referred to herein as an optimization target) and output one or more optical element adjustments. To train the ANN, a set of training data may be generated by performing the processing of Figure 7 on one or more test lots, that is lots which are exposed before production begins. As described above, the processing of Figure 7 provides for accurate optical adjustments, which account for aberrations which vary between wafers of a lot. By training an ANN to identify optical adjustments based on the output of the processing of Figure 7, the ANN may be trained to output beneficial optical adjustments. The ANN may be used during exposure of lots, thereby providing a system that can operate in real-time while still providing adaptation to experienced aberration variability.
[0094] It will be appreciated by those skilled in the art from the teaching above that any of a plurality of different types of ANN may be used in connection with the above described techniques. By way of example only, the ANN may be a feedforward ANN, a radial basis function ANN, a recurrent ANN or may comprise a modular neural network comprising modules of different forms and types. The ANN can have one or more layers of hidden nodes and it can operate with real or complex numbers or a combination thereof. It will further be appreciated that the training of the ANN will depend upon the particular type of ANN that is selected.
[0095] In another embodiment, an ANN may be trained to replace (during production, e.g., exposure of lots) the processing of step S52 of Figure 7. That is, while it is described above that the processing of step S52 of Figure 7 may utilise, for example, the processing of steps S21 to S27 of Figure 4, in some embodiments, the processing of step S52 of Figure 7 may be provided an ANN that has been trained on data generated by test lots exposed using the processing of Figure 4. In this way, a projection system model may be calculated quickly during exposure of lots to allow for intra-lot optimization calculations. It has further been realised that use an ANN to generate a projection system model can allow production optimization calculations to obtain benefits from optimization techniques which would otherwise be too computationally intensive to perform in the relatively short transition (non- exposure^ periods that occur intra-lot during exposure. By way of example only, where it is possible to execute, intra-lot, a lens model merit function that is based on a least squares solver, it may not be possible to use a lens model merit function that targets specific performance metrics (overlay, image plane deviation, astigmatism, etc.). In an embodiment, an ANN may trained using data from test lots, may take as input one or more of a current state of the projection system, a desired performance metric it is desired to target with the optimization, and actual or expected aberrations, and output projection system model merit function parameters and weights directed to optimizing the desired optical performance metric.
[0096] Although specific reference may be made in this text to embodiments of the invention in the context of a lithographic apparatus, embodiments of the invention may be used in other apparatus. Embodiments of the invention may form part of a mask inspection apparatus, a metrology apparatus, or any apparatus that measures or processes an object such as a wafer (or other substrate) or mask (or other patterning device). These apparatus may be generally referred to as lithographic tools. Such a lithographic tool may use vacuum conditions or ambient (non-vacuum) conditions.
[0097] The illumination optics, optics and detection optics may encompass various types of optical components, including refractive, reflective, and catadioptric optical components for directing, shaping, or controlling the beam of radiation.
[0098] The term "EUV radiation" may be considered to encompass electromagnetic radiation having a wavelength within the range of 4-20 nm, for example within the range of 13-14 nm. EUV radiation may have a wavelength of less than 10 nm, for example within the range of 4-10 nm such as 6.7 nm or 6.8 nm.
[0099] Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid- crystal displays (LCDs), thin-film magnetic heads, etc.
[00100] Embodiments of the invention may be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the invention may also be implemented as instructions stored on a machine -readable medium, which may be read and executed by one or more processors. A machine -readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device). For example, a machine-readable medium may include read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other forms of propagated signals (e.g. carrier waves, infrared signals, digital signals, etc.), and others. Further, firmware, software, routines, instructions may be described herein as performing certain actions. However, it should be appreciated that such descriptions are merely for convenience and that such actions in fact result from computing devices, processors, controllers, or other devices executing the firmware, software, routines, instructions, etc.
[00101] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below. Other aspects of the invention are set out as in the following numbered clauses:
1. A computer implemented method for calibrating a projection system model, the projection system model being configured to determine and output a set of optical element adjustments based upon a merit function, the merit function comprising a set of parameters and corresponding weights, the method comprising:
performing a merit function update process at each of a plurality of instances during processing of a lot, the lot comprising a plurality of wafers,
wherein the merit function update process outputs an updated merit function different to a current merit function.
2. The method of clause 1, wherein the merit function update process is performed during non- exposure periods during processing of the lot.
3. The method of clause 1 or 2, wherein the merit function update process is performed at least once for each wafer of the plurality of wafers of the lot.
4. The method of any preceding clause, wherein the merit function update process is performed for each die of each wafer of the plurality of wafers of the lot. 5. The method of any preceding clause, wherein the merit function update process comprises executing an optimization algorithm starting from the initial set of parameters and weights to determine a second merit function comprising a second set of parameters and weights, the optimization algorithm scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
6. The method of any preceding clause, wherein the merit function update process comprises an evolutionary algorithm having a fitness function, the fitness function being configured to perform the scoring of different sets of parameters and weights.
7. The method of one of clause 1 to 5, wherein the merit function update process comprises simulated annealing.
8. The method of any one of clauses 1 to 5, wherein the merit function update process comprises executing an artificial neural network (ANN), wherein inputs to the ANN comprise aberrations measured on a wafer of the lot and a desired optimization target.
9. The method of clause 8, wherein the ANN has been trained using test data generated by exposing a plurality of test lots, each test lot comprising a plurality of wafers,
wherein for each test lot, a second merit function update process is performed at each of a plurality of instances during processing of the test lot, the second merit function update process being different to the first merit function update process.
10. The method of clause 9, wherein the second merit function update process comprises executing an optimization algorithm starting from the initial set of parameters and weights to determine a second merit function comprising a second set of parameters and weights, the optimization algorithm scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
11. The method of clause 9 or 10, wherein the second merit function update process is configured to optimize at least one selected from the list comprising overlay, image plane deviation, astigmatism and root mean square sum of wavefront errors.
12. The method of any one of clauses 8 to 11, wherein the second merit function update process comprises simulated annealing.
13. The method of any preceding clause, further comprising, for each merit function update process, adjusting the projection system using optical element adjustments output by the projection system model when executing using the updated merit function.
14. A computer implemented method for calibrating a projection system, the method comprising: obtaining a set of optical element adjustments from an artificial neural network (ANN) at each of a plurality of instances during processing of a lot, the lot comprising a plurality of wafers.
15. The method of clause 14, wherein the ANN has been trained to output a set of optical element adjustments from inputs comprising an optimization target and a set of optical aberrations.
16. The method of clause 15, wherein the ANN has been trained using test data generated by exposing a plurality of test lots, each test lot comprising a plurality of wafers,
wherein for each test lot, a merit function update process is performed at each of a plurality of instances during processing of the test lot.
17. The method of clause 16, wherein the merit function update process is performed at least once for each wafer of the plurality of wafers of the lot.
18. The method of clause 16 or 17, wherein the merit function update process is performed for each die of each wafer of the plurality of wafers of the lot.
19. The method of any one of clauses 16 to 18, wherein the merit function update process comprises executing an optimization algorithm starting from the initial set of parameters and weights to determine a second merit function comprising a second set of parameters and weights, the optimization algorithm scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and weights.
20. The method of any one of clauses 16 to 19, wherein the merit function update process comprises an evolutionary algorithm having a fitness function, the fitness function being configured to perform the scoring of different sets of parameters and weights.
21. The method of one of clauses 16 to 20, wherein the merit function update process comprises simulated annealing.
22. A lithographic apparatus comprising:
an illumination system configured to condition a radiation beam;
a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; and
a projection system configured to project the patterned radiation beam onto a target portion of the substrate,
wherein the lithographic apparatus further comprises one or more controllers configured to perform the method of any of clauses 1 to 21.
23. A computer program product comprising machine readable instructions for causing a processing device to perform the method of any one of clauses 1 to 21.

Claims

1. A computer implemented method for calibrating a projection system model, the projection system model comprising the steps of:
determining a set of optical element adjustments based upon an initial merit function;
performing a merit function update process at each of a plurality of instances during processing of a lot; and
outputting an updated merit function different to the initial merit function,
wherein the initial merit function comprises a set of initial parameters and a set of initial weights.
2. The method of claim 1, wherein the merit function update process is performed at least one of: at a non-exposure period during processing of the lot; and
at least once for each wafer of the lot; and
for each die of each wafer of the lot.
3. The method of any preceding claim, wherein the method further comprises;
executing an optimization algorithm starting from the initial set of parameters and the initial weights; determining a second merit function comprising a second set of parameters and a second set of weights; and
scoring different sets of parameters and sets of weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and that set of weights.
4. The method of any preceding claim, wherein the merit function update process comprises an evolutionary algorithm having a fitness function, the fitness function being configured to perform the scoring of different sets of parameters and sets of weights.
5. The method of any one of claims 1 to 3, wherein the merit function update process comprises executing an artificial neural network (ANN), wherein inputs to the ANN comprise aberrations measured on a wafer of the lot and a desired optimization target.
6. The method of claim 5, wherein the ANN has been trained using test data generated by exposing a plurality of test lots, each test lot comprising a plurality of wafers,
wherein for each test lot, a second merit function update process is performed at each of a plurality of instances during processing of the test lot, the second merit function update process being different to the first merit function update process.
7. The method of claim 6, wherein the second merit function update process comprises: executing an optimization algorithm starting from the initial set of parameters and initial set of weights;
determining a second merit function comprising a second set of parameters and a second set of weights; and
scoring different sets of parameters and weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and that set of weights.
8. The method of claim 6 or 7, wherein the second merit function update process is configured for optimizing at least one selected from the list comprising overlay, image plane deviation, astigmatism and root mean square sum of wavefront errors.
9. The method of any preceding claim, further comprising, for each merit function update process, adjusting the projection system using optical element adjustments output by the projection system model when executing using the updated merit function.
10. A computer implemented method for calibrating a projection system, the method comprising: obtaining a set of optical element adjustments from an artificial neural network (ANN) at each of a plurality of instances during processing of a lot, wherein the lot comprises a plurality of wafers.
11. The method of claim 10, wherein the ANN has been trained to output a set of optical element adjustments from inputs comprising an optimization target and a set of optical aberrations.
12. The method of claim 11, wherein the ANN has been trained using test data generated by exposing a plurality of test lots, each test lot comprising a plurality of wafers,
wherein for each test lot, a merit function update process is performed at least one of:
at each of a plurality of instances during processing of the test lot; and
at least once for each wafer of the plurality of wafers of the test lot; and
for each die of each wafer of the plurality of wafers of the test lot.
13. The method of claims 12, wherein the merit function update process comprises the steps of: executing an optimization algorithm starting from the initial set of parameters and initial set of weights; determining a second merit function comprising a second set of parameters and a second set of weights; and
scoring different sets of parameters and sets of weights based upon projection system characteristics of a projection system adjusted according to the output of the projection system model using a merit function having that set of parameters and that set of weights.
14. The method of claims 12 or 13, wherein the merit function update process comprises an evolutionary algorithm having a fitness function, the fitness function being configured to perform the scoring of different sets of parameters and sets of weights.
15. A lithographic apparatus comprising:
an illumination system configured to condition a radiation beam;
a support constructed to support a patterning device, the patterning device being capable of imparting the radiation beam with a pattern in its cross-section to form a patterned radiation beam; a substrate table constructed to hold a substrate; and
a projection system configured to project the patterned radiation beam onto a target portion of the substrate,
wherein the lithographic apparatus further comprises one or more controllers configured to perform the method of any of claims 1 to 14.
PCT/EP2018/076753 2017-10-24 2018-10-02 Projection system calibration method WO2019081167A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP17198087.3 2017-10-24
EP17198087 2017-10-24

Publications (1)

Publication Number Publication Date
WO2019081167A1 true WO2019081167A1 (en) 2019-05-02

Family

ID=60182409

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2018/076753 WO2019081167A1 (en) 2017-10-24 2018-10-02 Projection system calibration method

Country Status (2)

Country Link
NL (1) NL2021744A (en)
WO (1) WO2019081167A1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010053962A1 (en) * 1997-02-14 2001-12-20 Koji Yoshida Method of determining movement sequence, alignment apparatus, method and apparatus of designing optical system, and medium in which program realizing the designing method
US20020140920A1 (en) * 2001-01-29 2002-10-03 International Business Machines Corporation, System and method for printing semiconductor patterns using an optimized illumination and reticle
US20040038455A1 (en) * 2002-06-05 2004-02-26 Kla-Tencor Technologies, Corporation Use of overlay diagnostics for enhanced automatic process control
US20090153818A1 (en) * 2007-12-17 2009-06-18 Siddharth Chauhan Method and apparatus for extracting dose and focus from critical dimension data

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010053962A1 (en) * 1997-02-14 2001-12-20 Koji Yoshida Method of determining movement sequence, alignment apparatus, method and apparatus of designing optical system, and medium in which program realizing the designing method
US20020140920A1 (en) * 2001-01-29 2002-10-03 International Business Machines Corporation, System and method for printing semiconductor patterns using an optimized illumination and reticle
US20040038455A1 (en) * 2002-06-05 2004-02-26 Kla-Tencor Technologies, Corporation Use of overlay diagnostics for enhanced automatic process control
US20090153818A1 (en) * 2007-12-17 2009-06-18 Siddharth Chauhan Method and apparatus for extracting dose and focus from critical dimension data

Also Published As

Publication number Publication date
NL2021744A (en) 2019-04-29

Similar Documents

Publication Publication Date Title
US20220147665A1 (en) Process window optimizer
KR102087310B1 (en) Method and apparatus for correcting patterning process error
TWI651758B (en) Lithographic apparatus and method
US11561480B2 (en) System and method for inspecting a wafer
TW201631405A (en) Lithographic method and apparatus
US9791787B2 (en) Lithographic method and apparatus
KR20180072760A (en) METHOD AND APPARATUS FOR CORRECTING PATTERNING PROCESS ERRORS
US20230084130A1 (en) Methods of tuning a model for a lithographic process and associated apparatuses
US10078272B2 (en) Lithographic method and apparatus
US10678143B2 (en) Projection system modelling method
JP6854914B2 (en) Lithography method and equipment
JP2018520374A (en) Lithographic method and apparatus
WO2019081167A1 (en) Projection system calibration method
US11022895B2 (en) Lithographic apparatus adjustment method
KR20210115040A (en) How to reduce the effect of lens heating and/or cooling in a lithographic process
JP2019070812A (en) Method for activating projection exposure tool for microlithography
WO2019120968A1 (en) Lithographic method and apparatus

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18779684

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 18779684

Country of ref document: EP

Kind code of ref document: A1