WO2019059398A1 - Method for producing polysiloxane having excellent storage stability - Google Patents

Method for producing polysiloxane having excellent storage stability Download PDF

Info

Publication number
WO2019059398A1
WO2019059398A1 PCT/JP2018/035398 JP2018035398W WO2019059398A1 WO 2019059398 A1 WO2019059398 A1 WO 2019059398A1 JP 2018035398 W JP2018035398 W JP 2018035398W WO 2019059398 A1 WO2019059398 A1 WO 2019059398A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
formula
polysiloxane
methyl
acid
Prior art date
Application number
PCT/JP2018/035398
Other languages
French (fr)
Japanese (ja)
Inventor
勇樹 遠藤
博昭 谷口
中島 誠
Original Assignee
日産化学株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日産化学株式会社 filed Critical 日産化学株式会社
Priority to JP2019543139A priority Critical patent/JP7222353B2/en
Publication of WO2019059398A1 publication Critical patent/WO2019059398A1/en
Priority to JP2022186866A priority patent/JP7464915B2/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/06Preparatory processes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/12Polysiloxanes containing silicon bound to hydrogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/38Polysiloxanes modified by chemical after-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers

Definitions

  • the present invention relates to a method for producing hydrogenated polysiloxane having excellent storage stability, which is used in a lithography process of semiconductor production.
  • fine processing by lithography using a photoresist has been performed.
  • the fine processing is obtained by forming a thin film of photoresist on a semiconductor substrate such as a silicon wafer, and irradiating and developing active light such as ultraviolet light through a mask pattern on which the pattern of the semiconductor device is drawn.
  • active light such as ultraviolet light
  • By etching the substrate using the photoresist pattern as a protective film fine irregularities corresponding to the pattern are formed on the surface of the substrate.
  • active light rays used also tend to be shortened in wavelength from a KrF excimer laser (248 nm) to an ArF excimer laser (193 nm).
  • the influence of reflection of active light from the semiconductor substrate has become a major problem.
  • a film known as a hard mask containing a metal element such as silicon or titanium has been used as a lower layer film between a semiconductor substrate and a photoresist.
  • the removal rate thereof by the dry etching largely depends on the gas species used for the dry etching.
  • the gas type it is possible to remove the hard mask by dry etching without accompanied by a large decrease in the film thickness of the photoresist.
  • a resist underlayer film has been disposed between a semiconductor substrate and a photoresist in order to achieve various effects including the antireflection effect.
  • a composition for a resist underlayer film has been studied up to now, development of a new material for a resist underlayer film is desired from the diversity of required characteristics and the like.
  • the silicon content in the polysiloxane in order to improve the dry etching resistance. Since the complete polysiloxane which does not contain an organic component has a subject in a coating physical property etc., the polysiloxane containing an organic group is used.
  • the silicon content in the polysiloxane can be improved by forming a hydrogenated polysiloxane in which part or all of the organic groups in the polysiloxane are replaced by hydrogen atoms.
  • Patent documents 1 to 6 can be cited as the hydrogenated polysiloxane.
  • Patent document 1 JP-A-2001-131479
  • Patent document 1 JP-A-2005-187657 Japanese Patent Application Laid-Open No. 2010-151923 International Publication WO2012 / 165235 Pamphlet
  • the present invention provides a method for producing a hydrogenated polysiloxane having high heat resistance, insulation, and etching resistance with improved storage stability, and improves the storage stability to planarize a coated film. It is an object of the present invention to provide a hydrogenated polysiloxane composition having improved properties.
  • a compound for capping silanol groups of a polysiloxane which is represented by the formula (1): (In the formula (1), R 1 represents an alkyl group or an aryl group, R 2 represents an alkoxy group, and a represents an integer of 1 to 2.) the above compound having a structure represented by
  • the hydrolyzable silane used in the first step has the following formula (2): (In the formula (2), R 3 represents a hydrogen atom and represents a bond to a silicon atom through a Si—H bond, R 4 represents an alkoxy group, an acyloxy group or a halogen atom, b is 1
  • the hydrolyzable silane used in the first step is a combination of the hydrolyzable silane represented by the above formula (2) and another hydrolyzable silane, and the other hydrolyzable silanes are formulas (3):
  • R 5 represents an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkoxyaryl group, an alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group or a cyano group
  • R 6 represents an alkoxy group, an acyloxy group, or a halogen atom, and c represents an integer of 1 to 2).
  • Hydrolyzable silanes represented, and Formula (4) (In the formula (4), R 7 represents an alkyl group and is bonded to a silicon atom by a Si—C bond, R 8 represents an alkoxy group, an acyloxy group or a halogen atom, and Y represents an alkylene group or And at least one hydrolyzable silane selected from the group consisting of hydrolyzable silanes represented by arylene group, d represents an integer of 0 or 1, and e represents an integer of 0 or 1.
  • the hydrolyzable silane represented by Formula (2) or the hydrolyzable silane represented by Formula (2) and other hydrolyzable silanes are hydrolyzed with an acid catalyst,
  • the hydrolyzable silane represented by Formula (2) or the hydrolyzable silane represented by Formula (2) and other hydrolysable silanes are hydrolyzed to form a hydrolytic condensate Described in any one of the second to fifth aspects in which the silanol group in the hydrolytic condensate is capped with the compound of the formula (1) described in the first aspect under an acid catalyst in the second step Method of producing polysiloxane,
  • the hydrolyzable silane represented by the formula (2) or the hydrolyzable silane represented by the formula (2) and other hydrolysable silanes are hydrolyzed with an acid, and the hydrolysis is performed.
  • the decomposition product is condensed to obtain a solution of a hydrolysis condensate, and the acid remaining in the solution of the hydrolysis condensate in the second step is used as a catalyst to describe the silanol group in the hydrolysis condensate as the first aspect
  • the above-mentioned polysiloxane is a polysiloxane used for a composition for forming a planarizing film used for planarizing a substrate including a level difference.
  • the above-mentioned polysiloxane is a polysiloxane used for a composition for forming an intermediate film used as a hard mask between a resist and an organic film in a lithography process by a multilayer resist method. It is a manufacturing method of the polysiloxane as described in any one of 7 viewpoints.
  • silanol group capping material uses alcohol
  • water may be generated by the capping, and the capped portion may return to the silanol group again.
  • the capping agent according to the present invention capping the silanol group by using a capping agent having a plurality of (for example, 2 to 3) alkoxy groups in the molecule such as 2,2-dimethoxypropane and trimethyl orthoacetate for capping the silanol group.
  • the product is a polysiloxane containing a capped silanol group, a ketone or ester, and an alcohol, and no water is by-produced. Thus, the capped moiety does not revert to silanol groups.
  • the present invention is a compound for capping silanol groups of polysiloxane, and is the above-mentioned compound having a structure represented by the formula (1).
  • a first step of obtaining a hydrolytic condensate of a hydrolyzable silane and a second step of capping the silanol group in the hydrolytic condensate using the compound represented by the formula (1)
  • the polysiloxane is one obtained by capping a part or all of the silanol groups of the hydrolytic condensate with the compound represented by the formula (1).
  • the capping forms the alkoxysilane structure (Si-OR 2 ) with an alkoxy group derived from R 2 of formula (1).
  • the capping ratio can be, for example, 10 to 100 mol%, 30 to 100 mol%, 50 to 90 mol%, or 50 to 80 mol% with respect to the silanol group to be generated.
  • the capping of the silanol groups of the polysiloxane with the compound of the formula (1) is carried out in a solvent in the presence of an acid catalyst.
  • an acid catalyst for example, using a solvent used for hydrolysis and condensation of hydrolyzable silane, capping with a compound represented by formula (1), and using an acid catalyst used for hydrolysis at that time as an acid catalyst for capping can do.
  • Capping may be performed at a temperature within room temperature to 100 ° C., for example, a temperature from room temperature to 80 ° C., or 50 to 70 ° C.
  • R 1 represents an alkyl group or an aryl group
  • R 2 represents an alkoxy group
  • a represents an integer of 1 to 2.
  • the alkoxy group of R 2 is particularly preferably a methoxy group, an ethoxy group or the like.
  • the hydrolyzable silane used in the first step can include a hydrolyzable silane represented by the following formula (2).
  • R 3 represents a hydrogen atom and represents a bond to a silicon atom through a Si—H bond
  • R 4 represents an alkoxy group, an acyloxy group or a halogen atom
  • b is 1 to Indicates an integer of 2.
  • the hydrolyzable silane used in the first step is a combination of the hydrolyzable silane represented by the above formula (2) and other hydrolyzable silanes, and the other hydrolyzable silanes are the formula (3) And at least one hydrolyzable silane selected from the group consisting of hydrolyzable silanes represented by formula (4), and hydrolyzate represented by formula (2) above.
  • Degradable silanes and other hydrolyzable silanes can be present in a molar ratio of 100: 0 to 90:10.
  • R 5 has an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkoxyaryl group, an alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group or a cyano group
  • An organic group is shown, and one bonded to a silicon atom by a Si—C bond is shown, R 6 is an alkoxy group, an acyloxy group or a halogen atom, and c is an integer of 1 to 2.
  • R 7 represents an alkyl group and is bonded to a silicon atom by a Si-C bond
  • R 8 represents an alkoxy group, an acyloxy group or a halogen atom
  • Y is an alkylene group or arylene Group represents
  • d represents an integer of 0 or 1
  • e represents an integer of 0 or 1.
  • the alkyl group used in Formula (1), Formula (2), Formula (3), and Formula (4) is a linear or branched alkyl group having 1 to 10 carbon atoms, and examples thereof include a methyl group and an ethyl group.
  • a cyclic alkyl group can also be used, and examples of the cyclic alkyl group having 1 to 10 carbon atoms include a cyclopropyl group, a cyclobutyl group, a 1-methyl-cyclopropyl group, a 2-methyl-cyclopropyl group, and a cyclopentyl group 1-methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3-methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2 -Ethyl-cyclopropyl group, cyclohexyl group, 1-methyl-cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group Group, 1,2-d
  • the alkenyl group is an alkenyl group having a carbon number of 2 to 10, and ethenyl group, 1-propenyl group, 2-propenyl group, 1-methyl-1-ethenyl group, 1-butenyl group, 2-butenyl group, 3-butenyl group Group, 2-methyl-1-propenyl group, 2-methyl-2-propenyl group, 1-ethylethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group, 1-pentenyl group, 2- Pentenyl group, 3-pentenyl group, 4-pentenyl group, 1-n-propylethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1-methyl-3-butenyl group, 2 -Ethyl-2-propenyl group, 2-methyl-1-butenyl group, 2-methyl-2-butenyl group, 2-methyl-3-butenyl group, 3-methyl-1-butenyl group, 3-methyl
  • aryl group examples include aryl groups having 6 to 40 carbon atoms, such as phenyl group, o-methylphenyl group, m-methylphenyl group, p-methylphenyl group, o-chlorophenyl group, m-chlorophenyl group, p-Chlorophenyl group, o-fluorophenyl group, p-mercaptophenyl group, o-methoxyphenyl group, p-methoxyphenyl group, p-aminophenyl group, p-cyanophenyl group, ⁇ -naphthyl group, ⁇ -naphthyl group Group, o-biphenylyl group, m-biphenylyl group, p-biphenylyl group, 1-anthryl group, 2-anthryl group, 9-anthryl group, 1-phenanthryl group, 2-phenanthryl group, 3-phenanthryl group, 4-phenan
  • organic group having an epoxy group examples include glycidoxymethyl, glycidoxyethyl, glycidoxypropyl, glycidoxybutyl, epoxycyclohexyl and the like.
  • Examples of the organic group having a methacryloyl group include methacryloyl methyl, methacryloyl ethyl, methacryloyl propyl and the like.
  • Examples of the organic group having a mercapto group include ethyl mercapto, butyl mercapto, hexyl mercapto, octyl mercapto and the like.
  • Examples of the organic group having an amino group include an amino group, an aminomethyl group and an aminoethyl group.
  • Examples of the organic group having a cyano group include cyanoethyl, cyanopropyl and the like.
  • the alkoxyalkyl group is an alkyl group substituted with an alkoxy group, and examples thereof include a methoxymethyl group, an ethoxymethyl group, a methoxyethyl group and an ethoxyethyl group.
  • the alkoxy group includes an alkoxy group having a linear, branched or cyclic alkyl moiety having 1 to 20 carbon atoms, and examples thereof include a methoxy group, an ethoxy group, an n-propoxy group, an i-propoxy group and an n-butoxy group.
  • acyloxy group is, for example, methyl carbonyloxy group, ethyl carbonyloxy group, n-propyl carbonyloxy group, i-propyl carbonyloxy group, n-butyl carbonyloxy group, i-butyl carbonyloxy group, s-butyl carbonyloxy group , T-butylcarbonyloxy group, n-pentylcarbonyloxy group, 1-methyl-n-butylcarbonyloxy group, 2-methyl-n-butylcarbonyloxy group, 3-methyl-n-butylcarbonyloxy group, 1, 1-dimethyl-n-propylcarbonyloxy group, 1,2-dimethyl-n-propylcarbonyloxy group, 2,2-dimethyl-n-propylcarbonyloxy group, 1-ethyl-n-propylcarbonyloxy group, n- Hexyl carbonyloxy group, 1-methyl-n-pentyl Rubonyloxy group,
  • halogen atom a fluorine atom, a chlorine atom, a bromine atom, an iodine atom etc. are mentioned.
  • the hydrolyzable silane of Formula (2) can be illustrated, for example below.
  • the hydrolyzable silane of the formula (3) is, for example, tetramethoxysilane, tetrachlorosilane, tetraacetoxysilane, tetraethoxysilane, tetra n-propoxysilane, tetraisopropoxysilane, tetra n-butoxysilane, methyltrimethoxysilane, Methyltrichlorosilane, Methyltriacetoxysilane, Methyltripropoxysilane, Methyltriacetoxysilane, Methyltributoxysilane, Methyltriamyloxysilane, Methyltriphenoxysilane, Methyltribenzyloxysilane, Methyltriphenethyloxysilane, Glycide Xymethyltrimethoxysilane, glycidoxymethyltriethoxysilane, ⁇ -glycid
  • the hydrolyzable silanes of the formula (4) are, for example, methylenebistrimethoxysilane, methylenebistrichlorosilane, methylenebistriacetoxysilane, ethylenebistriethoxysilane, ethylenebistrichlorosilane, ethylenebistrichlorosilane, ethylenebistriacetoxysilane, propylenebistriethoxysilane, butylenebistrimethoxysilane, Phenylenebistrimethoxysilane, phenylenebistriethoxysilane, phenylenebismethyldiethoxysilane, phenylenebismethyldimethoxysilane, naphthalenebistrimethoxysilane, bistrimethoxydisilane, bistriethoxydisilane, bisethyldiethoxydisilane, bismethyldimethoxydisilane, etc. Be
  • hydrolytic condensate polysiloxane
  • the hydrolytic condensate (polyorganosiloxane) of the above hydrolyzable silane can obtain a condensate having a weight average molecular weight of 1000 to 1,000,000, or 1,000 to 100,000. These molecular weights are molecular weights obtained by polystyrene conversion by GPC analysis.
  • GPC apparatus (trade name: HLC-8220GPC, manufactured by Tosoh Corp.), GPC column (trade name: Shodex KF803L, KF802, KF801, manufactured by Showa Denko), column temperature is 40 ° C.
  • eluent eluting solvent
  • tetrahydrofuran a flow rate (flow rate) of 1.0 ml / min
  • a standard sample of polystyrene manufactured by Showa Denko KK.
  • the acyloxysilyl group or the halogenated silyl group 0.5 to 100 moles, preferably 1 to 10 moles of water are used per mole of the hydrolyzable group.
  • 0.001 to 10 moles, preferably 0.001 to 1 mole of a hydrolysis catalyst can be used per mole of the hydrolysable group.
  • the reaction temperature at the time of carrying out hydrolysis and condensation is usually 20 to 80.degree.
  • the hydrolysis may be complete hydrolysis or partial hydrolysis. That is, the hydrolyzate or monomer may remain in the hydrolytic condensate.
  • a catalyst can be used when hydrolyzing and condensing.
  • An acid can be used as a hydrolysis catalyst.
  • Organic acids as hydrolysis catalysts are, for example, acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, maleic acid, methyl malonic acid, adipic acid, sebacine Acid, gallic acid, butyric acid, butyric acid, mellitic acid, arachidonic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linoleic acid, linoleic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzene sulfone Acids, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoro
  • Examples of the inorganic acid as a hydrolysis catalyst include hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, phosphoric acid and the like.
  • ether solvents such as diisoamyl ether and dibutyl ether are preferable.
  • composition containing the polysiloxane obtained by the present invention can contain a curing catalyst.
  • Ammonium salts, phosphines, phosphonium salts and sulfonium salts can be used as curing catalysts.
  • ammonium salt a compound represented by formula (D-1): (Wherein, m is an integer of 2 to 11, n is an integer of 2 to 3, R 21 is an alkyl group or an aryl group, and Y ⁇ represents an anion), a quaternary ammonium salt having a structure represented by Formula (D-2): (Wherein R 22 , R 23 , R 24 and R 25 represent an alkyl group or an aryl group, N represents a nitrogen atom, Y - represents an anion, and R 22 , R 23 , R 24 and R 25 represent A quaternary ammonium salt having a structure shown by each of the CN bonds to a nitrogen atom
  • Formula (D-7) (Wherein R 31 , R 32 , R 33 , and R 34 are an alkyl group or an aryl group, P is a phosphorus atom, Y - is an anion, and R 31 , R 32 , R 33 , and R 34 Are each bonded to a phosphorus atom via a C—P bond.
  • Formula (D-8) (Wherein R 35 , R 36 and R 37 represent an alkyl or aryl group, S represents a sulfur atom, Y - represents an anion, and R 35 , R 36 and R 37 each represent a C—S bond Are attached to the sulfur atom), and the tertiary sulfonium salt is raised.
  • the compound of the above formula (D-1) is a quaternary ammonium salt derived from an amine, m is an integer of 2 to 11, and n is an integer of 2 to 3.
  • R 21 of this quaternary ammonium salt represents an alkyl or aryl group having 1 to 18 carbon atoms, preferably 2 to 10 carbon atoms, and includes, for example, linear alkyl groups such as ethyl, propyl and butyl, and benzyl And cyclohexyl group, cyclohexylmethyl group, dicyclopentadienyl group and the like.
  • the anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -) , alcoholate (-O -) can be mentioned an acid group and the like.
  • the compound of the above formula (D-2) is a quaternary ammonium salt represented by R 22 R 23 R 24 R 25 N + Y ⁇ .
  • R 22 , R 23 , R 24 and R 25 of this quaternary ammonium salt are an alkyl group having 1 to 18 carbon atoms or an aryl group, or a silane compound bonded to a silicon atom through a Si—C bond.
  • Anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -), alcoholate (-O -) can be mentioned an acid group and the like.
  • the quaternary ammonium salt is commercially available, and examples thereof include tetramethylammonium acetate, tetrabutylammonium acetate, triethylbenzylammonium chloride, triethylbenzylammonium bromide, trioctylmethylammonium chloride and tributylbenzyl chloride. Ammonium, trimethylbenzylammonium chloride and the like are exemplified.
  • the compound of the above-mentioned formula (D-3) is a quaternary ammonium salt derived from 1-substituted imidazole, and R 26 and R 27 have 1 to 18 carbon atoms, and R 26 and R 27 have carbon atoms It is preferable that the sum total of is 7 or more.
  • R 26 can be exemplified by methyl, ethyl, propyl, phenyl and benzyl
  • R 27 can be exemplified by benzyl, octyl and octadecyl.
  • Anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -), alcoholate (-O -) can be mentioned an acid group and the like.
  • this compound can be obtained as a commercial product, for example, an imidazole compound such as 1-methylimidazole or 1-benzylimidazole is reacted with an alkyl halide such as benzyl bromide or methyl bromide or an aryl halide. Can be manufactured.
  • the compound of the above formula (D-4) is a quaternary ammonium salt derived from pyridine, and R 28 is an alkyl or aryl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms,
  • R 28 is an alkyl or aryl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms,
  • Anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -), alcoholate (-O -) can be mentioned an acid group and the like.
  • this compound can be obtained as a commercial product, it is produced, for example, by reacting pyridine with alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide and the like, or aryl halide. You can do it. Examples of this compound include N-laurylpyridinium chloride, N-benzylpyridinium bromide and the like.
  • the compound of the above formula (D-5) is a quaternary ammonium salt derived from a substituted pyridine represented by picoline and the like, and R 29 is an alkyl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms or It is an aryl group, and examples thereof include a methyl group, an octyl group, a lauryl group and a benzyl group.
  • R 30 is an alkyl or aryl group having 1 to 18 carbon atoms. For example, in the case of quaternary ammonium derived from picoline, R 30 is a methyl group.
  • Anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -), alcoholate (-O -) can be mentioned an acid group and the like.
  • this compound can be obtained as a commercial product, for example, reaction of substituted pyridine such as picoline with alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride, benzyl bromide or aryl halide It can be manufactured. Examples of this compound include N-benzylpicolinium chloride, N-benzylpicolinium bromide, N-laurylpicolinium chloride and the like.
  • the compound of the above formula (D-6) is a tertiary ammonium salt derived from an amine, m is an integer of 2 to 11 and n is an integer of 2 to 3.
  • the anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -) , alcoholate (-O -) can be mentioned an acid group and the like. It can be produced by the reaction of an amine and a weak acid such as a carboxylic acid or phenol.
  • the carboxylic acid include formic acid and acetic acid
  • the anion (Y -) - in the case of using formic acid, the anion (Y -) -, and the case of using acetic acid, the anion (HCOO) (Y -) is (CH 3 COO - ) If phenol is used also, the anion (Y -) - a (C 6 H 5 O).
  • the compound of the above formula (D-7) is a quaternary phosphonium salt having a structure of R 31 R 32 R 33 R 34 P + Y ⁇ .
  • R 31 , R 32 , R 33 and R 34 each represent an alkyl group having 1 to 18 carbon atoms or an aryl group, or a silane compound bonded to a silicon atom through a Si-C bond, preferably R 31 to R Among the four substituents of 34 , three are a phenyl group or a substituted phenyl group, for example, a phenyl group or a tolyl group can be exemplified, and the remaining one is an alkyl group having 1 to 18 carbon atoms, It is a silane compound bonded to a silicon atom by an aryl group or a Si-C bond.
  • the anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -) , alcoholate (-O -) can be mentioned an acid group and the like.
  • This compound can be obtained as a commercial product, and for example, halogenated trialkylbenzyl such as halogenated tetraalkylphosphonium such as halogenated tetra n-butylphosphonium and halogenated tetra n-propylphosphonium halogenated trialkylbenzyl such as halogenated triethylbenzylphosphonium halogenated Phosphonium, triphenylmethylphosphonium halide, triphenylethylphosphonium halide such as triphenylethylphosphonium halide, triphenylmonoalkylphosphonium halide, triphenylbenzylphosphonium halide, tetraphenylphosphonium halide, tritolyl monoarylphosphonium halide, or tritolyl halide mono
  • alkyl phosphonium (a halogen atom is a chlorine atom or a bromine atom) is mentioned
  • halogens such as triphenylmonophosphorous halides such as triphenylmethylphosphonium halides, triphenylethylphosphonium halides, triphenylmonoarylphosphonium halides such as halogenated triphenylbenzylphosphonium halides, tritolyl monophenylphosphonium halides, etc.
  • a tolylyl monoarylphosphonium halide and a tolylyl monoalkylphosphonium halide (a halogen atom is a chlorine atom or a bromine atom) such as a tolylyl monoarylphosphonium halide or a tolylyl monomethyl phosphonium halide is preferable.
  • phosphines such as methyl phosphine, ethyl phosphine, propyl phosphine, isopropyl phosphine, isobutyl phosphine, phenyl phosphine, etc., dimethyl phosphine, diethyl phosphine, diisopropyl phosphine, diisoamyl phosphine, secondary phosphines such as diphenyl phosphine And tertiary phosphines such as trimethyl phosphine, triethyl phosphine, triphenyl phosphine, methyl diphenyl phosphine, and dimethyl phenyl phosphine.
  • primary phosphines such as methyl phosphine, ethyl phosphine, propyl phosphine, isopropyl phosphine, isobutyl
  • R 35 R 36 R 37 S + Y - is a tertiary sulfonium salt having a structure.
  • R 35 , R 36 and R 37 each represent an alkyl group having 1 to 18 carbon atoms or an aryl group, or a silane compound bonded to a silicon atom via a Si—C bond, preferably 4 of R 35 to R 37 Among the three substituents, three may be phenyl or substituted phenyl, such as phenyl and tolyl, and the remaining one may be an alkyl or aryl having 1 to 18 carbon atoms. It is.
  • This compound can be obtained as a commercially available product, and for example, trialkylbenzyl halides such as tri-n-butylsulfonium halide, tetra-alkylsulfonium halides such as tri-n-propylsulfonium halide, and diethylbenzylsulfonium halides Halogenated diphenyl monoalkyl sulfonium such as sulfonium, halogenated diphenylmethyl sulfonium, halogenated diphenylethyl sulfonium, etc., halogenated triphenyl sulfonium, (halogen atom is chlorine atom or bromine atom), tri n-butyl sulfonium carboxylate, tri n- Tetraalkyl phosphonium carboxylates such as propyl sulfonium carboxylate, and trialkyl benzils such as diethyl benzyl
  • a nitrogen-containing silane compound can be added as a curing catalyst.
  • nitrogen-containing silane compounds include imidazole ring-containing silane compounds such as N- (3-triethoxysilylyl) -4,5-dihydroimidazole.
  • the curing catalyst is 0.01 to 10 parts by mass, 0.01 to 5 parts by mass, or 0.01 to 3 parts by mass with respect to 100 parts by mass of the polyorganosiloxane.
  • the hydrolyzable silane is hydrolyzed and condensed using a catalyst in a solvent, and the obtained hydrolytic condensate (polymer) simultaneously removes alcohol by-product alcohol and the hydrolysis catalyst used and water by reduced pressure distillation etc. be able to.
  • the acid used for hydrolysis can be removed by neutralization or ion exchange.
  • the coating composition containing the hydrolysis condensate (polysiloxane) can be added with an organic acid for stabilization.
  • organic acid examples include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartaric acid, phthalic acid, citric acid, glutaric acid, citric acid, lactic acid, salicylic acid and the like. Among them, oxalic acid, maleic acid and the like are preferable.
  • the amount of the organic acid to be added is 0.1 to 5.0 parts by mass with respect to 100 parts by mass of the condensate (polyorganosiloxane).
  • a composition containing a polysiloxane can be obtained using the obtained polysiloxane.
  • the composition comprising the polysiloxane can comprise one or more selected from the group consisting of water, an acid, and a curing catalyst.
  • composition containing the polysiloxane of the present invention can contain, in addition to the above components, an organic polymer compound, a photoacid generator, a surfactant and the like as required.
  • the dry etching rate reduction in film thickness per unit time
  • attenuation coefficient refractive index, etc.
  • the proportion thereof is 0.01 to 30 parts by mass, 0.01 to 15 parts by mass, or 0.1 to 10 parts by mass with respect to 100 parts by mass of the condensate (polyorganosiloxane). It is 10 parts by mass.
  • the surfactant is effective in suppressing the occurrence of pinholes, wear and the like when the composition containing the polysiloxane of the present invention is applied to a substrate.
  • surfactant contained in the composition containing the polysiloxane of the present invention for example, polyoxyethylene alkyl ether such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, polyoxyethylene oleyl ether, etc. , Polyoxyethylene alkyl allyl ethers such as polyoxyethylene octyl phenol ether and polyoxyethylene nonyl phenol ether, polyoxyethylene / polyoxypropylene block copolymers, sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate Fatty acid esters such as sorbitan monooleate, sorbitan trioleate, sorbitan tristearate, etc.
  • polyoxyethylene alkyl ether such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, polyoxyethylene oleyl ether, etc.
  • Nonionic surfactants such as polyoxyethylene sorbitan fatty acid esters such as bitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, polyoxyethylene sorbitan tristearate, etc.
  • surfactants may be used alone or in combination of two or more.
  • the ratio thereof is 0.0001 to 5 parts by mass, or 0.001 to 1 parts by mass, or 0.01 to 1 parts by mass with respect to 100 parts by mass of the condensate (polyorganosiloxane). It is a mass part.
  • a rheology regulator, an adhesion adjuvant, etc. can be added to the composition containing the polysiloxane of this invention.
  • the rheology modifier is effective to improve the fluidity of the underlayer film forming composition.
  • the adhesion aiding agent is effective to improve the adhesion between the semiconductor substrate or the resist and the underlayer film.
  • solvent used for the composition containing polysiloxane of this invention if it is a solvent which can melt
  • solvent for example, diethyl ether, di-propyl ether, di n-butyl ether, di n-hexyl ether, diisoamyl ether, 2-ethylhexyl ether, ethylene oxide, 1,2-propylene oxide, dioxolane, 4 -Methyldioxolane, dioxane, dimethyldioxane, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol diethyl ether, ethylene glycol mono-n-butyl ether, ethylene glycol mono-n-hexyl ether, ethylene glycol monophenyl ether, ethylene glycol Mono-2-ethyl butyl ether, ethylene glycol dibuty
  • composition containing the polysiloxane of the present invention will be described for use as a resist underlayer film forming composition.
  • Substrates used for manufacturing semiconductor devices for example, silicon wafer substrates, silicon / silicon dioxide coated substrates, silicon nitride substrates, glass substrates, ITO substrates, polyimide substrates, and low dielectric constant material (low-k material) coated substrates
  • the resist underlayer film forming composition of the present invention is coated on a etc.) by a suitable coating method such as a spinner or a coater, and thereafter, a resist underlayer film is formed by baking.
  • the firing conditions are appropriately selected from a firing temperature of 80 ° C. to 250 ° C. and a firing time of 0.3 to 60 minutes.
  • the firing temperature is 150 ° C. to 250 ° C.
  • the firing time is 0.5 to 2 minutes.
  • the film thickness of the lower layer film to be formed is, for example, 5 to 1000 nm, 20 to 500 nm, 50 to 300 nm, or 50 to 200 nm.
  • a layer of photoresist for example, is formed on the resist underlayer film.
  • the formation of a layer of photoresist can be performed by a known method, that is, application of a photoresist composition solution on an underlying film and baking.
  • the film thickness of the photoresist is, for example, 50 to 10000 nm, or 50 to 2000 nm, or 50 to 1000 nm.
  • the resist lower layer film of the present invention can be formed thereon, and a photoresist can be further coated thereon.
  • the pattern width of the photoresist becomes narrow, and even when the photoresist is thinly coated in order to prevent pattern collapse, the substrate can be processed by selecting an appropriate etching gas.
  • the organic lower layer film can be processed using the oxygen-based gas as an etching gas, and the substrate can be processed using a fluorine-based gas having a sufficiently high etching rate to the organic lower layer film as an etching gas.
  • the photoresist formed on the resist underlayer film of the present invention is not particularly limited as long as it is sensitive to light used for exposure. Both negative and positive photoresists can be used. Positive-working photoresist consisting of novolac resin and 1,2-naphthoquinone diazide sulfonic acid ester, chemically amplified photoresist consisting of a binder having a group which is decomposed by an acid to increase alkali dissolution rate, and a photo-acid generator, acid A chemically amplified photoresist comprising a low molecular weight compound which decomposes to increase the alkali dissolution rate of the photoresist, an alkali soluble binder and a photoacid generator, and a binder having a group which is decomposed by an acid to increase the alkali dissolution rate There is a chemically amplified photoresist comprising a low molecular weight compound which is decomposed by an acid to increase the alkali dissolution
  • a KrF excimer laser (wavelength 248 nm), an ArF excimer laser (wavelength 193 nm), an F2 excimer laser (wavelength 157 nm), EUV, etc. can be used.
  • post exposure bake can also be performed if necessary.
  • Post-exposure heating is performed under conditions appropriately selected from heating temperatures of 70 ° C. to 150 ° C. and heating times of 0.3 to 10 minutes.
  • a resist for electron beam lithography or a resist for EUV lithography can be used instead of a photoresist as the resist.
  • the electron beam resist either negative or positive type can be used.
  • Chemically amplified resist comprising a binder having a group that changes the alkali dissolution rate by being decomposed by an acid generator and an acid, a low molecular weight compound that changes the alkali dissolution rate of the resist by being decomposed by an alkali soluble binder, an acid generator and an acid
  • a chemically amplified resist comprising a acid generator and a binder having a group capable of changing an alkali dissolution rate by an acid generator and an acid, and a chemically amplified resist comprising a low molecular compound capable of changing an alkali dissolution rate of the resist by being decomposed by an acid and an acid
  • a non-chemically amplified resist comprising a binder having a group which is decomposed by an electron beam to change an alkali dissolution rate
  • EUV resist a methacrylate resin based resist, a methacrylate-polyhydroxystyrene hybrid resin based resist, or a polyhydroxystyrene resin based resist can be used.
  • EUV resist either a negative type or a positive type can be used.
  • Chemically amplified resist comprising a binder having a group that changes the alkali dissolution rate by being decomposed by an acid generator and an acid, a low molecular weight compound that changes the alkali dissolution rate of the resist by being decomposed by an alkali soluble binder, an acid generator and an acid
  • a chemically amplified resist comprising a acid generator and a binder having a group capable of changing an alkali dissolution rate by an acid generator and an acid
  • a chemically amplified resist comprising a low molecular compound capable of changing an alkali dissolution rate of the resist by being decomposed by an acid and an acid
  • a non-chemically amplified resist comprising a binder having a group which is decomposed by EUV light to change the alkali dissolution rate
  • a non-chemically amplified resist consisting of a binder having a site which is cleaved by EUV light and changes the alkali dissolution rate.
  • a developer for example, an alkali developer.
  • a developer for example, an alkali developer
  • Examples of the developer include aqueous solutions of alkali metal hydroxides such as potassium hydroxide and sodium hydroxide, tetramethylammonium hydroxide, tetraethylammonium hydroxide, aqueous solutions of quaternary ammonium hydroxides such as choline, ethanolamine, propylamine, An alkaline aqueous solution such as an aqueous amine solution such as ethylene diamine can be mentioned as an example. Furthermore, surfactants and the like can also be added to these developers.
  • the conditions for development are suitably selected from a temperature of 5 to 50 ° C. and a time of 10 to 600 seconds.
  • an organic solvent can be used as a developer. After exposure, development is performed with a developer (solvent). As a result, for example, when a positive photoresist is used, the photoresist in the non-exposed portion is removed to form a photoresist pattern.
  • the resist underlayer film (intermediate layer) of the present invention is removed using the pattern of the photoresist (upper layer) thus formed as a protective film, and then the patterned photoresist and the resist underlayer film of the present invention Removal of the organic lower layer film (lower layer) is performed using the film formed of (intermediate layer) as a protective film. Finally, the semiconductor substrate is processed using the patterned resist lower layer film (intermediate layer) and organic lower layer film (lower layer) of the present invention as a protective film.
  • the resist underlayer film (intermediate layer) of the present invention in the portion where the photoresist is removed is removed by dry etching to expose the semiconductor substrate.
  • dry etching of the resist underlayer film of the present invention tetrafluoromethane (CF 4 ), trifluoromethane (CHF 3 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, Gases such as carbon monoxide, argon, oxygen, nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride and chlorine trifluoride, chlorine, trichloroborane and dichloroborane can be used.
  • a halogen-based gas for dry etching of the resist underlayer film.
  • a halogen-based gas basically, a photoresist made of an organic substance is difficult to remove.
  • the resist underlayer film of the present invention containing a large amount of silicon atoms is rapidly removed by the halogen-based gas. Therefore, it is possible to suppress the decrease in the film thickness of the photoresist accompanying the dry etching of the resist underlayer film. And as a result, it becomes possible to use a photoresist in a thin film.
  • the dry etching of the resist underlayer film is preferably performed using a fluorine-based gas.
  • fluorine-based gas examples include tetrafluoromethane (CF 4 ), trifluoromethane (CHF 3 ), perfluorocyclobutane (C 4 F 8 ), and perfluoro Propane (C 3 F 8 ), trifluoromethane, difluoromethane (CH 2 F 2 ) and the like can be mentioned.
  • the organic lower layer film (lower layer) is preferably performed by dry etching using an oxygen-based gas. This is because the resist underlayer film of the present invention containing a large amount of silicon atoms is difficult to remove by dry etching with an oxygen-based gas.
  • processing of the semiconductor substrate is performed.
  • the processing of the semiconductor substrate is preferably performed by dry etching with a fluorine-based gas.
  • fluorine-based gas for example, tetrafluoromethane (CF 4 ), trifluoromethane (CHF 3 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, and difluoromethane ( CH 2 F 2 ) and the like.
  • an organic antireflective film can be formed before the formation of the photoresist.
  • the antireflective film composition to be used there, and any one of those conventionally used in the lithography process can be optionally selected and used, and a commonly used method, for example, a spinner
  • the antireflective film can be formed by coating with a coater and baking.
  • the substrate to which the resist underlayer film forming composition of the present invention is applied may have an organic or inorganic antireflective film formed on the surface thereof by a CVD method or the like,
  • the underlayer film of the invention can also be formed.
  • the resist underlayer film formed from the resist underlayer film forming composition of the present invention may also have absorption for the light depending on the wavelength of light used in the lithography process. And in such a case, it can function as an anti-reflective film which has the effect of preventing the reflected light from a board
  • a resist underlayer film formed from the resist underlayer film forming composition is applied to a substrate on which a via hole used in a dual damascene process is formed, and can be used as a filling material capable of filling holes without gaps. Moreover, it can also be used as a planarizing material for planarizing the surface of a semiconductor substrate with unevenness.
  • the lower layer film of the EUV resist can also be used for the following purposes. Without intermixing with the EUV resist, it is possible to prevent the reflection of unwanted exposure light during EUV exposure (wavelength 13.5 nm), for example UV or DUV (ArF light, KrF light) mentioned above, from the substrate or interface
  • the above resist underlayer film forming composition can be used as a lower layer antireflection film of a resist. Reflection can be efficiently prevented in the lower layer of the EUV resist.
  • the process can be performed in the same manner as the photoresist underlayer film.
  • the composition containing the polysiloxane obtained by the present invention can be used as a reverse material.
  • Step of applying a resist on a substrate (1) Step of exposing and developing a resist (2) Step of applying a composition containing a polysiloxane obtained in the present invention to a resist pattern during or after development (3) And the step (4) of removing the resist pattern by etching and inverting the pattern.
  • the above composition is to be coated on a resist pattern having a rough and dense layout.
  • composition may be used in which a resist pattern before coating is formed by nanoimprinting.
  • the above-mentioned resist can be used as a photoresist used at a process (1).
  • the resist solution is baked at a baking temperature of 70 to 150 ° C. for a baking time of 0.5 to 5 minutes, and a resist film thickness of 10 to 1000 nm can be obtained.
  • a resist solution, a developing solution, and the coating material shown below can be coat
  • a spin coat method is especially preferable.
  • the exposure of the resist is performed through a predetermined mask.
  • a KrF excimer laser (wavelength 248 nm), an ArF excimer laser (wavelength 193 nm), EUV light (wavelength 13.5 nm), an electron beam or the like can be used.
  • post exposure baking PEB: Post Exposure Bake
  • Post-exposure heating is appropriately selected from heating temperatures of 70 ° C. to 150 ° C. and heating times of 0.3 to 10 minutes.
  • the step (1-1) of forming a resist underlayer film on the substrate can be included.
  • the resist underlayer film has anti-reflection and an organic hard mask function.
  • a resist underlayer film can be formed on the semiconductor substrate, and the step (1-1) of forming a resist can be performed.
  • a resist underlayer film can be formed on a semiconductor substrate, a hard mask of silicon can be formed thereon, and a resist can be formed thereon.
  • the resist underlayer film used in the step (1-1) prevents irregular reflection during exposure of the upper layer resist, and is used for the purpose of improving the adhesion to the resist, for example, an acrylic resin or the like Novolak resins can be used.
  • the resist underlayer film can form a film with a thickness of 1 to 1000 nm on a semiconductor substrate.
  • the resist underlayer film used in the step (1-1) is a hard mask using an organic resin, and a material having a high carbon content and a low hydrogen content is used.
  • a material having a high carbon content and a low hydrogen content is used.
  • polyvinyl naphthalene resin, carbazole novolac resin, phenol novolac resin, naphthol novolac resin and the like can be mentioned. These can form a film with a film thickness of 5 to 1000 nm on a semiconductor substrate.
  • polysiloxane obtained by hydrolyzing a hydrolyzable silane can be used.
  • tetraethoxysilane, methyltrimethoxysilane, and a polysiloxane obtained by hydrolyzing phenyltriethoxysilane can be exemplified. These can form a film with a film thickness of 5 to 200 nm on the resist underlayer film.
  • step (2) exposure is performed through a predetermined mask.
  • a KrF excimer laser (wavelength 248 nm), an ArF excimer laser (wavelength 193 nm), EUV (wavelength 13.5 nm) or the like can be used.
  • post exposure bake can also be performed if necessary.
  • Post-exposure heating is performed under conditions appropriately selected from heating temperatures of 70 ° C. to 150 ° C. and heating times of 0.3 to 10 minutes.
  • photoresist in the exposed portion is removed to form a photoresist pattern.
  • the developing solution of the above-mentioned alkaline developing solution and the organic solvent can be used.
  • a surfactant or the like can be added to the developer.
  • the conditions for development are suitably selected from a temperature of 5 to 50 ° C. and a time of 10 to 600 seconds.
  • the coating composition of the present invention is applied to the resist during or after development.
  • the coating composition can be formed by heating in the step (3). The heating is performed at a baking temperature of 50 to 180 ° C. for 0.5 to 5 minutes.
  • the step (3-1) of etching back the coated film surface to expose the resist pattern surface can be included.
  • the surface of the resist pattern matches the surface of the coating composition, and only the resist component is removed from the difference between the resist pattern and the gas etching rate of the coating composition, and the component by the coating composition Remain, resulting in pattern inversion.
  • the resist pattern is exposed by a gas (for example, a fluorine-based gas) that can remove the coating composition.
  • step (4) the resist pattern is etched away to invert the pattern.
  • dry etching is performed using tetrafluoromethane, perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, sulfur hexafluoride Gas such as difluoromethane, nitrogen trifluoride and chlorine trifluoride.
  • dry etching is preferably performed using an oxygen-based gas.
  • the original resist pattern is removed, and a reverse pattern is formed by the pattern inversion forming polysiloxane contained in the coating composition.
  • HTEOS triethoxysilane
  • MTEOS methyltriethoxysilane
  • acetone acetone in a flask I put it in.
  • a dropping funnel containing a mixed solution of 8.53 mg of acetic acid, 8.53 g of water, and 104.20 g of acetone was attached to the flask and slowly dropped while maintaining the temperature at 30 ° C. or less. Thereafter, the reaction was carried out at room temperature for 65 hours.
  • the capping rate was calculated by capping the unhydrolyzed ethoxy group (Si-OC 2 H 5 ) of the starting silane and the hydrolyzed silanol group with a methoxy group (Si-OCH 3 ), so methoxy and ethoxy groups
  • the polysiloxane obtained as described above was diluted in the proportions in the following table, and filtered through a filter with a pore diameter of 0.1 ⁇ m to obtain a polysiloxane composition.
  • HTEOS triethoxysilane
  • MTEOS methyltriethoxysilane
  • acetone 46.31 g of acetone in a flask I put it in.
  • a dropping funnel containing a mixed solution of 5.68 mg of acetic acid, 5.68 g of water, and 34.73 g of acetone was attached to the flask and slowly dropped while maintaining the temperature at 30 ° C. or less. The reaction was then allowed to proceed for 41 hours at room temperature. Thereafter, 41.88 g of 2,2-dimethoxypropane (capping agent) was added and reacted at 65 ° C. for 1 hour.
  • the capping rate was calculated by capping the unhydrolyzed ethoxy group (Si-OC 2 H 5 ) of the starting silane and the hydrolyzed silanol group with a methoxy group (Si-OCH 3 ), so methoxy and ethoxy groups
  • the polysiloxane obtained as described above was diluted in the proportions in the following table, and filtered through a filter with a pore diameter of 0.1 ⁇ m to obtain a polysiloxane composition.
  • HTEOS triethoxysilane
  • MTEOS methyltriethoxysilane
  • acetone acetone in a flask I put it in.
  • a dropping funnel containing a mixed solution of 8.53 mg of acetic acid, 8.53 g of water, and 104.20 g of acetone was attached to the flask and slowly dropped while maintaining the temperature at 30 ° C. or less. Thereafter, the reaction was carried out at room temperature for 65 hours.
  • the polysiloxane obtained as described above was diluted in the proportions in the following table, and filtered through a filter with a pore diameter of 0.1 ⁇ m to obtain a polysiloxane composition.
  • HTEOS triethoxysilane
  • MTEOS methyltriethoxysilane
  • the polysiloxane obtained as described above was diluted in the proportions in the following table, and filtered through a filter with a pore diameter of 0.1 ⁇ m to obtain a polysiloxane composition.
  • reaction product polysiloxane (corresponding to the formula (6-1))
  • acetone was replaced with propylene glycol monoethyl ether using an evaporator.
  • the solid content in the obtained reaction product was 13% by mass as a result of measurement by the firing method.
  • GPC polystyrene conversion
  • the polysiloxane obtained as described above was diluted in the proportions in the following table, and filtered through a filter with a pore diameter of 0.1 ⁇ m to obtain a polysiloxane composition.
  • diisoamyl ether is DIAE
  • maleic acid as curing catalyst is MA
  • N- (3-triethoxypropyl) -4,5-dihydroimidazole is curing IMIDTEOS as curing catalyst
  • propylene glycol monomethyl ether acetate is PGMEA as solvent
  • PGEE Propylene glycol monoethyl ether as a solvent
  • PGME propylene glycol monomethyl ether as a solvent
  • the polysiloxane solution in which the silanol group is protected has a small increase in Mw (weight average molecular weight) compared to the polysiloxane solution in which the silanol group is unprotected, and gelation hardly occurs, so storage stability It is understood that it is excellent in sex.
  • the polysiloxane composition of Example 1 is applied on a stepped substrate having a groove depth of 200 nm and a width of 800 nm using a spin coater under the conditions of a rotation number of 1,500 rpm for 60 seconds, and then baked at 100 ° C. for 1 minute did.
  • the polysiloxane compositions of Example 2 and Comparative Examples 1 and 2 were applied, and heated at 100 ° C. for 1 minute on a hot plate to form a polysiloxane composition film (prepared to have a film thickness of 180 nm).
  • the shape of the cross section was observed by a cross section SEM to evaluate the flatness.
  • the siloxane coating film formed using the composition of the present invention showed a good planarization property to the comparative example.
  • the etcher used was LAM-2300 (manufactured by Ram Research Inc.) for the measurement of the dry etching rate.
  • the siloxane coating film formed using the composition of the present invention showed a good etching resistance similar to that of the comparative example. This shows good etching resistance when processing a base using the formed pattern, when it is used as a coating composition or a resist composition.
  • a solution of the composition containing the polysiloxane of Example 1 is coated on a wafer using a spin coater at a rotational speed of 1000 rpm for 60 seconds, and then baked at 110 ° C. for 1 minute to form a polysiloxane composition film It formed.
  • the solution of Comparative Example 3 was applied at a rotational speed of 1000 rpm for 60 seconds, and then baked at 205 ° C. for 1 minute to form a polysiloxane composition film.
  • the leak current density A / cm 2 was measured when an electric field strength of 1 MV / cm and 3 MV / cm was applied to the resin film by a mercury prober (CVmap 92A manufactured by Four Dimensions). .
  • the results are shown in Table 7.
  • the siloxane coating film formed using the composition of the present invention showed excellent insulation.
  • the siloxane coated film formed using the composition of the present invention showed a small weight loss at 500 ° C. and showed excellent heat resistance.
  • a hydrogenated polysiloxane having high heat resistance, insulation, and etching resistance which is improved in storage stability, and improved in storage stability so as to improve flatness as a coating film. It is a polysiloxane composition part.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • General Chemical & Material Sciences (AREA)
  • Silicon Polymers (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)

Abstract

[Problem] To provide: a production method which improves storage stability of a hydrogenated polysiloxane that has high heat resistance, insulating properties and etching resistance; and a hydrogenated polysiloxane composition which exhibits improved planarization properties in the form of a coating film due to the improved storage stability. [Solution] A compound for capping a silanol group of a polysiloxane, which has a structure represented by formula (1). (In formula (1), R1 represents an alkyl group or an aryl group; R2 represents an alkoxy group; and a represents an integer of 1 or 2.) A method for producing a polysiloxane, which comprises: a first step for obtaining a hydrolysis-condensation product of a hydrolyzable silane; and a second step for capping a silanol group in the hydrolysis-condensation product with use of the compound represented by formula (1). The hydrolyzable silane used in the first step includes a hydrolyzable silane represented by formula (2). (In formula (2), R3 represents a hydrogen atom.)

Description

保存安定性に優れたポリシロキサンの製造方法Method for producing polysiloxane excellent in storage stability
半導体製造のリソグラフィー工程等に使用される保存安定性に優れた水素化ポリシロキサンの製造方法に関する。 The present invention relates to a method for producing hydrogenated polysiloxane having excellent storage stability, which is used in a lithography process of semiconductor production.
従来から半導体装置の製造において、フォトレジストを用いたリソグラフィーによる微細加工が行われている。前記微細加工はシリコンウエハー等の半導体基板上にフォトレジストの薄膜を形成し、その上に半導体デバイスのパターンが描かれたマスクパターンを介して紫外線などの活性光線を照射し、現像し、得られたフォトレジストパターンを保護膜として基板をエッチング処理することにより、基板表面に、前記パターンに対応する微細凹凸を形成する加工法である。ところが、近年、半導体デバイスの高集積度化が進み、使用される活性光線もKrFエキシマレーザー(248nm)からArFエキシマレーザー(193nm)へと短波長化される傾向にある。これに伴い活性光線の半導体基板からの反射の影響が大きな問題となってきた。 Conventionally, in the manufacture of a semiconductor device, fine processing by lithography using a photoresist has been performed. The fine processing is obtained by forming a thin film of photoresist on a semiconductor substrate such as a silicon wafer, and irradiating and developing active light such as ultraviolet light through a mask pattern on which the pattern of the semiconductor device is drawn. By etching the substrate using the photoresist pattern as a protective film, fine irregularities corresponding to the pattern are formed on the surface of the substrate. However, in recent years, the degree of integration of semiconductor devices has been increased, and active light rays used also tend to be shortened in wavelength from a KrF excimer laser (248 nm) to an ArF excimer laser (193 nm). Along with this, the influence of reflection of active light from the semiconductor substrate has become a major problem.
また、半導体基板とフォトレジストとの間の下層膜として、シリコンやチタン等の金属元素を含むハードマスクとして知られる膜を使用することが行なわれている。この場合、レジストとハードマスクでは、その構成成分に大きな違いが有るため、それらのドライエッチングによって除去される速度は、ドライエッチングに使用されるガス種に大きく依存する。そして、ガス種を適切に選択することにより、フォトレジストの膜厚の大きな減少を伴うことなく、ハードマスクをドライエッチングによって除去することが可能となる。このように、近年の半導体装置の製造においては、反射防止効果を初め、さまざまな効果を達成するために、半導体基板とフォトレジストの間にレジスト下層膜が配置されるようになってきている。そして、これまでもレジスト下層膜用の組成物の検討が行なわれてきているが、その要求される特性の多様性などから、レジスト下層膜用の新たな材料の開発が望まれている。 In addition, as a lower layer film between a semiconductor substrate and a photoresist, a film known as a hard mask containing a metal element such as silicon or titanium has been used. In this case, since the components of the resist and the hard mask are largely different from each other, the removal rate thereof by the dry etching largely depends on the gas species used for the dry etching. Then, by appropriately selecting the gas type, it is possible to remove the hard mask by dry etching without accompanied by a large decrease in the film thickness of the photoresist. As described above, in recent semiconductor device manufacturing, a resist underlayer film has been disposed between a semiconductor substrate and a photoresist in order to achieve various effects including the antireflection effect. Then, although a composition for a resist underlayer film has been studied up to now, development of a new material for a resist underlayer film is desired from the diversity of required characteristics and the like.
ドライエッチング耐性を向上させるためにポリシロキサン中のシリコン含有率を向上させることが考えられている。有機成分を含まない完全なポリシロキサンは塗布物性等に課題があるため、有機基を含むポリシロキサンが用いられている。ポリシロキサン中の有機基の一部または全部を水素原子に置き換えた水素化ポリシロキサンにする事で、ポリシロキサン中のシリコン含有率を向上することができる。 It is considered to improve the silicon content in the polysiloxane in order to improve the dry etching resistance. Since the complete polysiloxane which does not contain an organic component has a subject in a coating physical property etc., the polysiloxane containing an organic group is used. The silicon content in the polysiloxane can be improved by forming a hydrogenated polysiloxane in which part or all of the organic groups in the polysiloxane are replaced by hydrogen atoms.
水素化ポリシロキサンについては特許文献1乃至6があげられる。 Patent documents 1 to 6 can be cited as the hydrogenated polysiloxane.
ポリシロキサンを含有する有機化合物の水酸基をケタール化剤で保護する方法があげられる(特許文献7参照) There is a method of protecting the hydroxyl group of an organic compound containing polysiloxane with a ketalizing agent (see Patent Document 7).
特開平7-097548号JP 7-097548 特開平9-137121号JP-A-9-137121 特開平11-251310号JP-A-11-251310 特開2001-131479Patent document 1: JP-A-2001-131479 特開2005-187657Patent document 1: JP-A-2005-187657 特開2010-151923Japanese Patent Application Laid-Open No. 2010-151923 国際公開WO2012/165235パンフレットInternational Publication WO2012 / 165235 Pamphlet
本発明は高い耐熱性、絶縁性、耐エッチング性を有する水素化ポリシロキサンを、保存安定性を向上させた製造方法を提供するものであり、保存安定性が向上することにより塗布膜として平坦化性も向上させた水素化ポリシロキサン組成部を提供しようとするものである。 The present invention provides a method for producing a hydrogenated polysiloxane having high heat resistance, insulation, and etching resistance with improved storage stability, and improves the storage stability to planarize a coated film. It is an object of the present invention to provide a hydrogenated polysiloxane composition having improved properties.
本発明は第1観点として、ポリシロキサンのシラノール基をキャッピングするための化合物であって、式(1):
Figure JPOXMLDOC01-appb-C000005
(式(1)中、Rはアルキル基、又はアリール基を示し、Rはアルコキシ基を示し、aは1~2の整数を示す。)で表される構造を有する上記化合物、
According to a first aspect of the present invention, there is provided a compound for capping silanol groups of a polysiloxane, which is represented by the formula (1):
Figure JPOXMLDOC01-appb-C000005
(In the formula (1), R 1 represents an alkyl group or an aryl group, R 2 represents an alkoxy group, and a represents an integer of 1 to 2.) the above compound having a structure represented by
第2観点として、加水分解性シランの加水分解縮合物を得る第1工程と、第1観点に記載の式(1)で表される化合物を用いて該加水分解縮合物中のシラノール基をキャッピングする第2工程を含むポリシロキサンの製造方法、 As a second aspect, capping the silanol group in the hydrolytic condensate with the first step of obtaining a hydrolytic condensate of hydrolyzable silane and the compound represented by the formula (1) described in the first aspect A method of producing a polysiloxane comprising the second step of
第3観点として、第1工程に用いられる加水分解性シランは下記式(2):
Figure JPOXMLDOC01-appb-C000006
(式(2)中、Rは水素原子を示し、且つSi-H結合によりケイ素原子と結合しているものを示し、Rはアルコキシ基、アシルオキシ基、又はハロゲン原子を示し、bは1~2の整数を示す。)で表される加水分解性シランを含む第2観点に記載のポリシロキサンの製造方法、
As a third aspect, the hydrolyzable silane used in the first step has the following formula (2):
Figure JPOXMLDOC01-appb-C000006
(In the formula (2), R 3 represents a hydrogen atom and represents a bond to a silicon atom through a Si—H bond, R 4 represents an alkoxy group, an acyloxy group or a halogen atom, b is 1 The method for producing a polysiloxane according to the second aspect, comprising a hydrolyzable silane represented by the following integer:
第4観点として、第1工程に用いられる加水分解性シランが、上記式(2)で表される加水分解性シランとその他の加水分解性シランの組み合わせであり、その他の加水分解性シランが式(3):
Figure JPOXMLDOC01-appb-C000007
(式(3)中、Rはアルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、もしくはシアノ基を有する有機基を示し、且つSi-C結合によりケイ素原子と結合しているものを示し、Rはアルコキシ基、アシルオキシ基、又はハロゲン原子を示し、cは1~2の整数を示す。)で表される加水分解性シラン、及び
式(4):
Figure JPOXMLDOC01-appb-C000008
(式(4)中、Rはアルキル基で且つSi-C結合によりケイ素原子と結合しているものを示し、Rはアルコキシ基、アシルオキシ基、又はハロゲン原子を示し、Yはアルキレン基又はアリーレン基を示し、dは0又は1の整数を示し、eは0又は1の整数を示す。)で表される加水分解性シランからなる群より選ばれた少なくとも1種の加水分解性シランであり、
上記式(2)で表される加水分解性シランとその他の加水分解性シランが100:0~90:10のモル比で存在する第2観点又は第3観点に記載のポリシロキサンの製造方法、
As a fourth aspect, the hydrolyzable silane used in the first step is a combination of the hydrolyzable silane represented by the above formula (2) and another hydrolyzable silane, and the other hydrolyzable silanes are formulas (3):
Figure JPOXMLDOC01-appb-C000007
(In formula (3), R 5 represents an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkoxyaryl group, an alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group or a cyano group R 6 represents an alkoxy group, an acyloxy group, or a halogen atom, and c represents an integer of 1 to 2). Hydrolyzable silanes represented, and Formula (4):
Figure JPOXMLDOC01-appb-C000008
(In the formula (4), R 7 represents an alkyl group and is bonded to a silicon atom by a Si—C bond, R 8 represents an alkoxy group, an acyloxy group or a halogen atom, and Y represents an alkylene group or And at least one hydrolyzable silane selected from the group consisting of hydrolyzable silanes represented by arylene group, d represents an integer of 0 or 1, and e represents an integer of 0 or 1. Yes,
The method for producing a polysiloxane according to the second or third aspect, wherein the hydrolyzable silane represented by the above formula (2) and the other hydrolyzable silane are present in a molar ratio of 100: 0 to 90:10,
第5観点として、第1工程において式(2)で表される加水分解性シラン又は式(2)で表される加水分解性シラン及びその他の加水分解性シランを酸触媒で加水分解し、加水分解縮合物を得る第2観点乃至第4観点のいずれか一つに記載のポリシロキサンの製造方法、 As a fifth aspect, in the first step, the hydrolyzable silane represented by Formula (2) or the hydrolyzable silane represented by Formula (2) and other hydrolyzable silanes are hydrolyzed with an acid catalyst, The method for producing a polysiloxane according to any one of the second to fourth aspects, wherein a decomposition condensate is obtained,
第6観点として、第1工程において式(2)で表される加水分解性シラン又は式(2)で表される加水分解性シラン及びその他の加水分解性シランを加水分解して加水分解縮合物を得、第2工程において酸触媒下に該加水分解縮合物中のシラノール基を第1観点に記載の式(1)の化合物によりキャッピングする第2観点乃至第5観点のいずれか一つに記載のポリシロキサンの製造方法、 As a sixth aspect, in the first step, the hydrolyzable silane represented by Formula (2) or the hydrolyzable silane represented by Formula (2) and other hydrolysable silanes are hydrolyzed to form a hydrolytic condensate Described in any one of the second to fifth aspects in which the silanol group in the hydrolytic condensate is capped with the compound of the formula (1) described in the first aspect under an acid catalyst in the second step Method of producing polysiloxane,
第7観点として、第1工程において式(2)で表される加水分解性シラン又は式(2)で表される加水分解性シラン及びその他の加水分解性シランを酸で加水分解し、その加水分解物を縮合して加水分解縮合物の溶液を得、第2工程において該加水分解縮合物の溶液中に残存する酸を触媒にして該加水分解縮合物中のシラノール基を第1観点に記載の式(1)の化合物によりキャッピングする第2観点乃至第5観点のいずれか一つに記載のポリシロキサンの製造方法、 As a seventh aspect, in the first step, the hydrolyzable silane represented by the formula (2) or the hydrolyzable silane represented by the formula (2) and other hydrolysable silanes are hydrolyzed with an acid, and the hydrolysis is performed. The decomposition product is condensed to obtain a solution of a hydrolysis condensate, and the acid remaining in the solution of the hydrolysis condensate in the second step is used as a catalyst to describe the silanol group in the hydrolysis condensate as the first aspect The method for producing a polysiloxane according to any one of the second aspect to the fifth aspect, wherein the capping is carried out with the compound of the formula (1) of
第8観点として、上記ポリシロキサンが、段差を含む基板の平坦化に用いられる平坦化膜を形成するための組成物に用いられるポリシロキサンである第2観点乃至第7観点のいずれか一つに記載のポリシロキサンの製造方法、及び As an eighth aspect, in any one of the second aspect to the seventh aspect, the above-mentioned polysiloxane is a polysiloxane used for a composition for forming a planarizing film used for planarizing a substrate including a level difference. Process for producing the described polysiloxane, and
第9観点として、上記ポリシロキサンが、多層レジスト法によるリソグラフィー工程でレジストと有機膜の間のハードマスクとして用いられる中間膜を形成するための組成物に用いられるポリシロキサンである第2観点乃至第7観点のいずれか一つに記載のポリシロキサンの製造方法である。 According to a ninth aspect, the above-mentioned polysiloxane is a polysiloxane used for a composition for forming an intermediate film used as a hard mask between a resist and an organic film in a lithography process by a multilayer resist method. It is a manufacturing method of the polysiloxane as described in any one of 7 viewpoints.
ポリシロキサン材料はシラノール基が残存する場合に、シラノール基同士の縮合が起こり、分子量が増大し安定性が低下する。ポリシロキサンのシラノール基をキャッピングすることでシラノール基同士の縮合により分子量が増大し不安定化することを防止できる。 In the polysiloxane material, when silanol groups remain, condensation between silanol groups occurs, increasing the molecular weight and decreasing the stability. By capping the silanol groups of the polysiloxane, it is possible to prevent the molecular weight from increasing and destabilizing due to the condensation of the silanol groups.
しかし、シラノール基のキャッピング材がアルコールを用いた場合にはキャッピングにより水が生成し、キャッピングされた部分が再びシラノール基に戻る場合がある。 However, when the silanol group capping material uses alcohol, water may be generated by the capping, and the capped portion may return to the silanol group again.
本発明のキャッピング剤はシラノール基のキャッピングを2,2-ジメトキシプロパンや、オルト酢酸トリメチル等の分子中にアルコキシ基を複数(例えば2~3個)有するキャッピング剤を用いることにより、シラノール基をキャッピングした時に生成物はキャッピングされたシラノール基を含むポリシロキサンと、ケトンやエステルと、アルコールであり、水は副生しない。従って、キャッピングされた部分がシラノール基に戻ることはない。 The capping agent according to the present invention capping the silanol group by using a capping agent having a plurality of (for example, 2 to 3) alkoxy groups in the molecule such as 2,2-dimethoxypropane and trimethyl orthoacetate for capping the silanol group. The product is a polysiloxane containing a capped silanol group, a ketone or ester, and an alcohol, and no water is by-produced. Thus, the capped moiety does not revert to silanol groups.
高い耐熱性、絶縁性、耐エッチング性を有する水素化ポリシロキサンを、保存安定性を向上させた製造方法であって、得られたポリシロキサンは保存安定性に優れるため、保存中にポリマーの分子量が経変することがない。従って、凹凸を有する基板を平坦化する塗布組成物の成分に使用してもポリマーの分子量変化が少ないため、平坦化性が高い塗布組成物とすることができる。 A method for producing a hydrogenated polysiloxane having high heat resistance, insulation and etching resistance with improved storage stability, and the obtained polysiloxane is excellent in storage stability, so the molecular weight of the polymer during storage There is no change over time. Therefore, even when used as a component of the coating composition for planarizing the substrate having the unevenness, the change in molecular weight of the polymer is small, so that the coating composition can have high planarization.
本発明はポリシロキサンのシラノール基をキャッピングするための化合物であって、式(1)で表される構造を有する上記化合物である。
Figure JPOXMLDOC01-appb-C000009
The present invention is a compound for capping silanol groups of polysiloxane, and is the above-mentioned compound having a structure represented by the formula (1).
Figure JPOXMLDOC01-appb-C000009
そして、加水分解性シランの加水分解縮合物を得る第1工程と、加水分解縮合物を式(1)で表される化合物を用いて該加水分解縮合物中のシラノール基をキャッピングする第2工程を含むポリシロキサンの製造方法である。ここでポリシロキサンとは加水分解縮合物のシラノール基の一部又は全部を式(1)で表される化合物を用いてキャッピングしたものである。キャッピングにより式(1)のRに由来するアルコキシ基によるアルコキシシランの構造(Si-OR)が形成される。 Then, a first step of obtaining a hydrolytic condensate of a hydrolyzable silane, and a second step of capping the silanol group in the hydrolytic condensate using the compound represented by the formula (1) A method of producing a polysiloxane comprising Here, the polysiloxane is one obtained by capping a part or all of the silanol groups of the hydrolytic condensate with the compound represented by the formula (1). The capping forms the alkoxysilane structure (Si-OR 2 ) with an alkoxy group derived from R 2 of formula (1).
キャッピング率は生成するシラノール基に対して、例えば10~100モル%、30~100モル%、50~90モル%、50~80モル%とすることができる。 The capping ratio can be, for example, 10 to 100 mol%, 30 to 100 mol%, 50 to 90 mol%, or 50 to 80 mol% with respect to the silanol group to be generated.
ポリシロキサンのシラノール基と式(1)で表される化合物のキャッピングは、溶剤中で酸触媒の存在下に行われる。例えば、加水分解性シランの加水分解と縮合に用いられた溶剤を用いて、式(1)で表される化合物によりキャッピングし、その時に加水分解に用いられた酸触媒をキャッピングの酸触媒として使用することができる。キャッピングは室温から100℃以内の温度、例えば室温から80℃、又は50~70℃の温度で行う事ができる。 The capping of the silanol groups of the polysiloxane with the compound of the formula (1) is carried out in a solvent in the presence of an acid catalyst. For example, using a solvent used for hydrolysis and condensation of hydrolyzable silane, capping with a compound represented by formula (1), and using an acid catalyst used for hydrolysis at that time as an acid catalyst for capping can do. Capping may be performed at a temperature within room temperature to 100 ° C., for example, a temperature from room temperature to 80 ° C., or 50 to 70 ° C.
式(1)中、Rはアルキル基、又はアリール基を示し、Rはアルコキシ基を示し、aは1~2の整数を示す。Rのアルコキシ基はメトキシ基、エトキシ基等が特に好ましい。 In formula (1), R 1 represents an alkyl group or an aryl group, R 2 represents an alkoxy group, and a represents an integer of 1 to 2. The alkoxy group of R 2 is particularly preferably a methoxy group, an ethoxy group or the like.
第1工程に用いられる加水分解性シランは下記式(2)で表される加水分解性シランを含むことができる。
Figure JPOXMLDOC01-appb-C000010
The hydrolyzable silane used in the first step can include a hydrolyzable silane represented by the following formula (2).
Figure JPOXMLDOC01-appb-C000010
式(2)中、Rは水素原子を示し、且つSi-H結合によりケイ素原子と結合しているものを示し、Rはアルコキシ基、アシルオキシ基、又はハロゲン原子を示し、bは1~2の整数を示す。 In formula (2), R 3 represents a hydrogen atom and represents a bond to a silicon atom through a Si—H bond, R 4 represents an alkoxy group, an acyloxy group or a halogen atom, and b is 1 to Indicates an integer of 2.
また、第1工程に用いられる加水分解性シランが、上記式(2)で表される加水分解性シランとその他の加水分解性シランの組み合わせであり、その他の加水分解性シランが式(3)で表される加水分解性シラン、及び式(4)で表される加水分解性シランからなる群より選ばれた少なくとも1種の加水分解性シランであり、上記式(2)で表される加水分解性シランとその他の加水分解性シランが100:0~90:10のモル比で存在することができる。 In addition, the hydrolyzable silane used in the first step is a combination of the hydrolyzable silane represented by the above formula (2) and other hydrolyzable silanes, and the other hydrolyzable silanes are the formula (3) And at least one hydrolyzable silane selected from the group consisting of hydrolyzable silanes represented by formula (4), and hydrolyzate represented by formula (2) above. Degradable silanes and other hydrolyzable silanes can be present in a molar ratio of 100: 0 to 90:10.
Figure JPOXMLDOC01-appb-C000011
式(3)中、Rはアルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、もしくはシアノ基を有する有機基を示し、且つSi-C結合によりケイ素原子と結合しているものを示し、Rはアルコキシ基、アシルオキシ基、又はハロゲン原子を示し、cは1~2の整数を示す。
Figure JPOXMLDOC01-appb-C000011
In formula (3), R 5 has an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkoxyaryl group, an alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group or a cyano group An organic group is shown, and one bonded to a silicon atom by a Si—C bond is shown, R 6 is an alkoxy group, an acyloxy group or a halogen atom, and c is an integer of 1 to 2.
Figure JPOXMLDOC01-appb-C000012
式(4)中、Rはアルキル基で且つSi-C結合によりケイ素原子と結合しているものを示し、Rはアルコキシ基、アシルオキシ基、又はハロゲン原子を示し、Yはアルキレン基又はアリーレン基を示し、dは0又は1の整数を示し、eは0又は1の整数を示す。
Figure JPOXMLDOC01-appb-C000012
In formula (4), R 7 represents an alkyl group and is bonded to a silicon atom by a Si-C bond, R 8 represents an alkoxy group, an acyloxy group or a halogen atom, and Y is an alkylene group or arylene Group represents, d represents an integer of 0 or 1, and e represents an integer of 0 or 1.
式(1)、式(2)、式(3)、及び式(4)に用いられるアルキル基は直鎖又は分枝を有する炭素原子数1~10のアルキル基であり、例えばメチル基、エチル基、n-プロピル基、i-プロピル基、n-ブチル基、i-ブチル基、s-ブチル基、t-ブチル基、n-ペンチル基、1-メチル-n-ブチル基、2-メチル-n-ブチル基、3-メチル-n-ブチル基、1,1-ジメチル-n-プロピル基、1,2-ジメチル-n-プロピル基、2,2-ジメチル-n-プロピル基、1-エチル-n-プロピル基、n-ヘキシル、1-メチル-n-ペンチル基、2-メチル-n-ペンチル基、3-メチル-n-ペンチル基、4-メチル-n-ペンチル基、1,1-ジメチル-n-ブチル基、1,2-ジメチル-n-ブチル基、1,3-ジメチル-n-ブチル基、2,2-ジメチル-n-ブチル基、2,3-ジメチル-n-ブチル基、3,3-ジメチル-n-ブチル基、1-エチル-n-ブチル基、2-エチル-n-ブチル基、1,1,2-トリメチル-n-プロピル基、1,2,2-トリメチル-n-プロピル基、1-エチル-1-メチル-n-プロピル基及び1-エチル-2-メチル-n-プロピル基等が挙げられる。 The alkyl group used in Formula (1), Formula (2), Formula (3), and Formula (4) is a linear or branched alkyl group having 1 to 10 carbon atoms, and examples thereof include a methyl group and an ethyl group. Group, n-propyl group, i-propyl group, n-butyl group, i-butyl group, s-butyl group, t-butyl group, n-pentyl group, 1-methyl-n-butyl group, 2-methyl- n-butyl group, 3-methyl-n-butyl group, 1,1-dimethyl-n-propyl group, 1,2-dimethyl-n-propyl group, 2,2-dimethyl-n-propyl group, 1-ethyl -N-propyl group, n-hexyl, 1-methyl-n-pentyl group, 2-methyl-n-pentyl group, 3-methyl-n-pentyl group, 4-methyl-n-pentyl group, 1,1- Dimethyl-n-butyl, 1,2-dimethyl-n-butyl, 1,3-dimethyl-n- Ethyl, 2,2-dimethyl-n-butyl, 2,3-dimethyl-n-butyl, 3,3-dimethyl-n-butyl, 1-ethyl-n-butyl, 2-ethyl-n -Butyl, 1,1,2-trimethyl-n-propyl, 1,2,2-trimethyl-n-propyl, 1-ethyl-1-methyl-n-propyl and 1-ethyl-2-methyl And -n-propyl and the like.
また環状アルキル基を用いることもでき、例えば炭素原子数1~10の環状アルキル基としては、シクロプロピル基、シクロブチル基、1-メチル-シクロプロピル基、2-メチル-シクロプロピル基、シクロペンチル基、1-メチル-シクロブチル基、2-メチル-シクロブチル基、3-メチル-シクロブチル基、1,2-ジメチル-シクロプロピル基、2,3-ジメチル-シクロプロピル基、1-エチル-シクロプロピル基、2-エチル-シクロプロピル基、シクロヘキシル基、1-メチル-シクロペンチル基、2-メチル-シクロペンチル基、3-メチル-シクロペンチル基、1-エチル-シクロブチル基、2-エチル-シクロブチル基、3-エチル-シクロブチル基、1,2-ジメチル-シクロブチル基、1,3-ジメチル-シクロブチル基、2,2-ジメチル-シクロブチル基、2,3-ジメチル-シクロブチル基、2,4-ジメチル-シクロブチル基、3,3-ジメチル-シクロブチル基、1-n-プロピル-シクロプロピル基、2-n-プロピル-シクロプロピル基、1-i-プロピル-シクロプロピル基、2-i-プロピル-シクロプロピル基、1,2,2-トリメチル-シクロプロピル基、1,2,3-トリメチル-シクロプロピル基、2,2,3-トリメチル-シクロプロピル基、1-エチル-2-メチル-シクロプロピル基、2-エチル-1-メチル-シクロプロピル基、2-エチル-2-メチル-シクロプロピル基及び2-エチル-3-メチル-シクロプロピル基等が挙げられる。ビシクロ基を用いることもできる。 A cyclic alkyl group can also be used, and examples of the cyclic alkyl group having 1 to 10 carbon atoms include a cyclopropyl group, a cyclobutyl group, a 1-methyl-cyclopropyl group, a 2-methyl-cyclopropyl group, and a cyclopentyl group 1-methyl-cyclobutyl group, 2-methyl-cyclobutyl group, 3-methyl-cyclobutyl group, 1,2-dimethyl-cyclopropyl group, 2,3-dimethyl-cyclopropyl group, 1-ethyl-cyclopropyl group, 2 -Ethyl-cyclopropyl group, cyclohexyl group, 1-methyl-cyclopentyl group, 2-methyl-cyclopentyl group, 3-methyl-cyclopentyl group, 1-ethyl-cyclobutyl group, 2-ethyl-cyclobutyl group, 3-ethyl-cyclobutyl group Group, 1,2-dimethyl-cyclobutyl group, 1,3-dimethyl-cyclobutyl group Group, 2,2-dimethyl-cyclobutyl group, 2,3-dimethyl-cyclobutyl group, 2,4-dimethyl-cyclobutyl group, 3,3-dimethyl-cyclobutyl group, 1-n-propyl-cyclopropyl group, 2- n-propyl-cyclopropyl group, 1-i-propyl-cyclopropyl group, 2-i-propyl-cyclopropyl group, 1,2,2-trimethyl-cyclopropyl group, 1,2,3-trimethyl-cyclopropyl group Group, 2,2,3-trimethyl-cyclopropyl group, 1-ethyl-2-methyl-cyclopropyl group, 2-ethyl-1-methyl-cyclopropyl group, 2-ethyl-2-methyl-cyclopropyl group and Examples include 2-ethyl-3-methyl-cyclopropyl group and the like. A bicyclo group can also be used.
アルケニル基としては炭素数2~10のアルケニル基であり、エテニル基、1-プロペニル基、2-プロペニル基、1-メチル-1-エテニル基、1-ブテニル基、2-ブテニル基、3-ブテニル基、2-メチル-1-プロペニル基、2-メチル-2-プロペニル基、1-エチルエテニル基、1-メチル-1-プロペニル基、1-メチル-2-プロペニル基、1-ペンテニル基、2-ペンテニル基、3-ペンテニル基、4-ペンテニル基、1-n-プロピルエテニル基、1-メチル-1-ブテニル基、1-メチル-2-ブテニル基、1-メチル-3-ブテニル基、2-エチル-2-プロペニル基、2-メチル-1-ブテニル基、2-メチル-2-ブテニル基、2-メチル-3-ブテニル基、3-メチル-1-ブテニル基、3-メチル-2-ブテニル基、3-メチル-3-ブテニル基、1,1-ジメチル-2-プロペニル基、1-i-プロピルエテニル基、1,2-ジメチル-1-プロペニル基、1,2-ジメチル-2-プロペニル基、1-シクロペンテニル基、2-シクロペンテニル基、3-シクロペンテニル基、1-ヘキセニル基、2-ヘキセニル基、3-ヘキセニル基、4-ヘキセニル基、5-ヘキセニル基、1-メチル-1-ペンテニル基、1-メチル-2-ペンテニル基、1-メチル-3-ペンテニル基、1-メチル-4-ペンテニル基、1-n-ブチルエテニル基、2-メチル-1-ペンテニル基、2-メチル-2-ペンテニル基、2-メチル-3-ペンテニル基、2-メチル-4-ペンテニル基、2-n-プロピル-2-プロペニル基、3-メチル-1-ペンテニル基、3-メチル-2-ペンテニル基、3-メチル-3-ペンテニル基、3-メチル-4-ペンテニル基、3-エチル-3-ブテニル基、4-メチル-1-ペンテニル基、4-メチル-2-ペンテニル基、4-メチル-3-ペンテニル基、4-メチル-4-ペンテニル基、1,1-ジメチル-2-ブテニル基、1,1-ジメチル-3-ブテニル基、1,2-ジメチル-1-ブテニル基、1,2-ジメチル-2-ブテニル基、1,2-ジメチル-3-ブテニル基、1-メチル-2-エチル-2-プロペニル基、1-s-ブチルエテニル基、1,3-ジメチル-1-ブテニル基、1,3-ジメチル-2-ブテニル基、1,3-ジメチル-3-ブテニル基、1-i-ブチルエテニル基、2,2-ジメチル-3-ブテニル基、2,3-ジメチル-1-ブテニル基、2,3-ジメチル-2-ブテニル基、2,3-ジメチル-3-ブテニル基、2-i-プロピル-2-プロペニル基、3,3-ジメチル-1-ブテニル基、1-エチル-1-ブテニル基、1-エチル-2-ブテニル基、1-エチル-3-ブテニル基、1-n-プロピル-1-プロペニル基、1-n-プロピル-2-プロペニル基、2-エチル-1-ブテニル基、2-エチル-2-ブテニル基、2-エチル-3-ブテニル基、1,1,2-トリメチル-2-プロペニル基、1-t-ブチルエテニル基、1-メチル-1-エチル-2-プロペニル基、1-エチル-2-メチル-1-プロペニル基、1-エチル-2-メチル-2-プロペニル基、1-i-プロピル-1-プロペニル基、1-i-プロピル-2-プロペニル基、1-メチル-2-シクロペンテニル基、1-メチル-3-シクロペンテニル基、2-メチル-1-シクロペンテニル基、2-メチル-2-シクロペンテニル基、2-メチル-3-シクロペンテニル基、2-メチル-4-シクロペンテニル基、2-メチル-5-シクロペンテニル基、2-メチレン-シクロペンチル基、3-メチル-1-シクロペンテニル基、3-メチル-2-シクロペンテニル基、3-メチル-3-シクロペンテニル基、3-メチル-4-シクロペンテニル基、3-メチル-5-シクロペンテニル基、3-メチレン-シクロペンチル基、1-シクロヘキセニル基、2-シクロヘキセニル基及び3-シクロヘキセニル基等が挙げられる。 The alkenyl group is an alkenyl group having a carbon number of 2 to 10, and ethenyl group, 1-propenyl group, 2-propenyl group, 1-methyl-1-ethenyl group, 1-butenyl group, 2-butenyl group, 3-butenyl group Group, 2-methyl-1-propenyl group, 2-methyl-2-propenyl group, 1-ethylethenyl group, 1-methyl-1-propenyl group, 1-methyl-2-propenyl group, 1-pentenyl group, 2- Pentenyl group, 3-pentenyl group, 4-pentenyl group, 1-n-propylethenyl group, 1-methyl-1-butenyl group, 1-methyl-2-butenyl group, 1-methyl-3-butenyl group, 2 -Ethyl-2-propenyl group, 2-methyl-1-butenyl group, 2-methyl-2-butenyl group, 2-methyl-3-butenyl group, 3-methyl-1-butenyl group, 3-methyl-2- The Group, 3-methyl-3-butenyl group, 1,1-dimethyl-2-propenyl group, 1-i-propylethenyl group, 1,2-dimethyl-1-propenyl group, 1,2-dimethyl-2 group -Propenyl group, 1-cyclopentenyl group, 2-cyclopentenyl group, 3-cyclopentenyl group, 1-hexenyl group, 2-hexenyl group, 3-hexenyl group, 4-hexenyl group, 5-hexenyl group, 1-methyl -1-pentenyl group, 1-methyl-2-pentenyl group, 1-methyl-3-pentenyl group, 1-methyl-4-pentenyl group, 1-n-butylethenyl group, 2-methyl-1-pentenyl group, 2 -Methyl-2-pentenyl group, 2-methyl-3-pentenyl group, 2-methyl-4-pentenyl group, 2-n-propyl-2-propenyl group, 3-methyl-1-pentenyl group, 3 Methyl-2-pentenyl group, 3-methyl-3-pentenyl group, 3-methyl-4-pentenyl group, 3-ethyl-3-butenyl group, 4-methyl-1-pentenyl group, 4-methyl-2-pentenyl group Group, 4-methyl-3-pentenyl group, 4-methyl-4-pentenyl group, 1,1-dimethyl-2-butenyl group, 1,1-dimethyl-3-butenyl group, 1,2-dimethyl-1-ene Butenyl, 1,2-dimethyl-2-butenyl, 1,2-dimethyl-3-butenyl, 1-methyl-2-ethyl-2-propenyl, 1-s-butylethenyl, 1,3-dimethyl -1-butenyl group, 1,3-dimethyl-2-butenyl group, 1,3-dimethyl-3-butenyl group, 1-i-butylethenyl group, 2,2-dimethyl-3-butenyl group, 2,3- Dimethyl-1-butenyl group, 2,3-dimethy group -2-butenyl group, 2,3-dimethyl-3-butenyl group, 2-i-propyl-2-propenyl group, 3,3-dimethyl-1-butenyl group, 1-ethyl-1-butenyl group, 1 -Ethyl-2-butenyl group, 1-ethyl-3-butenyl group, 1-n-propyl-1-propenyl group, 1-n-propyl-2-propenyl group, 2-ethyl-1-butenyl group, 2- Ethyl-2-butenyl group, 2-ethyl-3-butenyl group, 1,1,2-trimethyl-2-propenyl group, 1-t-butylethenyl group, 1-methyl-1-ethyl-2-propenyl group, 1 -Ethyl-2-methyl-1-propenyl group, 1-ethyl-2-methyl-2-propenyl group, 1-i-propyl-1-propenyl group, 1-i-propyl-2-propenyl group, 1-methyl -2-cyclopentenyl group, 1-methyl 2-cyclopentenyl group, 2-methyl-1-cyclopentenyl group, 2-methyl-2-cyclopentenyl group, 2-methyl-3-cyclopentenyl group, 2-methyl-4-cyclopentenyl group, 2- Methyl-5-cyclopentenyl group, 2-methylene-cyclopentyl group, 3-methyl-1-cyclopentenyl group, 3-methyl-2-cyclopentenyl group, 3-methyl-3-cyclopentenyl group, 3-methyl-4 And -cyclopentenyl group, 3-methyl-5-cyclopentenyl group, 3-methylene-cyclopentyl group, 1-cyclohexenyl group, 2-cyclohexenyl group, 3-cyclohexenyl group and the like.
アリール基としては炭素数6~40のアリール基が挙げられ、例えばフェニル基、o-メチルフェニル基、m-メチルフェニル基、p-メチルフェニル基、o-クロルフェニル基、m-クロルフェニル基、p-クロルフェニル基、o-フルオロフェニル基、p-メルカプトフェニル基、o-メトキシフェニル基、p-メトキシフェニル基、p-アミノフェニル基、p-シアノフェニル基、α-ナフチル基、β-ナフチル基、o-ビフェニリル基、m-ビフェニリル基、p-ビフェニリル基、1-アントリル基、2-アントリル基、9-アントリル基、1-フェナントリル基、2-フェナントリル基、3-フェナントリル基、4-フェナントリル基及び9-フェナントリル基が挙げられる。 Examples of the aryl group include aryl groups having 6 to 40 carbon atoms, such as phenyl group, o-methylphenyl group, m-methylphenyl group, p-methylphenyl group, o-chlorophenyl group, m-chlorophenyl group, p-Chlorophenyl group, o-fluorophenyl group, p-mercaptophenyl group, o-methoxyphenyl group, p-methoxyphenyl group, p-aminophenyl group, p-cyanophenyl group, α-naphthyl group, β-naphthyl group Group, o-biphenylyl group, m-biphenylyl group, p-biphenylyl group, 1-anthryl group, 2-anthryl group, 9-anthryl group, 1-phenanthryl group, 2-phenanthryl group, 3-phenanthryl group, 4-phenanthryl group Groups and 9-phenanthryl groups.
エポキシ基を有する有機基としては、グリシドキシメチル、グリシドキシエチル、グリシドキシプロピル、グリシドキシブチル、エポキシシクロヘキシル等が挙げられる。 Examples of the organic group having an epoxy group include glycidoxymethyl, glycidoxyethyl, glycidoxypropyl, glycidoxybutyl, epoxycyclohexyl and the like.
アクリロイル基を有する有機基としては、アクリロイルメチル、アクリロイルエチル、アクリロイルプロピル等が挙げられる。 Acryloyl methyl, acryloyl ethyl, acryloyl propyl etc. are mentioned as an organic group which has an acryloyl group.
メタクリロイル基を有する有機基としては、メタクリロイルメチル、メタクリロイルエチル、メタクリロイルプロピル等が挙げられる。 Examples of the organic group having a methacryloyl group include methacryloyl methyl, methacryloyl ethyl, methacryloyl propyl and the like.
メルカプト基を有する有機基としては、エチルメルカプト、ブチルメルカプト、ヘキシルメルカプト、オクチルメルカプト等が挙げられる。 Examples of the organic group having a mercapto group include ethyl mercapto, butyl mercapto, hexyl mercapto, octyl mercapto and the like.
アミノ基を有する有機基としては、アミノ基、アミノメチル基、アミノエチル基とが上げられる。 Examples of the organic group having an amino group include an amino group, an aminomethyl group and an aminoethyl group.
シアノ基を有する有機基としては、シアノエチル、シアノプロピル等が挙げられる。 Examples of the organic group having a cyano group include cyanoethyl, cyanopropyl and the like.
アルコキシアルキル基はアルコキシ基が置換したアルキル基であり、例えばメトキシメチル基、エトキシメチル基、メトキシエチル基、エトキシエチル基等が上げられる。 The alkoxyalkyl group is an alkyl group substituted with an alkoxy group, and examples thereof include a methoxymethyl group, an ethoxymethyl group, a methoxyethyl group and an ethoxyethyl group.
上記アルコキシ基としては、炭素数1~20の直鎖、分岐、環状のアルキル部分を有するアルコキシ基が挙げられ、例えばメトキシ基、エトキシ基、n-プロポキシ基、i-プロポキシ基、n-ブトキシ基、i-ブトキシ基、s-ブトキシ基、t-ブトキシ基、n-ペンチロキシ基、1-メチル-n-ブトキシ基、2-メチル-n-ブトキシ基、3-メチル-n-ブトキシ基、1,1-ジメチル-n-プロポキシ基、1,2-ジメチル-n-プロポキシ基、2,2-ジメチル-n-プロポキシ基、1-エチル-n-プロポキシ基、n-ヘキシロキシ基、1-メチル-n-ペンチロキシ基、2-メチル-n-ペンチロキシ基、3-メチル-n-ペンチロキシ基、4-メチル-n-ペンチロキシ基、1,1-ジメチル-n-ブトキシ基、1,2-ジメチル-n-ブトキシ基、1,3-ジメチル-n-ブトキシ基、2,2-ジメチル-n-ブトキシ基、2,3-ジメチル-n-ブトキシ基、3,3-ジメチル-n-ブトキシ基、1-エチル-n-ブトキシ基、2-エチル-n-ブトキシ基、1,1,2-トリメチル-n-プロポキシ基、1,2,2-トリメチル-n-プロポキシ基、1-エチル-1-メチル-n-プロポキシ基及び1-エチル-2-メチル-n-プロポキシ基等が、また環状のアルコキシ基としてはシクロプロポキシ基、シクロブトキシ基、1-メチル-シクロプロポキシ基、2-メチル-シクロプロポキシ基、シクロペンチロキシ基、1-メチル-シクロブトキシ基、2-メチル-シクロブトキシ基、3-メチル-シクロブトキシ基、1,2-ジメチル-シクロプロポキシ基、2,3-ジメチル-シクロプロポキシ基、1-エチル-シクロプロポキシ基、2-エチル-シクロプロポキシ基、シクロヘキシロキシ基、1-メチル-シクロペンチロキシ基、2-メチル-シクロペンチロキシ基、3-メチル-シクロペンチロキシ基、1-エチル-シクロブトキシ基、2-エチル-シクロブトキシ基、3-エチル-シクロブトキシ基、1,2-ジメチル-シクロブトキシ基、1,3-ジメチル-シクロブトキシ基、2,2-ジメチル-シクロブトキシ基、2,3-ジメチル-シクロブトキシ基、2,4-ジメチル-シクロブトキシ基、3,3-ジメチル-シクロブトキシ基、1-n-プロピル-シクロプロポキシ基、2-n-プロピル-シクロプロポキシ基、1-i-プロピル-シクロプロポキシ基、2-i-プロピル-シクロプロポキシ基、1,2,2-トリメチル-シクロプロポキシ基、1,2,3-トリメチル-シクロプロポキシ基、2,2,3-トリメチル-シクロプロポキシ基、1-エチル-2-メチル-シクロプロポキシ基、2-エチル-1-メチル-シクロプロポキシ基、2-エチル-2-メチル-シクロプロポキシ基及び2-エチル-3-メチル-シクロプロポキシ基等が挙げられる。 The alkoxy group includes an alkoxy group having a linear, branched or cyclic alkyl moiety having 1 to 20 carbon atoms, and examples thereof include a methoxy group, an ethoxy group, an n-propoxy group, an i-propoxy group and an n-butoxy group. , I-butoxy group, s-butoxy group, t-butoxy group, n-pentyloxy group, 1-methyl-n-butoxy group, 2-methyl-n-butoxy group, 3-methyl-n-butoxy group, 1, 1-dimethyl-n-propoxy group, 1,2-dimethyl-n-propoxy group, 2,2-dimethyl-n-propoxy group, 1-ethyl-n-propoxy group, n-hexyloxy group, 1-methyl-n group -Pentyloxy, 2-methyl-n-pentyloxy, 3-methyl-n-pentyloxy, 4-methyl-n-pentyloxy, 1,1-dimethyl-n-butoxy, 1,2-dimethyl n-butoxy group, 1,3-dimethyl-n-butoxy group, 2,2-dimethyl-n-butoxy group, 2,3-dimethyl-n-butoxy group, 3,3-dimethyl-n-butoxy group, 1 -Ethyl-n-butoxy group, 2-ethyl-n-butoxy group, 1,1,2-trimethyl-n-propoxy group, 1,2,2-trimethyl-n-propoxy group, 1-ethyl-1-methyl group -N-propoxy group and 1-ethyl-2-methyl-n-propoxy group etc., and as a cyclic alkoxy group, cyclopropoxy group, cyclobutoxy group, 1-methyl-cyclopropoxy group, 2-methyl-cyclopropoxy group Group, cyclopentyloxy group, 1-methyl-cyclobutoxy group, 2-methyl-cyclobutoxy group, 3-methyl-cyclobutoxy group, 1,2-dimethyl-cyclopropoxy group, 2,3-dimethyone -Cyclopropoxy group, 1-ethyl-cyclopropoxy group, 2-ethyl-cyclopropoxy group, cyclohexyloxy group, 1-methyl-cyclopentyloxy group, 2-methyl-cyclopentyloxy group, 3-methyl-cyclopentyloxy group Group, 1-ethyl-cyclobutoxy group, 2-ethyl-cyclobutoxy group, 3-ethyl-cyclobutoxy group, 1,2-dimethyl-cyclobutoxy group, 1,3-dimethyl-cyclobutoxy group, 2, 2- Dimethyl-cyclobutoxy group, 2,3-dimethyl-cyclobutoxy group, 2,4-dimethyl-cyclobutoxy group, 3,3-dimethyl-cyclobutoxy group, 1-n-propyl-cyclopropoxy group, 2-n- Propyl-cyclopropoxy group, 1-i-propyl-cyclopropoxy group, 2-i-propyl-cyclopropoxy group, 1,2, 2-trimethyl-cyclopropoxy group, 1,2,3-trimethyl-cyclopropoxy group, 2,2,3-trimethyl-cyclopropoxy group, 1-ethyl-2-methyl-cyclopropoxy group, 2-ethyl-1-yl Examples include methyl-cyclopropoxy group, 2-ethyl-2-methyl-cyclopropoxy group and 2-ethyl-3-methyl-cyclopropoxy group.
上記アシルオキシ基は、例えばメチルカルボニルオキシ基、エチルカルボニルオキシ基、n-プロピルカルボニルオキシ基、i-プロピルカルボニルオキシ基、n-ブチルカルボニルオキシ基、i-ブチルカルボニルオキシ基、s-ブチルカルボニルオキシ基、t-ブチルカルボニルオキシ基、n-ペンチルカルボニルオキシ基、1-メチル-n-ブチルカルボニルオキシ基、2-メチル-n-ブチルカルボニルオキシ基、3-メチル-n-ブチルカルボニルオキシ基、1,1-ジメチル-n-プロピルカルボニルオキシ基、1,2-ジメチル-n-プロピルカルボニルオキシ基、2,2-ジメチル-n-プロピルカルボニルオキシ基、1-エチル-n-プロピルカルボニルオキシ基、n-ヘキシルカルボニルオキシ基、1-メチル-n-ペンチルカルボニルオキシ基、2-メチル-n-ペンチルカルボニルオキシ基、3-メチル-n-ペンチルカルボニルオキシ基、4-メチル-n-ペンチルカルボニルオキシ基、1,1-ジメチル-n-ブチルカルボニルオキシ基、1,2-ジメチル-n-ブチルカルボニルオキシ基、1,3-ジメチル-n-ブチルカルボニルオキシ基、2,2-ジメチル-n-ブチルカルボニルオキシ基、2,3-ジメチル-n-ブチルカルボニルオキシ基、3,3-ジメチル-n-ブチルカルボニルオキシ基、1-エチル-n-ブチルカルボニルオキシ基、2-エチル-n-ブチルカルボニルオキシ基、1,1,2-トリメチル-n-プロピルカルボニルオキシ基、1,2,2-トリメチル-n-プロピルカルボニルオキシ基、1-エチル-1-メチル-n-プロピルカルボニルオキシ基、1-エチル-2-メチル-n-プロピルカルボニルオキシ基、フェニルカルボニルオキシ基、及びトシルカルボニルオキシ基等が挙げられる。 The above acyloxy group is, for example, methyl carbonyloxy group, ethyl carbonyloxy group, n-propyl carbonyloxy group, i-propyl carbonyloxy group, n-butyl carbonyloxy group, i-butyl carbonyloxy group, s-butyl carbonyloxy group , T-butylcarbonyloxy group, n-pentylcarbonyloxy group, 1-methyl-n-butylcarbonyloxy group, 2-methyl-n-butylcarbonyloxy group, 3-methyl-n-butylcarbonyloxy group, 1, 1-dimethyl-n-propylcarbonyloxy group, 1,2-dimethyl-n-propylcarbonyloxy group, 2,2-dimethyl-n-propylcarbonyloxy group, 1-ethyl-n-propylcarbonyloxy group, n- Hexyl carbonyloxy group, 1-methyl-n-pentyl Rubonyloxy group, 2-methyl-n-pentylcarbonyloxy group, 3-methyl-n-pentylcarbonyloxy group, 4-methyl-n-pentylcarbonyloxy group, 1,1-dimethyl-n-butylcarbonyloxy group, 1,2-Dimethyl-n-butylcarbonyloxy group, 1,3-dimethyl-n-butylcarbonyloxy group, 2,2-dimethyl-n-butylcarbonyloxy group, 2,3-dimethyl-n-butylcarbonyloxy Group, 3,3-dimethyl-n-butylcarbonyloxy group, 1-ethyl-n-butylcarbonyloxy group, 2-ethyl-n-butylcarbonyloxy group, 1,1,2-trimethyl-n-propylcarbonyloxy group Group, 1,2,2-trimethyl-n-propylcarbonyloxy group, 1-ethyl-1-methyl-n-propylcarbonyl group Oxy group, 1-ethyl-2-methyl -n- propyl carbonyl group, phenylcarbonyl group, and the like tosyl carbonyloxy group.
上記ハロゲン原子としてはフッ素原子、塩素原子、臭素原子、ヨウ素原子等が挙げられる。 As said halogen atom, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom etc. are mentioned.
式(1)の化合物としては、以下に例示することができる。
Figure JPOXMLDOC01-appb-C000013
Figure JPOXMLDOC01-appb-C000014
As a compound of Formula (1), it can illustrate below.
Figure JPOXMLDOC01-appb-C000013
Figure JPOXMLDOC01-appb-C000014
式(2)の加水分解性シランは、例えば以下に例示することができる。
Figure JPOXMLDOC01-appb-C000015
The hydrolyzable silane of Formula (2) can be illustrated, for example below.
Figure JPOXMLDOC01-appb-C000015
式(3)の加水分解性シランは、例えばテトラメトキシシラン、テトラクロルシラン、テトラアセトキシシラン、テトラエトキシシラン、テトラn-プロポキシシラン、テトライソプロポキシシラン、テトラn-ブトキシシラン、メチルトリメトキシシラン、メチルトリクロロシラン、メチルトリアセトキシシラン、メチルトリプロポキシシラン、メチルトリアセチキシシラン、メチルトリブトキシシラン、メチルトリアミロキシシラン、メチルトリフェノキシシラン、メチルトリベンジルオキシシラン、メチルトリフェネチルオキシシラン、グリシドキシメチルトリメトキシシラン、グリシドキシメチルトリエトキシシラン、αーグリシドキシエチルトリメトキシシラン、α-グリシドキシエチルトリエトキシシラン、β-グリシドキシエチルトリメトキシシラン、β-グリシドキシエチルトリエトキシシラン、α-グリシドキシプロピルトリメトキシシラン、α-グリシドキシプロピルトリエトキシシラン、β-グリシドキシプロピルトリメトキシシラン、β-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリメトキシシラン、γ-グリシドキシプロピルトリエトキシシラン、γ-グリシドキシプロピルトリプロポキシシラン、γ-グリシドキシプロピルトリブトキシシラン、γ-グリシドキシプロピルトリフェノキシシラン、α-グリシドキシブチルトリメトキシシラン、α-グリシドキシブチルトリエトキシシラン、β-グリシドキシブチルトリエトキシシラン、γ-グリシドキシブチルトリメトキシシラン、γ-グリシドキシブチルトリエトキシシラン、δ-グリシドキシブチルトリメトキシシラン、δ-グリシドキシブチルトリエトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリメトキシシラン、(3,4-エポキシシクロヘキシル)メチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリエトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリプロポキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリブトキシシラン、β-(3,4-エポキシシクロヘキシル)エチルトリフェノキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリメトキシシラン、γ-(3,4-エポキシシクロヘキシル)プロピルトリエトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリメトキシシラン、δ-(3,4-エポキシシクロヘキシル)ブチルトリエトキシシラン、グリシドキシメチルメチルジメトキシシラン、グリシドキシメチルメチルジエトキシシラン、α-グリシドキシエチルメチルジメトキシシラン、α-グリシドキシエチルメチルジエトキシシラン、β-グリシドキシエチルメチルジメトキシシラン、β-グリシドキシエチルエチルジメトキシシラン、α-グリシドキシプロピルメチルジメトキシシラン、α-グリシドキシプロピルメチルジエトキシシラン、β-グリシドキシプロピルメチルジメトキシシラン、β-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルメチルジメトキシシラン、γ-グリシドキシプロピルメチルジエトキシシラン、γ-グリシドキシプロピルメチルジプロポキシシラン、γ-グリシドキシプロピルメチルジブトキシシラン、γ-グリシドキシプロピルメチルジフェノキシシラン、γ-グリシドキシプロピルエチルジメトキシシラン、γ-グリシドキシプロピルエチルジエトキシシラン、γ-グリシドキシプロピルビニルジメトキシシラン、γ-グリシドキシプロピルビニルジエトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ビニルトリメトキシシラン、ビニルトリクロロシラン、ビニルトリアセトキシシラン、ビニルトリエトキシシラン、メトキシフェニルトリメトキシシラン、メトキシフェニルトリエトキシシラン、メトキシフェニルトリアセトキシシラン、メトキシフェニルトリクロロシラン、メトキシベンジルトリメトキシシラン、メトキシベンジルトリエトキシシラン、メトキシベンジルトリアセトキシシラン、メトキシベンジルトリクロロシラン、メトキシフェネチルトリメトキシシラン、メトキシフェネチルトリエトキシシラン、メトキシフェネチルトリアセトキシシラン、メトキシフェネチルトリクロロシラン、エトキシフェニルトリメトキシシラン、エトキシフェニルトリエトキシシラン、エトキシフェニルトリアセトキシシラン、エトキシフェニルトリクロロシラン、エトキシベンジルトリメトキシシラン、エトキシベンジルトリエトキシシラン、エトキシベンジルトリアセトキシシラン、エトキシベンジルトリクロロシラン、イソプロポキシフェニルトリメトキシシラン、イソプロポキシフェニルトリエトキシシラン、イソプロポキシフェニルトリアセトキシシラン、イソプロポキシフェニルトリクロロシラン、イソプロポキシベンジルトリメトキシシラン、イソプロポキシベンジルトリエトキシシラン、イソプロポキシベンジルトリアセトキシシラン、イソプロポキシベンジルトリクロロシラン、t-ブトキシフェニルトリメトキシシラン、t-ブトキシフェニルトリエトキシシラン、t-ブトキシフェニルトリアセトキシシラン、t-ブトキシフェニルトリクロロシラン、t-ブトキシベンジルトリメトキシシラン、t-ブトキシベンジルトリエトキシシラン、t-ブトキシベンジルトリアセトキシシラン、t-ブトキシベンジルトリクロロシラン、メトキシナフチルトリメトキシシラン、メトキシナフチルトリエトキシシラン、メトキシナフチルトリアセトキシシラン、メトキシナフチルトリクロロシラン、エトキシナフチルトリメトキシシラン、エトキシナフチルトリエトキシシラン、エトキシナフチルトリアセトキシシラン、エトキシナフチルトリクロロシラン、γ-クロロプロピルトリメトキシシラン、γ-クロロプロピルトリエトキシシラン、γ-クロロプロピルトリアセトキシシラン、3、3、3-トリフロロプロピルトリメトキシシラン、γ-メタクリルオキシプロピルトリメトキシシラン、γ-メルカプトプロピルトリメトキシシラン、γ-メルカプトプロピルトリエトキシシラン、β-シアノエチルトリエトキシシラン、クロロメチルトリメトキシシラン、クロロメチルトリエトキシシラン、ジメチルジメトキシシラン、フェニルメチルジメトキシシラン、ジメチルジエトキシシラン、フェニルメチルジエトキシシラン、γ-クロロプロピルメチルジメトキシシラン、γ-クロロプロピルメチルジエトキシシラン、ジメチルジアセトキシシラン、γ-メタクリルオキシプロピルメチルジメトキシシラン、γ-メタクリルオキシプロピルメチルジエトキシシラン、γ-メルカプトプロピルメチルジメトキシシラン、γ-メルカプトメチルジエトキシシラン、メチルビニルジメトキシシラン、メチルビニルジエトキシシラン等が挙げられる。 The hydrolyzable silane of the formula (3) is, for example, tetramethoxysilane, tetrachlorosilane, tetraacetoxysilane, tetraethoxysilane, tetra n-propoxysilane, tetraisopropoxysilane, tetra n-butoxysilane, methyltrimethoxysilane, Methyltrichlorosilane, Methyltriacetoxysilane, Methyltripropoxysilane, Methyltriacetoxysilane, Methyltributoxysilane, Methyltriamyloxysilane, Methyltriphenoxysilane, Methyltribenzyloxysilane, Methyltriphenethyloxysilane, Glycide Xymethyltrimethoxysilane, glycidoxymethyltriethoxysilane, α-glycidoxyethyltrimethoxysilane, α-glycidoxyethyltriethoxysilane, β-glycidoxyethylene Trimethoxysilane, β-glycidoxyethyltriethoxysilane, α-glycidoxypropyltrimethoxysilane, α-glycidoxypropyltriethoxysilane, β-glycidoxypropyltrimethoxysilane, β-glycidoxypropyl Triethoxysilane, γ-glycidoxypropyltrimethoxysilane, γ-glycidoxypropyltriethoxysilane, γ-glycidoxypropyltripropoxysilane, γ-glycidoxypropyltributoxysilane, γ-glycidoxypropyl Triphenoxysilane, α-glycidoxybutyltrimethoxysilane, α-glycidoxybutyltriethoxysilane, β-glycidoxybutyltriethoxysilane, γ-glycidoxybutyltrimethoxysilane, γ-glycidoxybutyl Triethoxysilane, δ- Glycidoxybutyltrimethoxysilane, δ-glycidoxybutyltriethoxysilane, (3,4-epoxycyclohexyl) methyltrimethoxysilane, (3,4-epoxycyclohexyl) methyltriethoxysilane, β- (3,4 (3,4) -Epoxycyclohexyl) ethyltrimethoxysilane, β- (3,4-epoxycyclohexyl) ethyltriethoxysilane, β- (3,4-epoxycyclohexyl) ethyltripropoxysilane, β- (3,4-epoxycyclohexyl) ethyl Tributoxysilane, β- (3,4-epoxycyclohexyl) ethyltriphenoxysilane, γ- (3,4-epoxycyclohexyl) propyltrimethoxysilane, γ- (3,4-epoxycyclohexyl) propyltriethoxysilane, δ -(3, 4-D Xycyclohexyl) butyltrimethoxysilane, δ- (3,4-epoxycyclohexyl) butyltriethoxysilane, glycidoxymethylmethyldimethoxysilane, glycidoxymethylmethyldiethoxysilane, α-glycidoxyethylmethyldimethoxysilane, α-glycidoxyethylmethyldiethoxysilane, β-glycidoxyethylmethyldimethoxysilane, β-glycidoxyethylethyldimethoxysilane, α-glycidoxypropylmethyldimethoxysilane, α-glycidoxypropylmethyldiethoxy Silane, β-glycidoxypropylmethyldimethoxysilane, β-glycidoxypropylethyldimethoxysilane, γ-glycidoxypropylmethyldimethoxysilane, γ-glycidoxypropylmethyldiethoxysilane, γ-g Cidoxypropylmethyldipropoxysilane, γ-glycidoxypropylmethyldibutoxysilane, γ-glycidoxypropylmethyldiphenoxysilane, γ-glycidoxypropylethyldimethoxysilane, γ-glycidoxypropylethyl diethoxysilane Γ-Glycidoxypropylvinyldimethoxysilane, γ-Glycidoxypropylvinyldiethoxysilane, ethyltrimethoxysilane, ethyltriethoxysilane, vinyltrimethoxysilane, vinyltrichlorosilane, vinyltriacetoxysilane, vinyltriethoxysilane , Methoxyphenyltrimethoxysilane, methoxyphenyltriethoxysilane, methoxyphenyltriacetoxysilane, methoxyphenyltrichlorosilane, methoxybenzyltrimethoxysilane, Toxibenzyltriethoxysilane, methoxybenzyltriacetoxysilane, methoxybenzyltrichlorosilane, methoxyphenethyl trimethoxysilane, methoxyphenethyl triethoxysilane, methoxyphenethyl triacetoxysilane, methoxyphenethyl trichlorosilane, ethoxyphenyltrimethoxysilane, ethoxyphenyltriethoxy Silane, ethoxyphenyltriacetoxysilane, ethoxyphenyltrichlorosilane, ethoxybenzyltrimethoxysilane, ethoxybenzyltriethoxysilane, ethoxybenzyltriacetoxysilane, ethoxybenzyltrichlorosilane, isopropoxyphenyltrimethoxysilane, isopropoxyphenyltriethoxysilane, Isopropoxyphenyl triacetoxy Orchid, isopropoxyphenyltrichlorosilane, isopropoxybenzyltrimethoxysilane, isopropoxybenzyltriethoxysilane, isopropoxybenzyltriacetoxysilane, isopropoxybenzyltrichlorosilane, t-butoxyphenyltrimethoxysilane, t-butoxyphenyltriethoxysilane T-Butoxyphenyltriacetoxysilane, t-butoxyphenyltrichlorosilane, t-butoxybenzyltrimethoxysilane, t-butoxybenzyltriethoxysilane, t-butoxybenzyltriacetoxysilane, t-butoxybenzyltrichlorosilane, methoxynaphthyltrichlorosilane Methoxysilane, methoxynaphthyl triethoxysilane, methoxynaphthyl triacetoxysilane, methoxynaphthyl tri Lolosilane, ethoxynaphthyltrimethoxysilane, ethoxynaphthyltriethoxysilane, ethoxynaphthyltriacetoxysilane, ethoxynaphthyltrichlorosilane, γ-chloropropyltrimethoxysilane, γ-chloropropyltriethoxysilane, γ-chloropropyltriacetoxysilane, 3 3, 3-trifluoropropyltrimethoxysilane, γ-methacryloxypropyltrimethoxysilane, γ-mercaptopropyltrimethoxysilane, γ-mercaptopropyltriethoxysilane, β-cyanoethyltriethoxysilane, chloromethyltrimethoxysilane Chloromethyltriethoxysilane, dimethyldimethoxysilane, phenylmethyldimethoxysilane, dimethyldiethoxysilane, phenylmethyldiethoxysilane, -Chloropropylmethyldimethoxysilane, γ-chloropropylmethyldiethoxysilane, dimethyldiacetoxysilane, γ-methacryloxypropylmethyldimethoxysilane, γ-methacryloxypropylmethyldiethoxysilane, γ-mercaptopropylmethyldimethoxysilane, γ- Mercaptomethyldiethoxysilane, methylvinyldimethoxysilane, methylvinyldiethoxysilane and the like can be mentioned.
式(4)の加水分解性シランは、例えばメチレンビストリメトキシシラン、メチレンビストリクロロシラン、メチレンビストリアセトキシシラン、エチレンビストリエトキシシラン、エチレンビストリクロロシラン、エチレンビストリアセトキシシラン、プロピレンビストリエトキシシラン、ブチレンビストリメトキシシラン、フェニレンビストリメトキシシラン、フェニレンビストリエトキシシラン、フェニレンビスメチルジエトキシシラン、フェニレンビスメチルジメトキシシラン、ナフチレンビストリメトキシシラン、ビストリメトキシジシラン、ビストリエトキシジシラン、ビスエチルジエトキシジシラン、ビスメチルジメトキシジシラン等が挙げられる。 The hydrolyzable silanes of the formula (4) are, for example, methylenebistrimethoxysilane, methylenebistrichlorosilane, methylenebistriacetoxysilane, ethylenebistriethoxysilane, ethylenebistrichlorosilane, ethylenebistrichlorosilane, ethylenebistriacetoxysilane, propylenebistriethoxysilane, butylenebistrimethoxysilane, Phenylenebistrimethoxysilane, phenylenebistriethoxysilane, phenylenebismethyldiethoxysilane, phenylenebismethyldimethoxysilane, naphthalenebistrimethoxysilane, bistrimethoxydisilane, bistriethoxydisilane, bisethyldiethoxydisilane, bismethyldimethoxydisilane, etc. Be
本発明に用いられる加水分解縮合物(ポリシロキサン)の具体例としては以下に例示される。
Figure JPOXMLDOC01-appb-C000016
Specific examples of the hydrolytic condensate (polysiloxane) used in the present invention are exemplified below.
Figure JPOXMLDOC01-appb-C000016
上記の加水分解性シランの加水分解縮合物(ポリオルガノシロキサン)は、重量平均分子量1000~1000000、又は1000~100000の縮合物を得ることができる。これらの分子量はGPC分析によるポリスチレン換算で得られる分子量である。 The hydrolytic condensate (polyorganosiloxane) of the above hydrolyzable silane can obtain a condensate having a weight average molecular weight of 1000 to 1,000,000, or 1,000 to 100,000. These molecular weights are molecular weights obtained by polystyrene conversion by GPC analysis.
GPCの測定条件は、例えばGPC装置(商品名HLC-8220GPC、東ソー株式会社製)、GPCカラム(商品名ShodexKF803L、KF802、KF801、昭和電工製)、カラム温度は40℃、溶離液(溶出溶媒)はテトラヒドロフラン、流量(流速)は1.0ml/min、標準試料はポリスチレン(昭和電工株式会社製)を用いて行うことができる。 The measurement conditions of GPC are, for example, GPC apparatus (trade name: HLC-8220GPC, manufactured by Tosoh Corp.), GPC column (trade name: Shodex KF803L, KF802, KF801, manufactured by Showa Denko), column temperature is 40 ° C., eluent (eluting solvent) Can be carried out using tetrahydrofuran, a flow rate (flow rate) of 1.0 ml / min, and a standard sample of polystyrene (manufactured by Showa Denko KK).
アルコキシシリル基、アシロキシシリル基、又はハロゲン化シリル基の加水分解には、加水分解性基の1モル当たり、0.5~100モル、好ましくは1~10モルの水を用いる。 For hydrolysis of the alkoxysilyl group, the acyloxysilyl group or the halogenated silyl group, 0.5 to 100 moles, preferably 1 to 10 moles of water are used per mole of the hydrolyzable group.
また、加水分解性基の1モル当たり0.001~10モル、好ましくは0.001~1モルの加水分解触媒を用いることができる。 In addition, 0.001 to 10 moles, preferably 0.001 to 1 mole of a hydrolysis catalyst can be used per mole of the hydrolysable group.
加水分解と縮合を行う際の反応温度は、通常20~80℃である。 The reaction temperature at the time of carrying out hydrolysis and condensation is usually 20 to 80.degree.
加水分解は完全に加水分解を行うことも、部分加水分解することでも良い。即ち、加水分解縮合物中に加水分解物やモノマーが残存していても良い。 The hydrolysis may be complete hydrolysis or partial hydrolysis. That is, the hydrolyzate or monomer may remain in the hydrolytic condensate.
加水分解し縮合させる際に触媒を用いることができる。 A catalyst can be used when hydrolyzing and condensing.
加水分解触媒としては、酸を用いることができる。 An acid can be used as a hydrolysis catalyst.
加水分解触媒としての有機酸は、例えば酢酸、プロピオン酸、ブタン酸、ペンタン酸、ヘキサン酸、ヘプタン酸、オクタン酸、ノナン酸、デカン酸、シュウ酸、マレイン酸、メチルマロン酸、アジピン酸、セバシン酸、没食子酸、酪酸、メリット酸、アラキドン酸、2-エチルヘキサン酸、オレイン酸、ステアリン酸、リノール酸、リノレイン酸、サリチル酸、安息香酸、p-アミノ安息香酸、p-トルエンスルホン酸、ベンゼンスルホン酸、モノクロロ酢酸、ジクロロ酢酸、トリクロロ酢酸、トリフルオロ酢酸、ギ酸、マロン酸、スルホン酸、フタル酸、フマル酸、クエン酸、酒石酸等を挙げることができる。 Organic acids as hydrolysis catalysts are, for example, acetic acid, propionic acid, butanoic acid, pentanoic acid, hexanoic acid, heptanoic acid, octanoic acid, octanoic acid, nonanoic acid, decanoic acid, oxalic acid, maleic acid, maleic acid, methyl malonic acid, adipic acid, sebacine Acid, gallic acid, butyric acid, butyric acid, mellitic acid, arachidonic acid, 2-ethylhexanoic acid, oleic acid, stearic acid, linoleic acid, linoleic acid, salicylic acid, benzoic acid, p-aminobenzoic acid, p-toluenesulfonic acid, benzene sulfone Acids, monochloroacetic acid, dichloroacetic acid, trichloroacetic acid, trifluoroacetic acid, formic acid, malonic acid, sulfonic acid, phthalic acid, fumaric acid, citric acid, tartaric acid and the like can be mentioned.
加水分解触媒としての無機酸は、例えば塩酸、硝酸、硫酸、フッ酸、リン酸等を挙げることができる。 Examples of the inorganic acid as a hydrolysis catalyst include hydrochloric acid, nitric acid, sulfuric acid, hydrofluoric acid, phosphoric acid and the like.
加水分解に用いられる有機溶媒としては、例えばn-ペンタン、i-ペンタン、n-ヘキサン、i-ヘキサン、n-ヘプタン、i-ヘプタン、2,2,4-トリメチルペンタン、n-オクタン、i-オクタン、シクロヘキサン、メチルシクロヘキサン等の脂肪族炭化水素系溶媒;ベンゼン、トルエン、キシレン、エチルベンゼン、トリメチルベンゼン、メチルエチルベンゼン、n-プロピルベンセン、i-プロピルベンセン、ジエチルベンゼン、i-ブチルベンゼン、トリエチルベンゼン、ジ-i-プロピルベンセン、n-アミルナフタレン、トリメチルベンゼン等の芳香族炭化水素系溶媒;アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-i-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-i-ブチルケトン、トリメチルノナノン、シクロヘキサノン、メチルシクロヘキサノン、2,4-ペンタンジオン、アセトニルアセトン、ジアセトンアルコール、アセトフェノン、フェンチョン等のケトン系溶媒;ジエチルエーテル、ジi-プロピルエーテル、ジn-ブチルエーテル、ジn-ヘキシルエーテル、ジイソアミルエーテル、2-エチルヘキシルエーテル、エチレンオキシド、1,2-プロピレンオキシド、ジオキソラン、4-メチルジオキソラン、ジオキサン、ジメチルジオキサン、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールジエチルエーテル、エチレングリコールモノ-n-ブチルエーテル、エチレングリコールモノ-n-ヘキシルエーテル、エチレングリコールモノフェニルエーテル、エチレングリコールモノ-2-エチルブチルエーテル、エチレングリコールジブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールモノ-n-ブチルエーテル、ジエチレングリコールジ-n-ブチルエーテル、ジエチレングリコールモノ-n-ヘキシルエーテル、エトキシトリグリコール、テトラエチレングリコールジ-n-ブチルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノブチルエーテル、トリプロピレングリコールモノメチルエーテル、テトラヒドロフラン、2-メチルテトラヒドロフラン等のエーテル系溶媒;ジエチルカーボネート、酢酸メチル、酢酸エチル、γ-ブチロラクトン、γ-バレロラクトン、酢酸n-プロピル、酢酸i-プロピル、酢酸n-ブチル、酢酸i-ブチル、酢酸sec-ブチル、酢酸n-ペンチル、酢酸sec-ペンチル、酢酸3-メトキシブチル、酢酸メチルペンチル、酢酸2-エチルブチル、酢酸2-エチルヘキシル、酢酸ベンジル、酢酸シクロヘキシル、酢酸メチルシクロヘキシル、酢酸n-ノニル、アセト酢酸メチル、アセト酢酸エチル、酢酸エチレングリコールモノメチルエーテル、酢酸エチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノメチルエーテル、酢酸ジエチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノ-n-ブチルエーテル、酢酸プロピレングリコールモノメチルエーテル、酢酸プロピレングリコールモノエチルエーテル、酢酸プロピレングリコールモノプロピルエーテル、酢酸プロピレングリコールモノブチルエーテル、酢酸ジプロピレングリコールモノメチルエーテル、酢酸ジプロピレングリコールモノエチルエーテル、ジ酢酸グリコール、酢酸メトキシトリグリコール、プロピオン酸エチル、プロピオン酸n-ブチル、プロピオン酸i-アミル、シュウ酸ジエチル、シュウ酸ジ-n-ブチル、乳酸メチル、乳酸エチル、乳酸n-ブチル、乳酸n-アミル、マロン酸ジエチル、フタル酸ジメチル、フタル酸ジエチル等のエステル系溶媒;N-メチルホルムアミド、N,N-ジメチルホルムアミド、N,N-ジエチルホルムアミド、アセトアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルプロピオンアミド、N-メチルピロリドン等の含窒素系溶媒;硫化ジメチル、硫化ジエチル、チオフェン、テトラヒドロチオフェン、ジメチルスルホキシド、スルホラン、1,3-プロパンスルトン等の含硫黄系溶媒等を挙げることができる。これらの溶剤は1種又は2種以上の組み合わせで用いることができる。 As an organic solvent used for hydrolysis, for example, n-pentane, i-pentane, n-hexane, i-hexane, n-heptane, i-heptane, 2,2,4-trimethylpentane, n-octane, i- Aliphatic hydrocarbon solvents such as octane, cyclohexane and methylcyclohexane; benzene, toluene, xylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propylbenzene, i-propylbenzene, diethylbenzene, i-butylbenzene, triethylbenzene, Aromatic hydrocarbon solvents such as -i-propylbenzene, n-amylnaphthalene and trimethylbenzene; acetone, methyl ethyl ketone, methyl-n-propyl ketone, methyl-n-butyl ketone, diethyl ketone, methyl-i-butyl ketone, methyl- Pentyl ketone, ethyl n-butyl ketone, methyl n-hexyl ketone, di-i-butyl ketone, trimethylnonanone, cyclohexanone, methylcyclohexanone, 2,4-pentanedione, acetonylacetone, diacetone alcohol, acetophenone, phen Ketone solvents such as Chon et al .; diethyl ether, di-propyl ether, di n-butyl ether, di n-hexyl ether, diisoamyl ether, 2-ethylhexyl ether, ethylene oxide, 1,2-propylene oxide, dioxolane, 4-methyl ester Dioxolane, dioxane, dimethyldioxane, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol diethyl ether, ethylene glycol mono-n-b Ether, ethylene glycol mono-n-hexyl ether, ethylene glycol monophenyl ether, ethylene glycol mono-2-ethyl butyl ether, ethylene glycol dibutyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol diethyl ether, diethylene glycol mono-n-butyl ether , Diethylene glycol di-n-butyl ether, diethylene glycol mono-n-hexyl ether, ethoxy triglycol, tetraethylene glycol di-n-butyl ether, propylene glycol monomethyl ether, propylene glycol monoethyl ether, propylene glycol monopropyl ether, propylene glycol monobutyl The Ether, such as propylene glycol monomethyl ether acetate, dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, dipropylene glycol monopropyl ether, dipropylene glycol monobutyl ether, tripropylene glycol monomethyl ether, tetrahydrofuran, and 2-methyltetrahydrofuran Solvents: diethyl carbonate, methyl acetate, ethyl acetate, γ-butyrolactone, γ-valerolactone, n-propyl acetate, i-propyl acetate, n-butyl acetate, i-butyl acetate, sec-butyl acetate, n-pentyl acetate, Sec-pentyl acetate, 3-methoxybutyl acetate, methyl pentyl acetate, 2-ethyl butyl acetate, 2-ethylhexyl acetate, benzyl acetate, cyclo acetate Hexyl, methyl acetate cyclohexyl, methyl n-nonyl acetate, methyl acetoacetate, ethyl acetoacetate, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol mono-n-butyl ether acetate Propylene glycol monomethyl ether acetate, Propylene glycol monoethyl ether acetate, Propylene glycol monopropyl ether acetate, Propylene glycol monobutyl ether acetate, Dipropylene glycol monomethyl ether acetate, Dipropylene glycol monomethyl ether acetate, Dipropylene glycol monoethyl ether acetate, Glycos diacetate, Methoxy triglycol acetate , Ethyl propionate, n-Buty Propionate Esters such as i-amyl propionate, diethyl oxalate, di-n-butyl oxalate, methyl lactate, ethyl lactate, n-butyl lactate, n-amyl lactate, diethyl malonate, dimethyl phthalate and diethyl phthalate Solvents: Nitrogen-containing systems such as N-methylformamide, N, N-dimethylformamide, N, N-diethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, N-methylpropionamide, N-methylpyrrolidone and the like Solvents: Sulfur-containing solvents such as dimethyl sulfide, diethyl sulfide, thiophene, tetrahydrothiophene, dimethyl sulfoxide, sulfolane, 1,3-propane sultone and the like can be mentioned. These solvents can be used alone or in combination of two or more.
特に、ジイソアミルエーテル、ジブチルエーテル等のエーテル系溶剤が好ましい。 In particular, ether solvents such as diisoamyl ether and dibutyl ether are preferable.
本発明によって得られるポリシロキサンを含む組成物は硬化触媒を含有することができる。 The composition containing the polysiloxane obtained by the present invention can contain a curing catalyst.
硬化触媒としては、アンモニウム塩、ホスフィン類、ホスホニウム塩、スルホニウム塩を用いることができる。 Ammonium salts, phosphines, phosphonium salts and sulfonium salts can be used as curing catalysts.
アンモニウム塩としては、式(D-1):
Figure JPOXMLDOC01-appb-C000017
(但し、mは2~11、nは2~3の整数を、R21 はアルキル基又はアリール基を、Y-は陰イオンを示す。)で示される構造を有する第4級アンモニウム塩、
式(D-2):
Figure JPOXMLDOC01-appb-C000018
(但し、R22、R23、R24及びR25はアルキル基又はアリール基を、Nは窒素原子を、Yは陰イオンを示し、且つR22、R23、R24、及びR25はそれぞれC-N結合により窒素原子と結合されているものである)で示される構造を有する第4級アンモニウム塩、
式(D-3):
Figure JPOXMLDOC01-appb-C000019
(但し、R26及びR27はアルキル基又はアリール基を、Yは陰イオンを示す)の構造を有する第4級アンモニウム塩、
式(D-4):
Figure JPOXMLDOC01-appb-C000020
(但し、R28はアルキル基又はアリール基を、Yは陰イオンを示す)の構造を有する第4級アンモニウム塩、
式(D-5):
Figure JPOXMLDOC01-appb-C000021
(但し、R29及びR30はアルキル基又はアリール基を、Yは陰イオンを示す)の構造を有する第4級アンモニウム塩、
式(D-6):
Figure JPOXMLDOC01-appb-C000022
(但し、mは2~11、nは2~3の整数を、Hは水素原子を、Yは陰イオンを示す)の構造を有する第3級アンモニウム塩が上げられる。
As the ammonium salt, a compound represented by formula (D-1):
Figure JPOXMLDOC01-appb-C000017
(Wherein, m is an integer of 2 to 11, n is an integer of 2 to 3, R 21 is an alkyl group or an aryl group, and Y − represents an anion), a quaternary ammonium salt having a structure represented by
Formula (D-2):
Figure JPOXMLDOC01-appb-C000018
(Wherein R 22 , R 23 , R 24 and R 25 represent an alkyl group or an aryl group, N represents a nitrogen atom, Y - represents an anion, and R 22 , R 23 , R 24 and R 25 represent A quaternary ammonium salt having a structure shown by each of the CN bonds to a nitrogen atom
Formula (D-3):
Figure JPOXMLDOC01-appb-C000019
(Wherein R 26 and R 27 each represents an alkyl group or an aryl group, and Y - represents an anion), a quaternary ammonium salt,
Formula (D-4):
Figure JPOXMLDOC01-appb-C000020
(Wherein R 28 represents an alkyl group or an aryl group, and Y - represents an anion), a quaternary ammonium salt,
Formula (D-5):
Figure JPOXMLDOC01-appb-C000021
(Wherein R 29 and R 30 each represents an alkyl group or an aryl group, and Y - represents an anion), a quaternary ammonium salt having a structure of
Formula (D-6):
Figure JPOXMLDOC01-appb-C000022
(Wherein, m is an integer of 2 to 11, n is an integer of 2 to 3, H is a hydrogen atom, and Y - represents an anion).
また、ホスホニウム塩としては、式(D-7):
Figure JPOXMLDOC01-appb-C000023
(但し、R31、R32、R33、及びR34はアルキル基又はアリール基を、Pはリン原子を、Yは陰イオンを示し、且つR31、R32、R33、及びR34はそれぞれC-P結合によりリン原子と結合されているものである)で示される第4級ホスホニウム塩が上げられる。
Moreover, as a phosphonium salt, Formula (D-7):
Figure JPOXMLDOC01-appb-C000023
(Wherein R 31 , R 32 , R 33 , and R 34 are an alkyl group or an aryl group, P is a phosphorus atom, Y - is an anion, and R 31 , R 32 , R 33 , and R 34 Are each bonded to a phosphorus atom via a C—P bond.
また、スルホニウム塩としては、式(D-8):
Figure JPOXMLDOC01-appb-C000024
(但し、R35、R36、及びR37はアルキル基又はアリール基を、Sは硫黄原子を、Yは陰イオンを示し、且つR35、R36、及びR37はそれぞれC-S結合により硫黄原子と結合されているものである)で示される第3級スルホニウム塩が上げられる。
Moreover, as a sulfonium salt, Formula (D-8):
Figure JPOXMLDOC01-appb-C000024
(Wherein R 35 , R 36 and R 37 represent an alkyl or aryl group, S represents a sulfur atom, Y - represents an anion, and R 35 , R 36 and R 37 each represent a C—S bond Are attached to the sulfur atom), and the tertiary sulfonium salt is raised.
上記の式(D-1)の化合物は、アミンから誘導される第4級アンモニウム塩であり、mは2~11、nは2~3の整数を示す。この第4級アンモニウム塩のR21は炭素数1~18、好ましくは2~10のアルキル基又はアリール基を示し、例えば、エチル基、プロピル基、ブチル基等の直鎖アルキル基や、ベンジル基、シクロヘキシル基、シクロヘキシルメチル基、ジシクロペンタジエニル基等が挙げられる。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。 The compound of the above formula (D-1) is a quaternary ammonium salt derived from an amine, m is an integer of 2 to 11, and n is an integer of 2 to 3. R 21 of this quaternary ammonium salt represents an alkyl or aryl group having 1 to 18 carbon atoms, preferably 2 to 10 carbon atoms, and includes, for example, linear alkyl groups such as ethyl, propyl and butyl, and benzyl And cyclohexyl group, cyclohexylmethyl group, dicyclopentadienyl group and the like. The anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -) , alcoholate (-O -) can be mentioned an acid group and the like.
上記の式(D-2)の化合物は、R22232425 で示される第4級アンモニウム塩である。この第4級アンモニウム塩のR22、R23、R24及びR25は炭素数1~18のアルキル基又はアリール基、またはSi-C結合によりケイ素原子と結合しているシラン化合物である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。この第4級アンモニウム塩は、市販品で入手する事が可能であり、例えばテトラメチルアンモニウムアセテート、テトラブチルアンモニウムアセテート、塩化トリエチルベンジルアンモニウム、臭化トリエチルベンジルアンモニウム、塩化トリオクチルメチルアンモニウム、塩化トリブチルベンジルアンモニウム、塩化トリメチルベンジルアンモニウム等が例示される。 The compound of the above formula (D-2) is a quaternary ammonium salt represented by R 22 R 23 R 24 R 25 N + Y . R 22 , R 23 , R 24 and R 25 of this quaternary ammonium salt are an alkyl group having 1 to 18 carbon atoms or an aryl group, or a silane compound bonded to a silicon atom through a Si—C bond. Anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -), alcoholate (-O -) can be mentioned an acid group and the like. The quaternary ammonium salt is commercially available, and examples thereof include tetramethylammonium acetate, tetrabutylammonium acetate, triethylbenzylammonium chloride, triethylbenzylammonium bromide, trioctylmethylammonium chloride and tributylbenzyl chloride. Ammonium, trimethylbenzylammonium chloride and the like are exemplified.
上記の式(D-3)の化合物は、1-置換イミダゾールから誘導される第4級アンモニウム塩であり、R26及びR27は炭素数1~18であり、R26及びR27の炭素数の総和が7以上で有ることが好ましい。例えばR26はメチル基、エチル基、プロピル基、フェニル基、ベンジル基を、R27はベンジル基、オクチル基、オクタデシル基を例示する事が出来る。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。この化合物は、市販品で入手する事も出来るが、例えば1-メチルイミダゾール、1-ベンジルイミダゾール等のイミダゾール系化合物と、臭化ベンジル、臭化メチル等のハロゲン化アルキルやハロゲン化アリールを反応させて製造する事ができる。 The compound of the above-mentioned formula (D-3) is a quaternary ammonium salt derived from 1-substituted imidazole, and R 26 and R 27 have 1 to 18 carbon atoms, and R 26 and R 27 have carbon atoms It is preferable that the sum total of is 7 or more. For example, R 26 can be exemplified by methyl, ethyl, propyl, phenyl and benzyl, and R 27 can be exemplified by benzyl, octyl and octadecyl. Anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -), alcoholate (-O -) can be mentioned an acid group and the like. Although this compound can be obtained as a commercial product, for example, an imidazole compound such as 1-methylimidazole or 1-benzylimidazole is reacted with an alkyl halide such as benzyl bromide or methyl bromide or an aryl halide. Can be manufactured.
上記の式(D-4)の化合物は、ピリジンから誘導される第4級アンモニウム塩であり、R28は炭素数1~18、好ましくは炭素数4~18のアルキル基又はアリール基であり、例えばブチル基、オクチル基、ベンジル基、ラウリル基を例示する事が出来る。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。この化合物は、市販品として入手する事も出来るが、例えばピリジンと、塩化ラウリル、塩化ベンジル、臭化ベンジル、臭化メチル、臭化オクチル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造する事が出来る。この化合物は例えば、塩化N-ラウリルピリジニウム、臭化N-ベンジルピリジニウム等を例示する事が出来る。 The compound of the above formula (D-4) is a quaternary ammonium salt derived from pyridine, and R 28 is an alkyl or aryl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms, For example, butyl, octyl, benzyl and lauryl can be exemplified. Anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -), alcoholate (-O -) can be mentioned an acid group and the like. Although this compound can be obtained as a commercial product, it is produced, for example, by reacting pyridine with alkyl halide such as lauryl chloride, benzyl chloride, benzyl bromide, methyl bromide, octyl bromide and the like, or aryl halide. You can do it. Examples of this compound include N-laurylpyridinium chloride, N-benzylpyridinium bromide and the like.
上記の式(D-5)の化合物は、ピコリン等に代表される置換ピリジンから誘導される第4級アンモニウム塩であり、R29は炭素数1~18、好ましくは4~18のアルキル基又はアリール基であり、例えばメチル基、オクチル基、ラウリル基、ベンジル基等を例示する事が出来る。R30は炭素数1~18のアルキル基又はアリール基であり、例えばピコリンから誘導される第4級アンモニウムである場合はR30がメチル基である。陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。この化合物は市販品として入手する事も出来るが、例えばピコリン等の置換ピリジンと、臭化メチル、臭化オクチル、塩化ラウリル、塩化ベンジル、臭化ベンジル等のハロゲン化アルキル、又はハロゲン化アリールを反応させて製造する事が出来る。この化合物は例えば、N-ベンジルピコリニウムクロライド、N-ベンジルピコリニウムブロマイド、N-ラウリルピコリニウムクロライド等を例示することが出来る。 The compound of the above formula (D-5) is a quaternary ammonium salt derived from a substituted pyridine represented by picoline and the like, and R 29 is an alkyl group having 1 to 18 carbon atoms, preferably 4 to 18 carbon atoms or It is an aryl group, and examples thereof include a methyl group, an octyl group, a lauryl group and a benzyl group. R 30 is an alkyl or aryl group having 1 to 18 carbon atoms. For example, in the case of quaternary ammonium derived from picoline, R 30 is a methyl group. Anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -), alcoholate (-O -) can be mentioned an acid group and the like. Although this compound can be obtained as a commercial product, for example, reaction of substituted pyridine such as picoline with alkyl halide such as methyl bromide, octyl bromide, lauryl chloride, benzyl chloride, benzyl bromide or aryl halide It can be manufactured. Examples of this compound include N-benzylpicolinium chloride, N-benzylpicolinium bromide, N-laurylpicolinium chloride and the like.
上記の式(D-6)の化合物は、アミンから誘導される第3級アンモニウム塩であり、mは2~11、nは2~3の整数を示す。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。アミンとカルボン酸やフェノール等の弱酸との反応によって製造する事が出来る。カルボン酸としてはギ酸や酢酸が挙げられ、ギ酸を使用した場合は、陰イオン(Y)は(HCOO)であり、酢酸を使用した場合は、陰イオン(Y)は(CHCOO)である。またフェノールを使用した場合は、陰イオン(Y)は(C)である。 The compound of the above formula (D-6) is a tertiary ammonium salt derived from an amine, m is an integer of 2 to 11 and n is an integer of 2 to 3. The anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -) , alcoholate (-O -) can be mentioned an acid group and the like. It can be produced by the reaction of an amine and a weak acid such as a carboxylic acid or phenol. As the carboxylic acid include formic acid and acetic acid, in the case of using formic acid, the anion (Y -) -, and the case of using acetic acid, the anion (HCOO) (Y -) is (CH 3 COO - ) If phenol is used also, the anion (Y -) - a (C 6 H 5 O).
上記の式(D-7)の化合物は、R31323334 の構造を有する第4級ホスホニウム塩である。R31、R32、R33、及びR34は炭素数1~18のアルキル基又はアリール基、またはSi-C結合によりケイ素原子と結合しているシラン化合物であるが、好ましくはR31~R34の4つの置換基の内で3つがフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示する事が出来、また残りの1つは炭素数1~18のアルキル基、アリール基、又はSi-C結合によりケイ素原子と結合しているシラン化合物である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)等の酸基を挙げることが出来る。この化合物は市販品として入手する事が可能であり、例えばハロゲン化テトラn-ブチルホスホニウム、ハロゲン化テトラn-プロピルホスホニウム等のハロゲン化テトラアルキルホスホニウム、ハロゲン化トリエチルベンジルホスホニウム等のハロゲン化トリアルキルベンジルホスホニウム、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム、ハロゲン化テトラフェニルホスホニウム、ハロゲン化トリトリルモノアリールホスホニウム、或いはハロゲン化トリトリルモノアルキルホスホニウム(ハロゲン原子は塩素原子又は臭素原子)が挙げられる。特に、ハロゲン化トリフェニルメチルホスホニウム、ハロゲン化トリフェニルエチルホスホニウム等のハロゲン化トリフェニルモノアルキルホスホニウム、ハロゲン化トリフェニルベンジルホスホニウム等のハロゲン化トリフェニルモノアリールホスホニウム、ハロゲン化トリトリルモノフェニルホスホニウム等のハロゲン化トリトリルモノアリールホスホニウムや、ハロゲン化トリトリルモノメチルホスホニウム等のハロゲン化トリトリルモノアルキルホスホニウム(ハロゲン原子は塩素原子又は臭素原子)が好ましい。 The compound of the above formula (D-7) is a quaternary phosphonium salt having a structure of R 31 R 32 R 33 R 34 P + Y . R 31 , R 32 , R 33 and R 34 each represent an alkyl group having 1 to 18 carbon atoms or an aryl group, or a silane compound bonded to a silicon atom through a Si-C bond, preferably R 31 to R Among the four substituents of 34 , three are a phenyl group or a substituted phenyl group, for example, a phenyl group or a tolyl group can be exemplified, and the remaining one is an alkyl group having 1 to 18 carbon atoms, It is a silane compound bonded to a silicon atom by an aryl group or a Si-C bond. The anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -) , alcoholate (-O -) can be mentioned an acid group and the like. This compound can be obtained as a commercial product, and for example, halogenated trialkylbenzyl such as halogenated tetraalkylphosphonium such as halogenated tetra n-butylphosphonium and halogenated tetra n-propylphosphonium halogenated trialkylbenzyl such as halogenated triethylbenzylphosphonium halogenated Phosphonium, triphenylmethylphosphonium halide, triphenylethylphosphonium halide such as triphenylethylphosphonium halide, triphenylmonoalkylphosphonium halide, triphenylbenzylphosphonium halide, tetraphenylphosphonium halide, tritolyl monoarylphosphonium halide, or tritolyl halide mono The alkyl phosphonium (a halogen atom is a chlorine atom or a bromine atom) is mentioned. In particular, halogens such as triphenylmonophosphorous halides such as triphenylmethylphosphonium halides, triphenylethylphosphonium halides, triphenylmonoarylphosphonium halides such as halogenated triphenylbenzylphosphonium halides, tritolyl monophenylphosphonium halides, etc. A tolylyl monoarylphosphonium halide and a tolylyl monoalkylphosphonium halide (a halogen atom is a chlorine atom or a bromine atom) such as a tolylyl monoarylphosphonium halide or a tolylyl monomethyl phosphonium halide is preferable.
また、ホスフィン類としては、メチルホスフィン、エチルホスフィン、プロピルホスフィン、イソプロピルホスフィン、イソブチルホスフィン、フェニルホスフィン等の第一ホスフィン、ジメチルホスフィン、ジエチルホスフィン、ジイソプロピルホスフィン、ジイソアミルホスフィン、ジフェニルホスフィン等の第二ホスフィン、トリメチルホスフィン、トリエチルホスフィン、トリフェニルホスフィン、メチルジフェニルホスフィン、ジメチルフェニルホスフィン等の第三ホスフィンが上げられる。 Also, as phosphines, primary phosphines such as methyl phosphine, ethyl phosphine, propyl phosphine, isopropyl phosphine, isobutyl phosphine, phenyl phosphine, etc., dimethyl phosphine, diethyl phosphine, diisopropyl phosphine, diisoamyl phosphine, secondary phosphines such as diphenyl phosphine And tertiary phosphines such as trimethyl phosphine, triethyl phosphine, triphenyl phosphine, methyl diphenyl phosphine, and dimethyl phenyl phosphine.
上記の式(D-8)の化合物は、R353637 の構造を有する第3級スルホニウム塩である。R35、R36、及びR37は炭素数1~18のアルキル基又はアリール基、またはSi-C結合によりケイ素原子と結合しているシラン化合物であるが、好ましくはR35~R37の4つの置換基の内で3つがフェニル基又は置換されたフェニル基であり、例えばフェニル基やトリル基を例示する事が出来、また残りの1つは炭素数1~18のアルキル基、又はアリール基である。また陰イオン(Y)は、塩素イオン(Cl)、臭素イオン(Br)、ヨウ素イオン(I)等のハロゲンイオンや、カルボキシラート(-COO)、スルホナト(-SO )、アルコラート(-O)、マレイン酸アニオン、硝酸アニオン等の酸基を挙げることが出来る。この化合物は市販品として入手する事が可能であり、例えばハロゲン化トリn-ブチルスルホニウム、ハロゲン化トリn-プロピルスルホニウム等のハロゲン化テトラアルキルスルホニウム、ハロゲン化ジエチルベンジルスルホニウム等のハロゲン化トリアルキルベンジルスルホニウム、ハロゲン化ジフェニルメチルスルホニウム、ハロゲン化ジフェニルエチルスルホニウム等のハロゲン化ジフェニルモノアルキルスルホニウム、ハロゲン化トリフェニルスルホニウム、(ハロゲン原子は塩素原子又は臭素原子)、トリn-ブチルスルホニウムカルボキシラート、トリn-プロピルスルホニウムカルボキシラート等のテトラアルキルホスフォニウムカルボキシラート、ジエチルベンジルスルホニウムカルボキシラート等のトリアルキルベンジルスルホニウムカルボキシラート、ジフェニルメチルスルホニウムカルボキシラート、ジフェニルエチルスルホニウムカルボキシラート等のジフェニルモノアルキルスルホニウムカルボキシラート、トリフェニルスルホニウムカルボキシラート。また、ハロゲン化トリフェニルスルホニウム、トリフェニルスルホニウムカルボキシラートが好ましく用いることができる。 Compounds of formula (D-8) described above, R 35 R 36 R 37 S + Y - is a tertiary sulfonium salt having a structure. R 35 , R 36 and R 37 each represent an alkyl group having 1 to 18 carbon atoms or an aryl group, or a silane compound bonded to a silicon atom via a Si—C bond, preferably 4 of R 35 to R 37 Among the three substituents, three may be phenyl or substituted phenyl, such as phenyl and tolyl, and the remaining one may be an alkyl or aryl having 1 to 18 carbon atoms. It is. The anion (Y -), chlorine ion (Cl -), bromine ion (Br -) - or a halogen ion such as, carboxylate (-COO -), iodide ion (I), sulfonato (-SO 3 -) , alcoholate (-O -), maleic acid anion, there can be mentioned an acid group such as a nitrate anion. This compound can be obtained as a commercially available product, and for example, trialkylbenzyl halides such as tri-n-butylsulfonium halide, tetra-alkylsulfonium halides such as tri-n-propylsulfonium halide, and diethylbenzylsulfonium halides Halogenated diphenyl monoalkyl sulfonium such as sulfonium, halogenated diphenylmethyl sulfonium, halogenated diphenylethyl sulfonium, etc., halogenated triphenyl sulfonium, (halogen atom is chlorine atom or bromine atom), tri n-butyl sulfonium carboxylate, tri n- Tetraalkyl phosphonium carboxylates such as propyl sulfonium carboxylate, and trialkyl benzils such as diethyl benzyl sulfonium carboxylate Sulfonium carboxylate, diphenylmethyl sulfonium carboxylate, diphenyl monoalkyl sulfonium carboxylate, triphenylsulfonium carboxylate such as diphenylethyl sulfonium carboxylate. In addition, halogenated triphenylsulfonium and triphenylsulfonium carboxylate can be preferably used.
また、本発明では硬化触媒として窒素含有シラン化合物を添加することができる。窒素含有シラン化合物としてはN-(3-トリエトキシシリプロピル)-4,5-ジヒドロイミダゾール等のイミダゾール環含有シラン化合物が挙げられる。 In the present invention, a nitrogen-containing silane compound can be added as a curing catalyst. Examples of nitrogen-containing silane compounds include imidazole ring-containing silane compounds such as N- (3-triethoxysilylyl) -4,5-dihydroimidazole.
硬化触媒はポリオルガノシロキサン100質量部に対して、0.01~10質量部、または0.01~5質量部、または0.01~3質量部である。 The curing catalyst is 0.01 to 10 parts by mass, 0.01 to 5 parts by mass, or 0.01 to 3 parts by mass with respect to 100 parts by mass of the polyorganosiloxane.
加水分解性シランを溶剤中で触媒を用いて加水分解し縮合し、得られた加水分解縮合物(ポリマー)は減圧蒸留等により副生成物のアルコールや用いた加水分解触媒や水を同時に除去することができる。また、加水分解に用いた酸を中和やイオン交換により取り除くことができる。そして本発明のポリシロキサンを含む被覆組成物では、その加水分解縮合物(ポリシロキサン)を含む被覆組成物は安定化のために有機酸を添加することができる。 The hydrolyzable silane is hydrolyzed and condensed using a catalyst in a solvent, and the obtained hydrolytic condensate (polymer) simultaneously removes alcohol by-product alcohol and the hydrolysis catalyst used and water by reduced pressure distillation etc. be able to. Moreover, the acid used for hydrolysis can be removed by neutralization or ion exchange. And, in the coating composition containing the polysiloxane of the present invention, the coating composition containing the hydrolysis condensate (polysiloxane) can be added with an organic acid for stabilization.
上記有機酸としては、例えばシュウ酸、マロン酸、メチルマロン酸、コハク酸、マレイン酸、リンゴ酸、酒石酸、フタル酸、クエン酸、グルタル酸、クエン酸、乳酸、サリチル酸等が挙げられる。中でも、シュウ酸、マレイン酸等が好ましい。加える有機酸は縮合物(ポリオルガノシロキサン)100質量部に対して0.1~5.0質量部である。 Examples of the organic acid include oxalic acid, malonic acid, methylmalonic acid, succinic acid, maleic acid, malic acid, tartaric acid, phthalic acid, citric acid, glutaric acid, citric acid, lactic acid, salicylic acid and the like. Among them, oxalic acid, maleic acid and the like are preferable. The amount of the organic acid to be added is 0.1 to 5.0 parts by mass with respect to 100 parts by mass of the condensate (polyorganosiloxane).
本発明では得られたポリシロキサンを用いてポリシロキサンを含む組成物を得ることができる。ポリシロキサンを含む組成物は、水、酸、及び硬化触媒からなる群から選ばれた一つ以上を含むことができる。 In the present invention, a composition containing a polysiloxane can be obtained using the obtained polysiloxane. The composition comprising the polysiloxane can comprise one or more selected from the group consisting of water, an acid, and a curing catalyst.
本発明のポリシロキサンを含む組成物は、上記の成分の他、必要に応じて有機ポリマー化合物、光酸発生剤及び界面活性剤等を含むことができる。 The composition containing the polysiloxane of the present invention can contain, in addition to the above components, an organic polymer compound, a photoacid generator, a surfactant and the like as required.
有機ポリマー化合物を使用することにより、本発明のポリシロキサンを含む組成物から形成されるレジスト下層膜のドライエッチング速度(単位時間当たりの膜厚の減少量)、減衰係数及び屈折率等を調整することができる。 By using the organic polymer compound, the dry etching rate (reduction in film thickness per unit time), attenuation coefficient, refractive index, etc. of the resist underlayer film formed from the composition containing the polysiloxane of the present invention is adjusted. be able to.
光酸発生剤が使用される場合、その割合としては、縮合物(ポリオルガノシロキサン)100質量部に対して、0.01~30質量部、0.01~15質量部、または0.1~10質量部である。 When a photoacid generator is used, the proportion thereof is 0.01 to 30 parts by mass, 0.01 to 15 parts by mass, or 0.1 to 10 parts by mass with respect to 100 parts by mass of the condensate (polyorganosiloxane). It is 10 parts by mass.
界面活性剤は、本発明のポリシロキサンを含む組成物を基板に塗布した際に、ピンホール及びストレーション等の発生を抑制するのに有効である。 The surfactant is effective in suppressing the occurrence of pinholes, wear and the like when the composition containing the polysiloxane of the present invention is applied to a substrate.
本発明のポリシロキサンを含む組成物に含まれる界面活性剤としては、例えば、ポリオキシエチレンラウリルエーテル、ポリオキシエチレンステアリルエーテル、ポリオキシエチレンセチルエーテル、ポリオキシエチレンオレイルエーテル等のポリオキシエチレンアルキルエーテル類、ポリオキシエチレンオクチルフエノールエーテル、ポリオキシエチレンノニルフエノールエーテル等のポリオキシエチレンアルキルアリルエーテル類、ポリオキシエチレン・ポリオキシプロピレンブロツクコポリマー類、ソルビタンモノラウレート、ソルビタンモノパルミテート、ソルビタンモノステアレート、ソルビタンモノオレエート、ソルビタントリオレエート、ソルビタントリステアレート等のソルビタン脂肪酸エステル類、ポリオキシエチレンソルビタンモノラウレート、ポリオキシエチレンソルビタンモノパルミテート、ポリオキシエチレンソルビタンモノステアレート、ポリオキシエチレンソルビタントリオレエート、ポリオキシエチレンソルビタントリステアレート等のポリオキシエチレンソルビタン脂肪酸エステル類等のノニオン系界面活性剤、商品名エフトップEF301、EF303、EF352((株)トーケムプロダクツ製)、商品名メガファックF171、F173、R-08、R-30、R-30N、R-40LM(DIC(株)製)、フロラードFC430、FC431(住友スリーエム(株)製)、商品名アサヒガードAG710,サーフロンS-382、SC101、SC102、SC103、SC104、SC105、SC106(旭硝子(株)製)等のフッ素系界面活性剤、及びオルガノシロキサンポリマ-KP341(信越化学工業(株)製)等を挙げることができる。これらの界面活性剤は単独で使用してもよいし、また二種以上の組み合わせで使用することもできる。界面活性剤が使用される場合、その割合としては、縮合物(ポリオルガノシロキサン)100質量部に対して0.0001~5質量部、または0.001~1質量部、または0.01~1質量部である。 As surfactant contained in the composition containing the polysiloxane of the present invention, for example, polyoxyethylene alkyl ether such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, polyoxyethylene oleyl ether, etc. , Polyoxyethylene alkyl allyl ethers such as polyoxyethylene octyl phenol ether and polyoxyethylene nonyl phenol ether, polyoxyethylene / polyoxypropylene block copolymers, sorbitan monolaurate, sorbitan monopalmitate, sorbitan monostearate Fatty acid esters such as sorbitan monooleate, sorbitan trioleate, sorbitan tristearate, etc. Nonionic surfactants such as polyoxyethylene sorbitan fatty acid esters such as bitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, polyoxyethylene sorbitan tristearate, etc. Agent, trade name F-top EF301, EF303, EF352 (made by Tochem Products), trade name Megafac F171, F173, R-08, R-30, R-30N, R-40LM (made by DIC Corporation) Florard FC430, FC431 (Sumitomo 3M Co., Ltd.), trade name Asahi Guard AG710, Surflon S-382, SC101, SC102, SC103, SC104, SC105, SC106 (Asahi Glass Co., Ltd.), etc. Tsu Motokei surfactant, and organosiloxane polymer -KP341 (manufactured by Shin-Etsu Chemical Co.) and the like. These surfactants may be used alone or in combination of two or more. When a surfactant is used, the ratio thereof is 0.0001 to 5 parts by mass, or 0.001 to 1 parts by mass, or 0.01 to 1 parts by mass with respect to 100 parts by mass of the condensate (polyorganosiloxane). It is a mass part.
また、本発明のポリシロキサンを含む組成物には、レオロジー調整剤及び接着補助剤等を添加することができる。レオロジー調整剤は、下層膜形成組成物の流動性を向上させるのに有効である。接着補助剤は、半導体基板またはレジストと下層膜の密着性を向上させるのに有効である。 Moreover, a rheology regulator, an adhesion adjuvant, etc. can be added to the composition containing the polysiloxane of this invention. The rheology modifier is effective to improve the fluidity of the underlayer film forming composition. The adhesion aiding agent is effective to improve the adhesion between the semiconductor substrate or the resist and the underlayer film.
本発明のポリシロキサンを含む組成物に使用される溶剤としては、前記の固形分を溶解できる溶剤であれば、特に制限なく使用することができる。そのような溶剤としては、例えば、ジエチルエーテル、ジi-プロピルエーテル、ジn-ブチルエーテル、ジn-ヘキシルエーテル、ジイソアミルエーテル、2-エチルヘキシルエーテル、エチレンオキシド、1,2-プロピレンオキシド、ジオキソラン、4-メチルジオキソラン、ジオキサン、ジメチルジオキサン、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールジエチルエーテル、エチレングリコールモノ-n-ブチルエーテル、エチレングリコールモノ-n-ヘキシルエーテル、エチレングリコールモノフェニルエーテル、エチレングリコールモノ-2-エチルブチルエーテル、エチレングリコールジブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールモノ-n-ブチルエーテル、ジエチレングリコールジ-n-ブチルエーテル、ジエチレングリコールモノ-n-ヘキシルエーテル、エトキシトリグリコール、テトラエチレングリコールジ-n-ブチルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル、ジプロピレングリコールモノブチルエーテル、トリプロピレングリコールモノメチルエーテル、テトラヒドロフラン、2-メチルテトラヒドロフラン、メチルセロソルブアセテート、エチルセロソルブアセテート、プロピレングリコール、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、メチルイソブチルカルビノール、プロピレングリコールモノブチルエーテル、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエテルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、プロピレングリコールモノブチルエーテルアセテート、トルエン、キシレン、メチルエチルケトン、シクロペンタノン、シクロヘキサノン、2-ヒドロキシプロピオン酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、エトキシ酢酸エチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-3-メチルブタン酸メチル、3-メトキシプロピオン酸メチル、3-メトキシプロピオン酸エチル、3-エトキシプロピオン酸エチル、3-エトキシプロピオン酸メチル、ピルビン酸メチル、ピルビン酸エチル、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジプロピルエーテル、ジエチレングリコールジブチルエーテル、プロピレングリコールモノメチルエーテル、プロピレングリコールジメチルエーテル、プロピレングリコールジエチルエーテル、プロピレングリコールジプロピルエーテル、プロピレングリコールジブチルエーテル、乳酸エチル、乳酸プロピル、乳酸イソプロピル、乳酸ブチル、乳酸イソブチル、ギ酸メチル、ギ酸エチル、ギ酸プロピル、ギ酸イソプロピル、ギ酸ブチル、ギ酸イソブチル、ギ酸アミル、ギ酸イソアミル、酢酸メチル、酢酸エチル、酢酸アミル、酢酸イソアミル、酢酸ヘキシル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、プロピオン酸ブチル、プロピオン酸イソブチル、酪酸メチル、酪酸エチル、酪酸プロピル、酪酸イソプロピル、酪酸ブチル、酪酸イソブチル、ヒドロキシ酢酸エチル、2-ヒドロキシ-2-メチルプロピオン酸エチル、3-メトキシ-2-メチルプロピオン酸メチル、2-ヒドロキシ-3-メチル酪酸メチル、メトキシ酢酸エチル、エトキシ酢酸エチル、3-メトキシプロピオン酸メチル、3-エトキシプロピオン酸エチル、3-メトキシプロピオン酸エチル、3-メトキシブチルアセテート、3-メトキシプロピルアセテート、3-メチル-3-メトキシブチルアセテート、3-メチル-3-メトキシブチルプロピオネート、3-メチル-3-メトキシブチルブチレート、アセト酢酸メチル、トルエン、キシレン、メチルエチルケトン、メチルプロピルケトン、メチルブチルケトン、2-ヘプタノン、3-ヘプタノン、4-ヘプタノン、シクロヘキサノン、N、N-ジメチルホルムアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルピロリドン、4-メチル-2-ペンタノール、及びγ-ブチロラクトン等を挙げることができる。ジnブチルエーテル、ジイソアミルエーテル等のエーテル系溶剤は好ましく用いることができる。これらの溶剤は単独で、または二種以上の組み合わせで使用することができる。 As a solvent used for the composition containing polysiloxane of this invention, if it is a solvent which can melt | dissolve said solid content, it can be used without a restriction | limiting especially. As such solvent, for example, diethyl ether, di-propyl ether, di n-butyl ether, di n-hexyl ether, diisoamyl ether, 2-ethylhexyl ether, ethylene oxide, 1,2-propylene oxide, dioxolane, 4 -Methyldioxolane, dioxane, dimethyldioxane, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol diethyl ether, ethylene glycol mono-n-butyl ether, ethylene glycol mono-n-hexyl ether, ethylene glycol monophenyl ether, ethylene glycol Mono-2-ethyl butyl ether, ethylene glycol dibutyl ether, diethylene glycol monomethyl ether, diethylene glycol Cole monoethyl ether, diethylene glycol diethyl ether, diethylene glycol mono-n-butyl ether, diethylene glycol di-n-butyl ether, diethylene glycol mono-n-hexyl ether, ethoxytriglycol, tetraethylene glycol di-n-butyl ether, propylene glycol monomethyl ether, propylene Glycol monoethyl ether, propylene glycol monopropyl ether, propylene glycol monobutyl ether, propylene glycol monomethyl ether acetate, dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, dipropylene glycol monopropyl ether, dipropylene glycol monobutyl ether, tripro Polyethylene glycol monomethyl ether, tetrahydrofuran, 2-methyltetrahydrofuran, methyl cellosolve acetate, ethyl cellosolve acetate, propylene glycol, propylene glycol monomethyl ether, propylene glycol monoethyl ether, methyl isobutyl carbinol, propylene glycol monobutyl ether, propylene glycol monomethyl ether acetate, Propylene glycol monoether ether acetate, propylene glycol monopropyl ether acetate, propylene glycol monobutyl ether acetate, toluene, xylene, methyl ethyl ketone, cyclopentanone, cyclohexanone, ethyl 2-hydroxypropionate, ethyl 2-hydroxy-2-methylpropionate Ethyl, ethyl ethoxyacetate, ethyl hydroxyacetate, methyl 2-hydroxy-3-methylbutanoate, methyl 3-methoxypropionate, ethyl 3-methoxypropionate, ethyl 3-ethoxypropionate, methyl 3-ethoxypropionate, pyruvate Methyl, ethyl pyruvate, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol monopropyl ether acetate, ethylene glycol Monobutyl ether acetate, diethylene glycol dimethyl ether, diethylene glycol diethyl Ether, diethylene glycol dipropyl ether, diethylene glycol dibutyl ether, propylene glycol monomethyl ether, propylene glycol dimethyl ether, propylene glycol diethyl ether, propylene glycol diethyl ether, propylene glycol dipropyl ether, propylene glycol dibutyl ether, ethyl lactate, propyl lactate, propyl lactate, isopropyl lactate, butyl lactate, isobutyl lactate Methyl formate, ethyl formate, propyl formate, isopropyl formate, butyl formate, isobutyl formate, amyl formate, amyl formate, methyl acetate, ethyl acetate, amyl acetate, amyl acetate, isobutyl acetate, hexyl acetate, methyl propionate, ethyl propionate, propionate Propyl, isopropyl propionate, butyl propionate, isobutyl propionate, dairy Methyl, ethyl butyrate, propyl butyrate, isopropyl butyrate, butyl butyrate, isobutyl butyrate, ethyl hydroxyacetate, ethyl 2-hydroxy-2-methylpropionate, methyl 3-methoxy-2-methylpropionate, 2-hydroxy-3-methyl Methyl butyrate, ethyl methoxyacetate, ethyl ethoxyacetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, ethyl 3-methoxypropionate, 3-methoxybutyl acetate, 3-methoxypropyl acetate, 3-methyl-3- Methoxybutyl acetate, 3-methyl-3-methoxybutylpropionate, 3-methyl-3-methoxybutylbutyrate, methyl acetoacetate, toluene, xylene, methyl ethyl ketone, methyl propyl ketone, methyl butyl ketone, 2-heptene Tanone, 3-heptanone, 4-heptanone, cyclohexanone, N, N-dimethylformamide, N-methylacetamide, N, N-dimethylacetamide, N-methylpyrrolidone, 4-methyl-2-pentanol, and γ-butyrolactone Can be mentioned. Ether solvents such as di-n-butyl ether and di-iso-amyl ether can be preferably used. These solvents can be used alone or in combination of two or more.
以下、本発明のポリシロキサンを含む組成物が、レジスト下層膜形成組成物としての使用について説明する。 Hereinafter, the composition containing the polysiloxane of the present invention will be described for use as a resist underlayer film forming composition.
半導体装置の製造に使用される基板(例えば、シリコンウエハー基板、シリコン/二酸化シリコン被覆基板、シリコンナイトライド基板、ガラス基板、ITO基板、ポリイミド基板、及び低誘電率材料(low-k材料)被覆基板等)の上に、スピナー、コーター等の適当な塗布方法により本発明のレジスト下層膜形成組成物が塗布され、その後、焼成することによりレジスト下層膜が形成される。焼成する条件としては、焼成温度80℃~250℃、焼成時間0.3~60分間の中から適宜、選択される。好ましくは、焼成温度150℃~250℃、焼成時間0.5~2分間である。ここで、形成される下層膜の膜厚としては、例えば、5~1000nmであり、または20~500nmであり、または50~300nmであり、または50~200nmである。 Substrates used for manufacturing semiconductor devices (for example, silicon wafer substrates, silicon / silicon dioxide coated substrates, silicon nitride substrates, glass substrates, ITO substrates, polyimide substrates, and low dielectric constant material (low-k material) coated substrates The resist underlayer film forming composition of the present invention is coated on a etc.) by a suitable coating method such as a spinner or a coater, and thereafter, a resist underlayer film is formed by baking. The firing conditions are appropriately selected from a firing temperature of 80 ° C. to 250 ° C. and a firing time of 0.3 to 60 minutes. Preferably, the firing temperature is 150 ° C. to 250 ° C., and the firing time is 0.5 to 2 minutes. Here, the film thickness of the lower layer film to be formed is, for example, 5 to 1000 nm, 20 to 500 nm, 50 to 300 nm, or 50 to 200 nm.
次いでそのレジスト下層膜の上に、例えばフォトレジストの層が形成される。フォトレジストの層の形成は、周知の方法、すなわち、フォトレジスト組成物溶液の下層膜上への塗布及び焼成によって行なうことができる。フォトレジストの膜厚としては例えば50~10000nmであり、または50~2000nmであり、または50~1000nmである。 Then, a layer of photoresist, for example, is formed on the resist underlayer film. The formation of a layer of photoresist can be performed by a known method, that is, application of a photoresist composition solution on an underlying film and baking. The film thickness of the photoresist is, for example, 50 to 10000 nm, or 50 to 2000 nm, or 50 to 1000 nm.
本発明では基板上に有機下層膜を成膜した後、この上に本発明のレジスト下層膜を成膜し、更にその上にフォトレジストを被覆することができる。これによりフォトレジストのパターン幅が狭くなり、パターン倒れを防ぐ為にフォトレジストを薄く被覆した場合でも、適切なエッチングガスを選択することにより基板の加工が可能になる。例えば、フォトレジストに対して十分に早いエッチング速度となるフッ素系ガスをエッチングガスとして本発明のレジスト下層膜に加工が可能であり、また本発明のレジスト下層膜に対して十分に早いエッチング速度となる酸素系ガスをエッチングガスとして有機下層膜の加工が可能であり、更に有機下層膜に対して十分に早いエッチング速度となるフッ素系ガスをエッチングガスとして基板の加工を行うことができる。 In the present invention, after the organic lower layer film is formed on the substrate, the resist lower layer film of the present invention can be formed thereon, and a photoresist can be further coated thereon. As a result, the pattern width of the photoresist becomes narrow, and even when the photoresist is thinly coated in order to prevent pattern collapse, the substrate can be processed by selecting an appropriate etching gas. For example, it is possible to process the resist underlayer film of the present invention using a fluorine-based gas having a sufficiently high etching rate to the photoresist as an etching gas, and to etch the photoresist underlayer film of the present invention sufficiently fast. The organic lower layer film can be processed using the oxygen-based gas as an etching gas, and the substrate can be processed using a fluorine-based gas having a sufficiently high etching rate to the organic lower layer film as an etching gas.
本発明のレジスト下層膜の上に形成されるフォトレジストとしては露光に使用される光に感光するものであれば特に限定はない。ネガ型フォトレジスト及びポジ型フォトレジストのいずれも使用できる。ノボラック樹脂と1,2-ナフトキノンジアジドスルホン酸エステルとからなるポジ型フォトレジスト、酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと光酸発生剤からなる化学増幅型フォトレジスト、酸により分解してフォトレジストのアルカリ溶解速度を上昇させる低分子化合物とアルカリ可溶性バインダーと光酸発生剤とからなる化学増幅型フォトレジスト、及び酸により分解してアルカリ溶解速度を上昇させる基を有するバインダーと酸により分解してフォトレジストのアルカリ溶解速度を上昇させる低分子化合物と光酸発生剤からなる化学増幅型フォトレジストなどがある。例えば、シプレー社製商品名APEX-E、住友化学工業(株)製商品名PAR710、及び信越化学工業(株)製商品名SEPR430等が挙げられる。また、例えば、Proc.SPIE,Vol.3999,330-334(2000)、Proc.SPIE,Vol.3999,357-364(2000)、やProc.SPIE,Vol.3999,365-374(2000)に記載されているような、含フッ素原子ポリマー系フォトレジストを挙げることができる。 The photoresist formed on the resist underlayer film of the present invention is not particularly limited as long as it is sensitive to light used for exposure. Both negative and positive photoresists can be used. Positive-working photoresist consisting of novolac resin and 1,2-naphthoquinone diazide sulfonic acid ester, chemically amplified photoresist consisting of a binder having a group which is decomposed by an acid to increase alkali dissolution rate, and a photo-acid generator, acid A chemically amplified photoresist comprising a low molecular weight compound which decomposes to increase the alkali dissolution rate of the photoresist, an alkali soluble binder and a photoacid generator, and a binder having a group which is decomposed by an acid to increase the alkali dissolution rate There is a chemically amplified photoresist comprising a low molecular weight compound which is decomposed by an acid to increase the alkali dissolution rate of the photoresist and a photoacid generator. For example, trade name APEX-E manufactured by Shipley, trade name PAR710 manufactured by Sumitomo Chemical Co., Ltd., trade name SEPR 430 manufactured by Shin-Etsu Chemical Co., Ltd., and the like. Also, for example, Proc. SPIE, Vol. 3999, 330-334 (2000), Proc. SPIE, Vol. 3999, 357-364 (2000), and Proc. SPIE, Vol. Mention may be made of fluorine-containing atomic polymer based photoresists as described in 3999, 365-374 (2000).
次に、所定のマスクを通して露光が行なわれる。露光には、KrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)及びF2エキシマレーザー(波長157nm)、EUV等を使用することができる。露光後、必要に応じて露光後加熱(post exposure bake)を行なうこともできる。露光後加熱は、加熱温度70℃~150℃、加熱時間0.3~10分間から適宜、選択された条件で行われる。 Next, exposure is performed through a predetermined mask. For exposure, a KrF excimer laser (wavelength 248 nm), an ArF excimer laser (wavelength 193 nm), an F2 excimer laser (wavelength 157 nm), EUV, etc. can be used. After exposure, post exposure bake can also be performed if necessary. Post-exposure heating is performed under conditions appropriately selected from heating temperatures of 70 ° C. to 150 ° C. and heating times of 0.3 to 10 minutes.
また、本発明ではレジストとしてフォトレジストに変えて電子線リソグラフィー用レジスト、又はEUVリソグラフィー用レジストを用いることができる。電子線レジストとしてはネガ型、ポジ型いずれも使用できる。酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーからなる化学増幅型レジスト、アルカリ可溶性バインダーと酸発生剤と酸により分解してレジストのアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーと酸により分解してレジストのアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト、電子線によって分解してアルカリ溶解速度を変化させる基を有するバインダーからなる非化学増幅型レジスト、電子線によって切断されアルカリ溶解速度を変化させる部位を有するバインダーからなる非化学増幅型レジストなどがある。これらの電子線レジストを用いた場合も照射源を電子線としてフォトレジストを用いた場合と同様にレジストパターンを形成することができる。 In the present invention, a resist for electron beam lithography or a resist for EUV lithography can be used instead of a photoresist as the resist. As the electron beam resist, either negative or positive type can be used. Chemically amplified resist comprising a binder having a group that changes the alkali dissolution rate by being decomposed by an acid generator and an acid, a low molecular weight compound that changes the alkali dissolution rate of the resist by being decomposed by an alkali soluble binder, an acid generator and an acid A chemically amplified resist comprising a acid generator and a binder having a group capable of changing an alkali dissolution rate by an acid generator and an acid, and a chemically amplified resist comprising a low molecular compound capable of changing an alkali dissolution rate of the resist by being decomposed by an acid and an acid There are a non-chemically amplified resist comprising a binder having a group which is decomposed by an electron beam to change an alkali dissolution rate, a non-chemically amplified resist comprising a binder which has a site which is cut by an electron beam to change an alkali dissolution rate. Also in the case of using these electron beam resists, a resist pattern can be formed in the same manner as in the case of using a photoresist with the irradiation source as electron beams.
また、EUVレジストとしてはメタクリレート樹脂系レジスト、メタクリレート-ポリヒドロキシスチレンハイブリッド樹脂系レジスト、ポリヒドロキシスチレン樹脂系レジストを用いることができる。EUVレジストとしてはネガ型、ポジ型いずれも使用できる。酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーからなる化学増幅型レジスト、アルカリ可溶性バインダーと酸発生剤と酸により分解してレジストのアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト、酸発生剤と酸により分解してアルカリ溶解速度を変化させる基を有するバインダーと酸により分解してレジストのアルカリ溶解速度を変化させる低分子化合物からなる化学増幅型レジスト、EUV光によって分解してアルカリ溶解速度を変化させる基を有するバインダーからなる非化学増幅型レジスト、EUV光によって切断されアルカリ溶解速度を変化させる部位を有するバインダーからなる非化学増幅型レジストなどがある。 Further, as the EUV resist, a methacrylate resin based resist, a methacrylate-polyhydroxystyrene hybrid resin based resist, or a polyhydroxystyrene resin based resist can be used. As an EUV resist, either a negative type or a positive type can be used. Chemically amplified resist comprising a binder having a group that changes the alkali dissolution rate by being decomposed by an acid generator and an acid, a low molecular weight compound that changes the alkali dissolution rate of the resist by being decomposed by an alkali soluble binder, an acid generator and an acid A chemically amplified resist comprising a acid generator and a binder having a group capable of changing an alkali dissolution rate by an acid generator and an acid, and a chemically amplified resist comprising a low molecular compound capable of changing an alkali dissolution rate of the resist by being decomposed by an acid and an acid There are a non-chemically amplified resist comprising a binder having a group which is decomposed by EUV light to change the alkali dissolution rate, a non-chemically amplified resist consisting of a binder having a site which is cleaved by EUV light and changes the alkali dissolution rate.
次いで、現像液(例えばアルカリ現像液)によって現像が行なわれる。これにより、例えばポジ型フォトレジストが使用された場合は、露光された部分のフォトレジストが除去され、フォトレジストのパターンが形成される。 Next, development is performed with a developer (for example, an alkali developer). Thus, for example, when a positive photoresist is used, the photoresist in the exposed portion is removed to form a photoresist pattern.
現像液としては、水酸化カリウム、水酸化ナトリウムなどのアルカリ金属水酸化物の水溶液、水酸化テトラメチルアンモニウム、水酸化テトラエチルアンモニウム、コリンなどの水酸化四級アンモニウムの水溶液、エタノールアミン、プロピルアミン、エチレンジアミンなどのアミン水溶液等のアルカリ性水溶液を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度5~50℃、時間10~600秒から適宜選択される。 Examples of the developer include aqueous solutions of alkali metal hydroxides such as potassium hydroxide and sodium hydroxide, tetramethylammonium hydroxide, tetraethylammonium hydroxide, aqueous solutions of quaternary ammonium hydroxides such as choline, ethanolamine, propylamine, An alkaline aqueous solution such as an aqueous amine solution such as ethylene diamine can be mentioned as an example. Furthermore, surfactants and the like can also be added to these developers. The conditions for development are suitably selected from a temperature of 5 to 50 ° C. and a time of 10 to 600 seconds.
また、本発明では現像液として有機溶剤を用いることができる。露光後に現像液(溶剤)によって現像が行なわれる。これにより、例えばポジ型フォトレジストが使用された場合は、露光されない部分のフォトレジストが除去され、フォトレジストのパターンが形成される。 In the present invention, an organic solvent can be used as a developer. After exposure, development is performed with a developer (solvent). As a result, for example, when a positive photoresist is used, the photoresist in the non-exposed portion is removed to form a photoresist pattern.
現像液としては、例えば、酢酸メチル、酢酸ブチル、酢酸エチル、酢酸イソプロピル、酢酸アミル、酢酸イソアミル、メトキシ酢酸エチル、エトキシ酢酸エチル、プロピレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート、エチレングリコールモノプロピルエーテルアセテート、エチレングリコールモノブチルエーテルアセテート、エチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノメチルエーテルアセテート、ジエチレングリコールモノプロピルエーテルアセテート、ジエチレングリコールモノエチルエーテルアセテート、ジエチレングリコールモノフェニルエーテルアセテート、ジエチレングリコールモノブチルエーテルアセテート、2-メトキシブチルアセテート、3-メトキシブチルアセテート、4-メトキシブチルアセテート、3-メチル-3-メトキシブチルアセテート、3-エチル-3-メトキシブチルアセテート、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテート、2-エトキシブチルアセテート、4-エトキシブチルアセテート、4-プロポキシブチルアセテート、2-メトキシペンチルアセテート、3-メトキシペンチルアセテート、4-メトキシペンチルアセテート、2-メチル-3-メトキシペンチルアセテート、3-メチル-3-メトキシペンチルアセテート、3-メチル-4-メトキシペンチルアセテート、4-メチル-4-メトキシペンチルアセテート、プロピレングリコールジアセテート、蟻酸メチル、蟻酸エチル、蟻酸ブチル、蟻酸プロピル、乳酸エチル、乳酸ブチル、乳酸プロピル、炭酸エチル、炭酸プロピル、炭酸ブチル、ピルビン酸メチル、ピルビン酸エチル、ピルビン酸プロピル、ピルビン酸ブチル、アセト酢酸メチル、アセト酢酸エチル、プロピオン酸メチル、プロピオン酸エチル、プロピオン酸プロピル、プロピオン酸イソプロピル、2-ヒドロキシプロピオン酸メチル、2-ヒドロキシプロピオン酸エチル、メチル-3-メトキシプロピオネート、エチル-3-メトキシプロピオネート、エチル-3-エトキシプロピオネート、プロピル-3-メトキシプロピオネート等を例として挙げることができる。さらに、これらの現像液に界面活性剤などを加えることもできる。現像の条件としては、温度5~50℃、時間10~600秒から適宜選択される。 As a developer, for example, methyl acetate, butyl acetate, ethyl acetate, isopropyl acetate, amyl acetate, isoamyl acetate, methoxyethyl acetate, ethyl ethoxyacetate, propylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, ethylene glycol monopropyl Ether acetate, ethylene glycol monobutyl ether acetate, ethylene glycol monophenyl ether acetate, diethylene glycol monomethyl ether acetate, diethylene glycol monopropyl ether acetate, diethylene glycol monoethyl ether acetate, diethylene glycol monophenyl ether acetate, diethylene glycol monobutyl ether acetate, 2-methoxybutyl ether Tate, 3-methoxybutyl acetate, 4-methoxybutyl acetate, 3-methyl-3-methoxybutyl acetate, 3-ethyl-3-methoxybutyl acetate, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, propylene glycol mono Propyl ether acetate, 2-ethoxybutyl acetate, 4-ethoxybutyl acetate, 4-propoxybutyl acetate, 2-methoxypentyl acetate, 3-methoxypentyl acetate, 4-methoxypentyl acetate, 2-methyl-3-methoxypentyl acetate, 3-Methyl-3-methoxypentyl acetate, 3-methyl-4-methoxypentyl acetate, 4-methyl-4-methoxypentyl acetate, Pyrene glycol diacetate, methyl formate, ethyl formate, butyl formate, propyl formate, ethyl lactate, butyl lactate, propyl lactate, ethyl carbonate, propyl carbonate, butyl carbonate, butyl pyruvate, ethyl pyruvate, propyl pyruvate, butyl pyruvate Methyl acetoacetate, ethyl acetoacetate, methyl propionate, ethyl propionate, propyl propionate, isopropyl propionate, methyl 2-hydroxypropionate, ethyl 2-hydroxypropionate, methyl 3-methoxypropionate, ethyl- 3-methoxy propionate, ethyl 3-ethoxy propionate, propyl 3-methoxy propionate and the like can be mentioned as an example. Furthermore, surfactants and the like can also be added to these developers. The conditions for development are suitably selected from a temperature of 5 to 50 ° C. and a time of 10 to 600 seconds.
そして、このようにして形成されたフォトレジスト(上層)のパターンを保護膜として本発明のレジスト下層膜(中間層)の除去が行われ、次いでパターン化されたフォトレジスト及び本発明のレジスト下層膜(中間層)からなる膜を保護膜として、有機下層膜(下層)の除去が行われる。最後に、パターン化された本発明のレジスト下層膜(中間層)及び有機下層膜(下層)を保護膜として、半導体基板の加工が行なわれる。 Then, the resist underlayer film (intermediate layer) of the present invention is removed using the pattern of the photoresist (upper layer) thus formed as a protective film, and then the patterned photoresist and the resist underlayer film of the present invention Removal of the organic lower layer film (lower layer) is performed using the film formed of (intermediate layer) as a protective film. Finally, the semiconductor substrate is processed using the patterned resist lower layer film (intermediate layer) and organic lower layer film (lower layer) of the present invention as a protective film.
まず、フォトレジストが除去された部分の本発明のレジスト下層膜(中間層)をドライエッチングによって取り除き、半導体基板を露出させる。本発明のレジスト下層膜のドライエッチングにはテトラフルオロメタン(CF4)、トリフルオロメタン(CHF)、パーフルオロシクロブタン(C8)、パーフルオロプロパン(C)、トリフルオロメタン、一酸化炭素、アルゴン、酸素、窒素、六フッ化硫黄、ジフルオロメタン、三フッ化窒素及び三フッ化塩素、塩素、トリクロロボラン及びジクロロボラン等のガスを使用することができる。レジスト下層膜のドライエッチングにはハロゲン系ガスを使用することが好ましい。ハロゲン系ガスによるドライエッチングでは、基本的に有機物質からなるフォトレジストは除去されにくい。それに対し、シリコン原子を多く含む本発明のレジスト下層膜はハロゲン系ガスによって速やかに除去される。そのため、レジスト下層膜のドライエッチングに伴うフォトレジストの膜厚の減少を抑えることができる。そして、その結果、フォトレジストを薄膜で使用することが可能となる。レジスト下層膜のドライエッチングはフッ素系ガスによることが好ましく、フッ素系ガスとしては、例えば、テトラフルオロメタン(CF4)、トリフルオロメタン(CHF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、及びジフルオロメタン(CH)等が挙げられる。 First, the resist underlayer film (intermediate layer) of the present invention in the portion where the photoresist is removed is removed by dry etching to expose the semiconductor substrate. For dry etching of the resist underlayer film of the present invention, tetrafluoromethane (CF 4 ), trifluoromethane (CHF 3 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, Gases such as carbon monoxide, argon, oxygen, nitrogen, sulfur hexafluoride, difluoromethane, nitrogen trifluoride and chlorine trifluoride, chlorine, trichloroborane and dichloroborane can be used. It is preferable to use a halogen-based gas for dry etching of the resist underlayer film. In dry etching using a halogen-based gas, basically, a photoresist made of an organic substance is difficult to remove. On the other hand, the resist underlayer film of the present invention containing a large amount of silicon atoms is rapidly removed by the halogen-based gas. Therefore, it is possible to suppress the decrease in the film thickness of the photoresist accompanying the dry etching of the resist underlayer film. And as a result, it becomes possible to use a photoresist in a thin film. The dry etching of the resist underlayer film is preferably performed using a fluorine-based gas. Examples of the fluorine-based gas include tetrafluoromethane (CF 4 ), trifluoromethane (CHF 3 ), perfluorocyclobutane (C 4 F 8 ), and perfluoro Propane (C 3 F 8 ), trifluoromethane, difluoromethane (CH 2 F 2 ) and the like can be mentioned.
その後、パターン化されたフォトレジスト及び本発明のレジスト下層膜からなる膜を保護膜として有機下層膜の除去が行われる。有機下層膜(下層)は酸素系ガスによるドライエッチングによって行なわれることが好ましい。シリコン原子を多く含む本発明のレジスト下層膜は、酸素系ガスによるドライエッチングでは除去されにくいからである。 Thereafter, removal of the organic lower layer film is performed using a film made of the patterned photoresist and the resist lower layer film of the present invention as a protective film. The organic lower layer film (lower layer) is preferably performed by dry etching using an oxygen-based gas. This is because the resist underlayer film of the present invention containing a large amount of silicon atoms is difficult to remove by dry etching with an oxygen-based gas.
最後に、半導体基板の加工が行なわれる。半導体基板の加工はフッ素系ガスによるドライエッチングによって行なわれることが好ましい。 Finally, processing of the semiconductor substrate is performed. The processing of the semiconductor substrate is preferably performed by dry etching with a fluorine-based gas.
フッ素系ガスとしては、例えば、テトラフルオロメタン(CF4)、トリフルオロメタン(CHF)、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、及びジフルオロメタン(CH)等が挙げられる。 As the fluorine-based gas, for example, tetrafluoromethane (CF 4 ), trifluoromethane (CHF 3 ), perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, and difluoromethane ( CH 2 F 2 ) and the like.
また、本発明のレジスト下層膜の上層には、フォトレジストの形成前に有機系の反射防止膜を形成することができる。そこで使用される反射防止膜組成物としては特に制限はなく、これまでリソグラフィープロセスにおいて慣用されているものの中から任意に選択して使用することができ、また、慣用されている方法、例えば、スピナー、コーターによる塗布及び焼成によって反射防止膜の形成を行なうことができる。 In addition, on the upper layer of the resist underlayer film of the present invention, an organic antireflective film can be formed before the formation of the photoresist. There is no particular limitation on the antireflective film composition to be used there, and any one of those conventionally used in the lithography process can be optionally selected and used, and a commonly used method, for example, a spinner The antireflective film can be formed by coating with a coater and baking.
また、本発明のレジスト下層膜形成組成物が塗布される基板は、その表面にCVD法などで形成された有機系または無機系の反射防止膜を有するものであってもよく、その上に本発明の下層膜を形成することもできる。 In addition, the substrate to which the resist underlayer film forming composition of the present invention is applied may have an organic or inorganic antireflective film formed on the surface thereof by a CVD method or the like, The underlayer film of the invention can also be formed.
本発明のレジスト下層膜形成組成物より形成されるレジスト下層膜は、また、リソグラフィープロセスにおいて使用される光の波長によっては、その光に対する吸収を有することがある。そして、そのような場合には、基板からの反射光を防止する効果を有する反射防止膜として機能することができる。さらに、本発明の下層膜は、基板とフォトレジストとの相互作用の防止するための層、フォトレジストに用いられる材料又はフォトレジストへの露光時に生成する物質の基板への悪作用を防ぐ機能とを有する層、加熱焼成時に基板から生成する物質の上層フォトレジストへの拡散を防ぐ機能を有する層、及び半導体基板誘電体層によるフォトレジスト層のポイズニング効果を減少させるためのバリア層等として使用することも可能である。 The resist underlayer film formed from the resist underlayer film forming composition of the present invention may also have absorption for the light depending on the wavelength of light used in the lithography process. And in such a case, it can function as an anti-reflective film which has the effect of preventing the reflected light from a board | substrate. Furthermore, the underlayer film of the present invention has a layer for preventing interaction between the substrate and the photoresist, a material used for the photoresist, and a function to prevent adverse effects on the substrate of a substance generated upon exposure to the photoresist. Used as a barrier layer for reducing the poisoning effect of a photoresist layer by a dielectric layer of a semiconductor substrate, and a layer having a function of preventing the diffusion of a substance generated from a substrate to an upper layer photoresist during heating and firing It is also possible.
また、レジスト下層膜形成組成物より形成されるレジスト下層膜は、デュアルダマシンプロセスで用いられるビアホールが形成された基板に適用され、ホールを隙間なく充填することができる埋め込み材として使用できる。また、凹凸のある半導体基板の表面を平坦化するための平坦化材として使用することもできる。 In addition, a resist underlayer film formed from the resist underlayer film forming composition is applied to a substrate on which a via hole used in a dual damascene process is formed, and can be used as a filling material capable of filling holes without gaps. Moreover, it can also be used as a planarizing material for planarizing the surface of a semiconductor substrate with unevenness.
また、EUVレジストの下層膜としてはハードマスクとしての機能以外に以下の目的にも使用できる。EUVレジストとインターミキシングすることなく、EUV露光(波長13.5nm)に際して好ましくない露光光、例えば上述のUVやDUV(ArF光、KrF光)の基板又は界面からの反射を防止することができるEUVレジストの下層反射防止膜として、上記レジスト下層膜形成組成物を用いることができる。EUVレジストの下層で効率的に反射を防止することができる。EUVレジスト下層膜として用いた場合は、プロセスはフォトレジスト用下層膜と同様に行うことができる。 In addition to the function as a hard mask, the lower layer film of the EUV resist can also be used for the following purposes. Without intermixing with the EUV resist, it is possible to prevent the reflection of unwanted exposure light during EUV exposure (wavelength 13.5 nm), for example UV or DUV (ArF light, KrF light) mentioned above, from the substrate or interface The above resist underlayer film forming composition can be used as a lower layer antireflection film of a resist. Reflection can be efficiently prevented in the lower layer of the EUV resist. When used as an EUV resist underlayer film, the process can be performed in the same manner as the photoresist underlayer film.
本発明で得られたポリシロキサンを含む組成物が、リバース材として使用することができる。基板上にレジストを塗布する工程(1)、レジストを露光と現像する工程(2)、現像中又は現像後のレジストパターンに本発明で得られたポリシロキサンを含む組成物を塗布する工程(3)、レジストパターンをエッチング除去してパターンを反転させる工程(4)を含む半導体装置の製造方法である。 The composition containing the polysiloxane obtained by the present invention can be used as a reverse material. Step of applying a resist on a substrate (1) Step of exposing and developing a resist (2) Step of applying a composition containing a polysiloxane obtained in the present invention to a resist pattern during or after development (3) And the step (4) of removing the resist pattern by etching and inverting the pattern.
上記組成物は粗と密なレイアウトを有するレジストパターン上に被覆されるものである。 The above composition is to be coated on a resist pattern having a rough and dense layout.
上記組成物は被覆される前のレジストパターンが、ナノインプリントで形成されたものを用いることができる。 The composition may be used in which a resist pattern before coating is formed by nanoimprinting.
工程(1)に用いられるフォトレジストとしては上述のレジストを用いることができる。 The above-mentioned resist can be used as a photoresist used at a process (1).
レジスト溶液は塗布した後に焼成温度70~150℃で、焼成時間0.5~5分間行い、レジスト膜厚は10~1000nmの範囲で得られる。レジスト溶液や現像液や以下に示す塗布材料は、スピンコート、ディップ法、スプレー法等で被覆できるが、特にスピンコート法が好ましい。レジストの露光は所定のマスクを通して露光が行なわれる。露光には、KrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)及びEUV光(波長13.5nm)、電子線等を使用することができる。露光後、必要に応じて露光後加熱(PEB:Post Exposure Bake)を行なうこともできる。露光後加熱は、加熱温度70℃~150℃、加熱時間0.3~10分間から適宜、選択される。 After coating, the resist solution is baked at a baking temperature of 70 to 150 ° C. for a baking time of 0.5 to 5 minutes, and a resist film thickness of 10 to 1000 nm can be obtained. Although a resist solution, a developing solution, and the coating material shown below can be coat | covered by a spin coat, a dip method, a spray method etc., a spin coat method is especially preferable. The exposure of the resist is performed through a predetermined mask. For the exposure, a KrF excimer laser (wavelength 248 nm), an ArF excimer laser (wavelength 193 nm), EUV light (wavelength 13.5 nm), an electron beam or the like can be used. After exposure, post exposure baking (PEB: Post Exposure Bake) can also be performed if necessary. Post-exposure heating is appropriately selected from heating temperatures of 70 ° C. to 150 ° C. and heating times of 0.3 to 10 minutes.
工程(1)の前に、基板上にレジスト下層膜を形成する工程(1-1)を含むことができる。レジスト下層膜は反射防止や有機系のハードマスク機能を有するものである。 Before the step (1), the step (1-1) of forming a resist underlayer film on the substrate can be included. The resist underlayer film has anti-reflection and an organic hard mask function.
工程(1)のレジストの形成が、半導体基板上にレジスト下層膜が形成され、その上にレジストを形成する工程(1-1)を行うことができる。 In the formation of the resist in the step (1), a resist underlayer film can be formed on the semiconductor substrate, and the step (1-1) of forming a resist can be performed.
また、工程(1-1)が、半導体基板上にレジスト下層膜を形成し、その上にケイ素のハードマスクを形成し、その上にレジストを形成させることができる。 In addition, in the step (1-1), a resist underlayer film can be formed on a semiconductor substrate, a hard mask of silicon can be formed thereon, and a resist can be formed thereon.
上記工程(1-1)で用いられるレジスト下層膜は上層レジストの露光時の乱反射を防止するものであり、また、レジストとの密着性を向上する目的で用いるものであり、例えばアクリル系樹脂やノボラック系樹脂を用いることができる。レジスト下層膜は半導体基板上に膜厚1~1000nmの被膜を形成することができる。 The resist underlayer film used in the step (1-1) prevents irregular reflection during exposure of the upper layer resist, and is used for the purpose of improving the adhesion to the resist, for example, an acrylic resin or the like Novolak resins can be used. The resist underlayer film can form a film with a thickness of 1 to 1000 nm on a semiconductor substrate.
また上記工程(1-1)に用いられるレジスト下層膜は有機樹脂を用いたハードマスクであり、炭素含有量が高く水素含有量が低い材料が用いられる。例えばポリビニルナフタレン系樹脂、カルバゾールノボラック樹脂、フェノールノボラック樹脂、ナフトールノボラック樹脂等が挙げられる。これらは半導体基板上に膜厚5~1000nmで被膜を形成することができる。 The resist underlayer film used in the step (1-1) is a hard mask using an organic resin, and a material having a high carbon content and a low hydrogen content is used. For example, polyvinyl naphthalene resin, carbazole novolac resin, phenol novolac resin, naphthol novolac resin and the like can be mentioned. These can form a film with a film thickness of 5 to 1000 nm on a semiconductor substrate.
また上記工程(1-1)に用いられるケイ素のハードマスクとしては、加水分解性シランを加水分解して得られたポリシロキサンを用いることができる。例えば、テトラエトキシシラン、メチルトリメトキシシラン、及びフェニルトリエトキシシランを加水分解し得られるポリシロキサンを例示することができる。これらは上記レジスト下層膜の上に膜厚5~200nmで被膜を形成することができる。 As a silicon hard mask used in the step (1-1), polysiloxane obtained by hydrolyzing a hydrolyzable silane can be used. For example, tetraethoxysilane, methyltrimethoxysilane, and a polysiloxane obtained by hydrolyzing phenyltriethoxysilane can be exemplified. These can form a film with a film thickness of 5 to 200 nm on the resist underlayer film.
工程(2)において、所定のマスクを通して露光が行なわれる。露光には、KrFエキシマレーザー(波長248nm)、ArFエキシマレーザー(波長193nm)及びEUV(波長13.5nm)等を使用することができる。露光後、必要に応じて露光後加熱(post exposure bake)を行なうこともできる。露光後加熱は、加熱温度70℃~150℃、加熱時間0.3~10分間から適宜、選択された条件で行われる。 In step (2), exposure is performed through a predetermined mask. For the exposure, a KrF excimer laser (wavelength 248 nm), an ArF excimer laser (wavelength 193 nm), EUV (wavelength 13.5 nm) or the like can be used. After exposure, post exposure bake can also be performed if necessary. Post-exposure heating is performed under conditions appropriately selected from heating temperatures of 70 ° C. to 150 ° C. and heating times of 0.3 to 10 minutes.
次いで、現像液によって現像が行なわれる。これにより、例えばポジ型フォトレジストが使用された場合は、露光された部分のフォトレジストが除去され、フォトレジストのパターンが形成される。 Next, development is performed with a developer. Thus, for example, when a positive photoresist is used, the photoresist in the exposed portion is removed to form a photoresist pattern.
現像液としては、上述のアルカリ性現像液や有機溶剤の現像液を用いることができる。 As a developing solution, the developing solution of the above-mentioned alkaline developing solution and the organic solvent can be used.
さらに、現像液に界面活性剤などを加えることもできる。現像の条件としては、温度5~50℃、時間10~600秒から適宜選択される。 Furthermore, a surfactant or the like can be added to the developer. The conditions for development are suitably selected from a temperature of 5 to 50 ° C. and a time of 10 to 600 seconds.
工程(3)として、現像中又は現像後のレジストに本願の塗布組成物を塗布する。工程(3)において塗布組成物を加熱して形成することができる。加熱は焼成温度50~180℃で、0.5~5分間行われる。 In the step (3), the coating composition of the present invention is applied to the resist during or after development. The coating composition can be formed by heating in the step (3). The heating is performed at a baking temperature of 50 to 180 ° C. for 0.5 to 5 minutes.
そして、本願では工程(3)の後に塗膜表面をエッチバックしてレジストパターン表面を露出する工程(3-1)を含むことができる。これにより、後の工程(4)において、レジストパターン表面と塗布組成物の表面が一致し、レジストパターンと塗布組成物のガスエッチング速度の違いから、レジスト成分のみを除去し、塗布組成物による成分が残り、結果的にパターンの反転が生じる。エッチバックは塗布組成物が除去できるガス(例えばフッ素系ガス)によってレジストパターンの露出が行われる。 Then, in the present application, after the step (3), the step (3-1) of etching back the coated film surface to expose the resist pattern surface can be included. Thereby, in the subsequent step (4), the surface of the resist pattern matches the surface of the coating composition, and only the resist component is removed from the difference between the resist pattern and the gas etching rate of the coating composition, and the component by the coating composition Remain, resulting in pattern inversion. In the etch back, the resist pattern is exposed by a gas (for example, a fluorine-based gas) that can remove the coating composition.
工程(4)ではレジストパターンをエッチング除去してパターンを反転させる。工程(4)において、ドライエッチングはテトラフルオロメタン、パーフルオロシクロブタン(C8)、パーフルオロプロパン(C)、トリフルオロメタン、一酸化炭素、アルゴン、酸素、窒素、六フッ化硫黄、ジフルオロメタン、三フッ化窒素及び三フッ化塩素等のガスを用いて行われる。特に酸素系のガスによりドライエッチングが行われることが好ましい。 In the step (4), the resist pattern is etched away to invert the pattern. In step (4), dry etching is performed using tetrafluoromethane, perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, sulfur hexafluoride Gas such as difluoromethane, nitrogen trifluoride and chlorine trifluoride. In particular, dry etching is preferably performed using an oxygen-based gas.
これにより当初のレジストパターンを除去し、塗布組成物中に含まれていたパターン反転形成用ポリシロキサンによるリバースパターンが形成される。 As a result, the original resist pattern is removed, and a reverse pattern is formed by the pattern inversion forming polysiloxane contained in the coating composition.
(合成例1)
HTEOS:MTEOS=95モル%:5モル%から調製したポリシロキサンのシラノール基をメトキシ基でキャッピングする。
Synthesis Example 1
The silanol groups of the polysiloxane prepared from HTEOS: MTEOS = 95 mole%: 5 mole% are capped with methoxy groups.
トリエトキシシラン(HTEOS)49.28g(全シラン中に95モル%含有する)、メチルトリエトキシシラン(MTEOS)2.82g(全シラン中に5モル%含有する)及びアセトン104.20gをフラスコに入れた。酢酸8.53mg、水8.53g、アセトン104.20gの混合液を入れた滴下ロートをフラスコに取りつけ、30℃以下に保ちながらゆっくり滴下した。その後室温下、65時間反応させた。その後、2,2-ジメトキシプロパン(キャッピング剤)62.82gを加え、65℃で1時間反応させた。反応終了後、ジイソアミルエーテル77.30gを仕込み、エバポレーターにセットし、反応中生成した低沸点成分を除去し、ポリシロキサン溶液97.32gを得た(式(5-1)相当)。尚、得られた反応生成物中の固形分は、焼成法により測定した結果、18.2質量%であった。 49.28 g of triethoxysilane (HTEOS) (95 mol% in total silane), 2.82 g of methyltriethoxysilane (MTEOS) (5 mol% in total silane) and 104.20 g of acetone in a flask I put it in. A dropping funnel containing a mixed solution of 8.53 mg of acetic acid, 8.53 g of water, and 104.20 g of acetone was attached to the flask and slowly dropped while maintaining the temperature at 30 ° C. or less. Thereafter, the reaction was carried out at room temperature for 65 hours. Thereafter, 62.82 g of 2,2-dimethoxypropane (capping agent) was added, and the mixture was allowed to react at 65 ° C. for 1 hour. After completion of the reaction, 77.30 g of diisoamyl ether was charged and set in an evaporator to remove low-boiling components generated during the reaction to obtain 97.32 g of a polysiloxane solution (corresponding to the formula (5-1)). The solid content in the obtained reaction product was 18.2% by mass as a result of measurement by a firing method.
H-NMR(500MHz、CDCOCD):δ=6.901(br、0.08H)、4.337(br、1.06H)、3.880(br、0.09H)、3.280(br、0.38H)、1.095(br、0.11H)、0.264(br、0.15H)
*Si-Meの積分値を0.15Hと仮定
キャッピング率:
(メトキシ基+エトキシ基)/(メトキシ基+エトキシ基+SiOH基)×100=69.2モル%
1 H-NMR (500 MHz, CD 3 COCD 3 ): δ = 6.901 (br, 0.08 H), 4.337 (br, 1.06 H), 3.880 (br, 0.09 H), 280 (br, 0.38 H), 1.095 (br, 0.11 H), 0.264 (br, 0.15 H)
* Assuming that the integral value of Si-Me is 0.15 H: capping ratio:
(Methoxy group + ethoxy group) / (methoxy group + ethoxy group + SiOH group) × 100 = 69.2 mol%
キャッピング率の算定は、原料シランの未加水分解のエトキシ基(Si-OC)と、加水分解されたシラノール基をメトキシ基でキャッピング(Si-OCH)したので、メトキシ基とエトキシ基からキャッピング率を算定した。
GPC(ポリスチレン換算):Mw=7325
The capping rate was calculated by capping the unhydrolyzed ethoxy group (Si-OC 2 H 5 ) of the starting silane and the hydrolyzed silanol group with a methoxy group (Si-OCH 3 ), so methoxy and ethoxy groups The capping rate was calculated from
GPC (polystyrene conversion): Mw = 7325
その後、上記のようにして得られたポリシロキサンを下表の割合で希釈し、孔径0.1μmのフィルターでろ過してポリシロキサン組成物を得た。 Thereafter, the polysiloxane obtained as described above was diluted in the proportions in the following table, and filtered through a filter with a pore diameter of 0.1 μm to obtain a polysiloxane composition.
(合成例2)
HTEOS:MTEOS=95モル%:5モル%から調製したポリシロキサンのシラノール基をメトキシ基でキャッピングする。
(Composition example 2)
The silanol groups of the polysiloxane prepared from HTEOS: MTEOS = 95 mole%: 5 mole% are capped with methoxy groups.
トリエトキシシラン(HTEOS)32.86g(全シラン中に95モル%含有する)、メチルトリエトキシシラン(MTEOS)1.88g(全シラン中に5モル%含有する)及びアセトン46.31gをフラスコに入れた。酢酸5.68mg、水5.68g、アセトン34.73gの混合液を入れた滴下ロートをフラスコに取りつけ、30℃以下に保ちながらゆっくり滴下した。その後室温下、41時間反応させた。その後、2,2-ジメトキシプロパン(キャッピング剤)41.88gを加え、65℃で1時間反応させた。反応終了後、ジイソアミルエーテル60.66gを仕込み、エバポレーターにセットし、反応中生成した低沸点成分を除去し、ポリシロキサン溶液73.95gを得た(式(5-1)に相当)。尚、得られた反応生成物中の固形分は、焼成法により測定した結果、15.68質量%であった。 32.86 g of triethoxysilane (HTEOS) (95 mol% in total silane), 1.88 g of methyltriethoxysilane (MTEOS) (5 mol% in total silane) and 46.31 g of acetone in a flask I put it in. A dropping funnel containing a mixed solution of 5.68 mg of acetic acid, 5.68 g of water, and 34.73 g of acetone was attached to the flask and slowly dropped while maintaining the temperature at 30 ° C. or less. The reaction was then allowed to proceed for 41 hours at room temperature. Thereafter, 41.88 g of 2,2-dimethoxypropane (capping agent) was added and reacted at 65 ° C. for 1 hour. After completion of the reaction, 60.66 g of diisoamyl ether was charged and set in an evaporator to remove low-boiling components generated during the reaction to obtain 73.95 g of a polysiloxane solution (corresponding to the formula (5-1)). In addition, as a result of measuring solid content in the obtained reaction product by a baking method, it was 15.68 mass%.
H-NMR(500MHz、CDCOCD):δ=6.941(br、0.11H)、4.345(br、0.87H)、3.849(br、0.09H)、3.306(br、0.31H)、1.286(br、0.20H)、0.258(br、0.15H)
*Si-Meの積分値を0.15Hと仮定
キャッピング率:
(メトキシ基+エトキシ基)/(メトキシ基+エトキシ基+SiOH基)×100=56.9モル%
1 H-NMR (500 MHz, CD 3 COCD 3 ): δ = 6.941 (br, 0.11 H), 4.345 (br, 0.87 H), 3.849 (br, 0.09 H), 306 (br, 0.31 H), 1.286 (br, 0.20 H), 0.258 (br, 0.15 H)
* Assuming that the integral value of Si-Me is 0.15 H: capping ratio:
(Methoxy group + ethoxy group) / (methoxy group + ethoxy group + SiOH group) x 100 = 56.9 mol%
キャッピング率の算定は、原料シランの未加水分解のエトキシ基(Si-OC)と、加水分解されたシラノール基をメトキシ基でキャッピング(Si-OCH)したので、メトキシ基とエトキシ基からキャッピング率を算定した。
GPC(ポリスチレン換算):Mw=17251
The capping rate was calculated by capping the unhydrolyzed ethoxy group (Si-OC 2 H 5 ) of the starting silane and the hydrolyzed silanol group with a methoxy group (Si-OCH 3 ), so methoxy and ethoxy groups The capping rate was calculated from
GPC (polystyrene conversion): Mw = 17251
その後、上記のようにして得られたポリシロキサンを下表の割合で希釈し、孔径0.1μmのフィルターでろ過してポリシロキサン組成物を得た。 Thereafter, the polysiloxane obtained as described above was diluted in the proportions in the following table, and filtered through a filter with a pore diameter of 0.1 μm to obtain a polysiloxane composition.
(比較合成例1)
HTEOS:MTEOS=95モル%:5モル%から調製したポリシロキサン溶液の製造。
(Comparative Example 1)
Preparation of a polysiloxane solution prepared from HTEOS: MTEOS = 95 mol%: 5 mol%.
トリエトキシシラン(HTEOS)49.28g(全シラン中に95モル%含有する)、メチルトリエトキシシラン(MTEOS)2.82g(全シラン中に5モル%含有する)及びアセトン104.20gをフラスコに入れた。酢酸8.53mg、水8.53g、アセトン104.20gの混合液を入れた滴下ロートをフラスコに取りつけ、30℃以下に保ちながらゆっくり滴下した。その後室温下、65時間反応させた。反応終了後、ジイソアミルエーテル82.61gを仕込み、エバポレーターにセットし、反応中生成した低沸点成分を除去し、ポリシロキサン溶液102.17gを得た(式(5-1)に相当)。尚、得られた反応生成物中の固形分は、焼成法により測定した結果、17.66質量%であった。 49.28 g of triethoxysilane (HTEOS) (95 mol% in total silane), 2.82 g of methyltriethoxysilane (MTEOS) (5 mol% in total silane) and 104.20 g of acetone in a flask I put it in. A dropping funnel containing a mixed solution of 8.53 mg of acetic acid, 8.53 g of water, and 104.20 g of acetone was attached to the flask and slowly dropped while maintaining the temperature at 30 ° C. or less. Thereafter, the reaction was carried out at room temperature for 65 hours. After completion of the reaction, 82.61 g of diisoamyl ether was charged and set in an evaporator to remove low-boiling components generated during the reaction to obtain 102.17 g of a polysiloxane solution (corresponding to the formula (5-1)). In addition, as a result of measuring solid content in the obtained reaction product by a baking method, it was 17.66 mass%.
H-NMR(500MHz、CDCOCD):δ=6.849(br、0.19H)、4.355(br、0.98H)、3.848(br、0.02H)、1.217(br、0.05H)、0.262(br、0.15H)
*Si-Meの積分値を0.15Hと仮定
キャッピング率:
(メトキシ基+エトキシ基)/(メトキシ基+エトキシ基+SiOH基)×100=0.0モル%
GPC(ポリスチレン換算):Mw=8196
1 H-NMR (500 MHz, CD 3 COCD 3 ): δ = 6.849 (br, 0.19 H), 4.355 (br, 0.98 H), 3.848 (br, 0.02 H), 217 (br, 0.05 H), 0.262 (br, 0.15 H)
* Assuming that the integral value of Si-Me is 0.15 H: capping ratio:
(Methoxy group + ethoxy group) / (methoxy group + ethoxy group + SiOH group) x 100 = 0.0 mol%
GPC (polystyrene conversion): Mw = 8196
その後、上記のようにして得られたポリシロキサンを下表の割合で希釈し、孔径0.1μmのフィルターでろ過してポリシロキサン組成物を得た。 Thereafter, the polysiloxane obtained as described above was diluted in the proportions in the following table, and filtered through a filter with a pore diameter of 0.1 μm to obtain a polysiloxane composition.
(比較合成例2)
HTEOS:MTEOS=95モル%:5モル%から調製したポリシロキサン溶液の製造。
(Comparative Synthesis Example 2)
Preparation of a polysiloxane solution prepared from HTEOS: MTEOS = 95 mol%: 5 mol%.
トリエトキシシラン(HTEOS)32.86g(全シラン中に95モル%含有する)、メチルトリエトキシシラン(MTEOS)1.88g(全シラン中に5モル%含有する)及びアセトン46.31gをフラスコに入れた。酢酸5.68mg、水5.68g、アセトン34.73gの混合液を入れた滴下ロートをフラスコに取りつけ、30℃以下に保ちながらゆっくり滴下した。その後室温下、41時間反応させた。反応終了後、ジイソアミルエーテル58.07gを仕込み、エバポレーターにセットし、反応中生成した低沸点成分を除去し、ポリシロキサン溶液72.66gを得た(式(5-1)に相当)。尚、得られた反応生成物中の固形分は、焼成法により測定した結果、15.69質量%であった。 32.86 g of triethoxysilane (HTEOS) (95 mol% in total silane), 1.88 g of methyltriethoxysilane (MTEOS) (5 mol% in total silane) and 46.31 g of acetone in a flask I put it in. A dropping funnel containing a mixed solution of 5.68 mg of acetic acid, 5.68 g of water, and 34.73 g of acetone was attached to the flask and slowly dropped while maintaining the temperature at 30 ° C. or less. The reaction was then allowed to proceed for 41 hours at room temperature. After completion of the reaction, 58.07 g of diisoamyl ether was charged and set in an evaporator to remove low-boiling components generated during the reaction to obtain 72.66 g of a polysiloxane solution (corresponding to the formula (5-1)). In addition, as a result of measuring solid content in the obtained reaction product by a baking method, it was 15.69 mass%.
H-NMR(500MHz、CDCOCD):δ=6.925(br、0.23H)、4.320(br、0.88H)、0.254(br、0.15H)
*Si-Meの積分値を0.15Hと仮定
キャッピング率:
(メトキシ基+エトキシ基)/(メトキシ基+エトキシ基+SiOH基)×100=0.0モル%
GPC(ポリスチレン換算):Mw=20181
1 H-NMR (500 MHz, CD 3 COCD 3 ): δ = 6.925 (br, 0.23 H), 4.320 (br, 0.88 H), 0.254 (br, 0.15 H)
* Assuming that the integral value of Si-Me is 0.15 H: capping ratio:
(Methoxy group + ethoxy group) / (methoxy group + ethoxy group + SiOH group) x 100 = 0.0 mol%
GPC (polystyrene conversion): Mw = 20181
その後、上記のようにして得られたポリシロキサンを下表の割合で希釈し、孔径0.1μmのフィルターでろ過してポリシロキサン組成物を得た。 Thereafter, the polysiloxane obtained as described above was diluted in the proportions in the following table, and filtered through a filter with a pore diameter of 0.1 μm to obtain a polysiloxane composition.
(比較合成例3)
テトラエトキシシラン53.9g(全シラン中に50モル%含有する)、メチルトリエトキシシラン46.1g(全シラン中に50モル%含有する)及びアセトン100gをフラスコに入れた。このフラスコに、冷却管を取り付け調製しておいた塩酸水溶液(0.01モル/リットル) 32.6gを入れた滴下ロートをセットし、室温下で塩酸水溶液をゆっくり滴下し数分攪拌した。その後オイルバスにて85℃で4時間反応させた。反応終了後、反応溶液の入ったフラスコを放冷してからエバポレーターにセットし、反応中生成したエタノールを除去して反応生成物(ポリシロキサン)を得た(式(6-1)に相当)。さらに、エバポレーターを用いてアセトンをプロピレングリコールモノエチルエーテルに置換した。尚、得られた反応生成物中の固形分は、焼成法により測定した結果、13質量%であった。
GPC(ポリスチレン換算):Mw=3700
(Comparative synthesis example 3)
A flask was charged with 53.9 g of tetraethoxysilane (containing 50 mole% in total silane), 46.1 g of methyltriethoxysilane (containing 50 mole% in total silane) and 100 g of acetone. To the flask, a condenser was attached and a dropping funnel containing 32.6 g of a hydrochloric acid aqueous solution (0.01 mol / liter) prepared and set was set, and the hydrochloric acid aqueous solution was slowly dropped at room temperature and stirred for several minutes. Then, it was made to react at 85 ° C. for 4 hours in an oil bath. After completion of the reaction, the flask containing the reaction solution was allowed to cool and then set in an evaporator to remove ethanol generated during the reaction to obtain a reaction product (polysiloxane) (corresponding to the formula (6-1)) . Further, acetone was replaced with propylene glycol monoethyl ether using an evaporator. The solid content in the obtained reaction product was 13% by mass as a result of measurement by the firing method.
GPC (polystyrene conversion): Mw = 3700
その後、上記のようにして得られたポリシロキサンを下表の割合で希釈し、孔径0.1μmのフィルターでろ過してポリシロキサン組成物を得た。
Figure JPOXMLDOC01-appb-C000025
Thereafter, the polysiloxane obtained as described above was diluted in the proportions in the following table, and filtered through a filter with a pore diameter of 0.1 μm to obtain a polysiloxane composition.
Figure JPOXMLDOC01-appb-C000025
(ポリシロキサン組成物の調整)
上記合成例1と2、比較合成例1と2と3で得られたポリシロキサン、溶媒を表1および表2に示す割合で混合し、0.1μmのフッ素樹脂製のフィルターで濾過することによって、ポリシロキサン組成物の溶液をそれぞれ調製した。下記表中のポリマーの添加割合はポリマー溶液の添加量ではなく、ポリマー自体の添加量を示した。
(Preparation of polysiloxane composition)
The polysiloxanes obtained in the above Synthesis Examples 1 and 2 and Comparative Synthesis Examples 1 and 2 and 3 and the solvents are mixed in the proportions shown in Tables 1 and 2 and filtered through a 0.1 μm filter made of a fluororesin. And solutions of the polysiloxane composition were prepared. The addition ratio of the polymer in the following table indicates the addition amount of the polymer itself, not the addition amount of the polymer solution.
下記表中でジイソアミルエーテルはDIAE、硬化触媒としてマレイン酸はMA、硬化触媒としてN-(3-トリエトキシプロピル)-4,5-ジヒドロイミダゾールはIMIDTEOS、溶剤としてプロピレングリコールモノメチルエーテルアセテートはPGMEA、溶剤としてプロピレングリコールモノエチルエーテルはPGEE、溶剤としてプロピレングリコールモノメチルエーテルはPGMEと略した。各添加量は質量部で示した。 In the following table, diisoamyl ether is DIAE, maleic acid as curing catalyst is MA, N- (3-triethoxypropyl) -4,5-dihydroimidazole is curing IMIDTEOS as curing catalyst, propylene glycol monomethyl ether acetate is PGMEA as solvent, Propylene glycol monoethyl ether as a solvent is abbreviated as PGEE, and propylene glycol monomethyl ether as a solvent is abbreviated as PGME. Each addition amount was shown by the mass part.
〔表1〕
                表1
――――――――――――――――――――――――――――――――
           ポリマー        溶剤
―――――――――――――――――――――――――――――――― 
実施例1       合成例1             DIAE
(質量部)           15.00          85.00
実施例2       合成例2             DIAE
(質量部)           15.00           85.00
比較例1       比較合成例1          DIAE
(質量部)           15.00           85.00
比較例2       比較合成例2          DIAE
(質量部)           15.00          85.00
――――――――――――――――――――――――――――――――
[Table 1]
Table 1
―――――――――――――――――――――――――――――――――――
Polymer Solvent------------------------
Example 1 Synthesis Example 1 DIAE
(Parts by mass) 15.00 85.00
Example 2 Synthesis Example 2 DIAE
(Parts by mass) 15.00 85.00
Comparative Example 1 Comparative Synthesis Example 1 DIAE
(Parts by mass) 15.00 85.00
Comparative Example 2 Comparative Synthesis Example 2 DIAE
(Parts by mass) 15.00 85.00
―――――――――――――――――――――――――――――――――――
〔表2〕
                表2
――――――――――――――――――――――――――――――――――
      ポリマー  硬化触媒1 硬化触媒2     溶媒
――――――――――――――――――――――――――――――――――
比較例3 比較合成例3  MA     IMIDTEOS  PGME PGMEA PGEE  水
(質量部) 6.9        0.01       0.01    4.6  9.2   67.2   11.1
――――――――――――――――――――――――――――――――――
[Table 2]
Table 2
―――――――――――――――――――――――――――――――――――――
Polymer Curing catalyst 1 Curing catalyst 2 Solvent------------------------
Comparative Example 3 Comparative Synthesis Example 3 MA IMIDTEOS PGME PGMEA Water
(Parts by mass) 6.9 0.01 0.01 4.6 9.2 67.2 11.1
―――――――――――――――――――――――――――――――――――――
(ポリシロキサンの保存安定性)
上記合成例1と2のキャッピングポリシロキサン溶液と、比較合成例1と2のポリシロキサン溶液を40℃、-20℃で保存し、GPCにてMw(重量平均分子量)を比較した。その評価結果を表3、表4に示す。
(Storage stability of polysiloxane)
The capping polysiloxane solutions of Synthesis Examples 1 and 2 and the polysiloxane solutions of Comparative Synthesis Examples 1 and 2 were stored at 40 ° C. and −20 ° C., and Mw (weight-average molecular weight) was compared by GPC. The evaluation results are shown in Tables 3 and 4.
〔表3〕
                 表3
――――――――――――――――――――――――――――――――――
       実施例 1   実施例 1   実施例 2   実施例 2   
――――――――――――――――――――――――――――――――――
ポリマー  合成例 1   合成例 1   合成例 2    合成例 2   
温度   -20℃      40℃    -20℃       40℃    
時間    1週間       1週間     1週間       1週間   
――――――――――――――――――――――――――――――――――
Mw    8596     18938     18314     22032
――――――――――――――――――――――――――――――――――
[Table 3]
Table 3
―――――――――――――――――――――――――――――――――――――
Example 1 Example 1 Example 2 Example 2
―――――――――――――――――――――――――――――――――――――
Polymer Synthesis Example 1 Synthesis Example 1 Synthesis Example 2 Synthesis Example 2
Temperature -20 ° C 40 ° C -20 ° C 40 ° C
Time 1 week 1 week 1 week 1 week
―――――――――――――――――――――――――――――――――――――
Mw 8596 18938 18314 22032
―――――――――――――――――――――――――――――――――――――
〔表4〕
               表4
――――――――――――――――――――――――――――――――――
      比較例 1   比較例 1   比較例 2   比較例 2
――――――――――――――――――――――――――――――――――
ポリマー 比較合成例 1 比較合成例 1 比較合成例 2  比較合成例 2
温度   -20℃     40℃    -20℃      40℃    
時間   1週間       1週間     1週間        1週間   
――――――――――――――――――――――――――――――――――
Mw  33313      ゲル化     103127     ゲル化
――――――――――――――――――――――――――――――――――
[Table 4]
Table 4
―――――――――――――――――――――――――――――――――――――
Comparative Example 1 Comparative Example 1 Comparative Example 2 Comparative Example 2
―――――――――――――――――――――――――――――――――――――
Polymer Comparative Synthesis Example 1 Comparative Synthesis Example 1 Comparative Synthesis Example 2 Comparative Synthesis Example 2
Temperature -20 ° C 40 ° C -20 ° C 40 ° C
Time 1 week 1 week 1 week 1 week
―――――――――――――――――――――――――――――――――――――
Mw 33313 Gelation 103127 Gelation----------------------------
上記表に示すようにシラノール基を保護したポリシロキサン溶液は、シラノール基が未保護のポリシロキサン溶液と比較して、Mw(重量平均分子量)の増大が少なく、ゲル化が起こりにくいため、保存安定性に優れていることが分かる。 As shown in the above table, the polysiloxane solution in which the silanol group is protected has a small increase in Mw (weight average molecular weight) compared to the polysiloxane solution in which the silanol group is unprotected, and gelation hardly occurs, so storage stability It is understood that it is excellent in sex.
(Si基板上での平坦化性評価)
実施例1と2、比較例1と2におけるポリシロキサン組成物について、下記のように平坦化性評価を行った。その評価結果を表5に示す。
(Evaluation of flatness on Si substrate)
With respect to the polysiloxane compositions in Examples 1 and 2 and Comparative Examples 1 and 2, the flatness evaluation was performed as follows. The evaluation results are shown in Table 5.
溝の深さ200nm、幅800nmの段差基板上に、スピンコーターを用いて、回転数1500rpm、60秒間の条件にて、実施例1のポリシロキサン組成物を塗布し、その後100℃で1分間ベークした。同様に、実施例2、比較例1、2のポリシロキサン組成物を塗布し、ホットプレート上で1分間、100℃で加熱し、ポリシロキサン組成物膜(膜厚180nmに調製)を作成した。次いで、得られたポリシロキサン組成物膜について、断面SEMにより断面の形状を観察し、平坦化性を評価した。深さ200nm、幅800nmの溝パターンを観察し、溝底部を基準として最も膜厚の低い箇所と最も膜厚が高い箇所の膜厚を測定し、膜厚差を算出し、膜厚差が少ないものほど平坦化性が良好と評価した。 The polysiloxane composition of Example 1 is applied on a stepped substrate having a groove depth of 200 nm and a width of 800 nm using a spin coater under the conditions of a rotation number of 1,500 rpm for 60 seconds, and then baked at 100 ° C. for 1 minute did. Similarly, the polysiloxane compositions of Example 2 and Comparative Examples 1 and 2 were applied, and heated at 100 ° C. for 1 minute on a hot plate to form a polysiloxane composition film (prepared to have a film thickness of 180 nm). Next, with respect to the obtained polysiloxane composition film, the shape of the cross section was observed by a cross section SEM to evaluate the flatness. Observe the groove pattern with a depth of 200 nm and a width of 800 nm, measure the film thickness at the area with the lowest film thickness and the area with the highest film thickness with reference to the groove bottom, calculate the film thickness difference, and reduce the film thickness difference Flatness was evaluated to be good as it was.
〔表5〕
         表5
――――――――――――――――――――
         膜厚差
――――――――――――――――――――
実施例1   35.8nm
実施例2   57.5nm
比較例1   89.3nm
比較例2   99.2nm
――――――――――――――――――――
[Table 5]
Table 5
――――――――――――――――――――――――
Thickness difference---------------
Example 1 35.8 nm
Example 2 57.5 nm
Comparative Example 1 89.3 nm
Comparative Example 2 99.2 nm
――――――――――――――――――――――――
上記表に示すように、本発明の組成物を用いて形成したシロキサン塗布膜は比較例に対して、良好な平坦化性を示した。 As shown in the above-mentioned table, the siloxane coating film formed using the composition of the present invention showed a good planarization property to the comparative example.
(ドライエッチング速度の評価)
実施例1と2、比較例1と2のポリシロキサンを含む組成物の溶液をウェハ上にスピンコーターを用いて、回転数1500rpm、60秒間の条件にて塗布し、その後100℃で1分間ベークし、ポリシロキサン組成物の膜(膜厚180nmに調製)を形成した。
(Evaluation of dry etching rate)
A solution of a composition containing the polysiloxane of Examples 1 and 2 and Comparative Examples 1 and 2 is coated on a wafer using a spin coater under the conditions of a rotation number of 1500 rpm for 60 seconds, and then baked at 100 ° C. for 1 minute To form a film of polysiloxane composition (prepared to a film thickness of 180 nm).
これらの塗膜のドライエッチングを行い、塩素ガスのエッチングレート(エッチング速度:nm/分)を測定し、結果を表6に示した。 The dry etching of these coatings was performed, the etching rate of chlorine gas (etching rate: nm / min) was measured, and the results are shown in Table 6.
なお、ドライエッチング速度の測定にエッチャーはLAM-2300(ラムリサーチ社製)を用いた。 The etcher used was LAM-2300 (manufactured by Ram Research Inc.) for the measurement of the dry etching rate.
〔表6〕
          表6
―――――――――――――――――――――――
      塩素ガスによるエッチング速度
―――――――――――――――――――――――
実施例1     4.9
実施例2     5.6
比較例1     3.6
比較例2     4.0
―――――――――――――――――――――――
[Table 6]
Table 6
―――――――――――――――――――――――――――
Chlorine gas etching rate--------------
Example 1 4.9
Example 2 5.6
Comparative Example 1 3.6
Comparative Example 2 4.0
―――――――――――――――――――――――――――
上記表に示すように、本発明の組成物を用いて形成したシロキサン塗布膜は比較例と同程度の良好なエッチング耐性を示した。これは被覆組成物、又はレジスト組成物として使用した時に、形成されたパターンを用いて基盤を加工するときに良好なエッチング耐性を示すものである。 As shown in the above-mentioned table, the siloxane coating film formed using the composition of the present invention showed a good etching resistance similar to that of the comparative example. This shows good etching resistance when processing a base using the formed pattern, when it is used as a coating composition or a resist composition.
(電気絶縁性評価)
実施例1のポリシロキサンを含む組成物の溶液をウェハ上にスピンコーターを用いて、回転数1000rpm、60秒間の条件にて塗布し、その後110℃で1分間ベークし、ポリシロキサン組成物膜を形成した。同様に、比較例3の溶液を回転数1000rpm、60秒間の条件にて塗布し、その後205℃で1分間ベークし、ポリシロキサン組成物膜を形成した。得られた樹脂膜の電気絶縁性について、水銀プローバー(Four Dimensions社製、CVmap92A)による1MV/cm、3MV/cmの電界強度を樹脂膜に与えた際のリーク電流密度A/cmを測定した。結果を表7に示した。
(Electrical insulation evaluation)
A solution of the composition containing the polysiloxane of Example 1 is coated on a wafer using a spin coater at a rotational speed of 1000 rpm for 60 seconds, and then baked at 110 ° C. for 1 minute to form a polysiloxane composition film It formed. Similarly, the solution of Comparative Example 3 was applied at a rotational speed of 1000 rpm for 60 seconds, and then baked at 205 ° C. for 1 minute to form a polysiloxane composition film. Regarding the electrical insulation of the obtained resin film, the leak current density A / cm 2 was measured when an electric field strength of 1 MV / cm and 3 MV / cm was applied to the resin film by a mercury prober (CVmap 92A manufactured by Four Dimensions). . The results are shown in Table 7.
〔表7〕
               表7
――――――――――――――――――――――――――――――――――
        膜厚    1MV/cm       3MV/cm
――――――――――――――――――――――――――――――――――
実施例1  273nm   3.78E-09    2.03E-07
比較例3  177nm    2.63E-07    6.02E-06
――――――――――――――――――――――――――――――――――
[Table 7]
Table 7
―――――――――――――――――――――――――――――――――――――
Film thickness 1MV / cm 3MV / cm
―――――――――――――――――――――――――――――――――――――
Example 1 273 nm 3.78E-09 2.03E-07
Comparative Example 3 177 nm 2.63E-07 6.02E-06
―――――――――――――――――――――――――――――――――――――
上記表のように、本発明の組成物を用いて形成したシロキサン塗布膜は優れた絶縁性を示した。 As shown in the above table, the siloxane coating film formed using the composition of the present invention showed excellent insulation.
(耐熱性評価)
実施例1のポリシロキサンを含む組成物の溶液をウェハ上にスピンコーターを用いて、回転数1500rpm、60秒間の条件にて塗布し、その後110℃で1分間ベークし、ポリシロキサン組成物膜を形成した。得られた樹脂膜をスクレイパーにて削り取り、得られたサンプルの耐熱性をTG-DTA(NETZSCH社製、TG/DTA2010SR)にて測定した。ガスは窒素を使用し、試料を500℃まで10℃/分で昇温し、続けて、500℃で1時間保持し、重量減少を評価した。測定結果を表8に示した。
(Heat resistance evaluation)
A solution of the composition containing the polysiloxane of Example 1 is coated on a wafer using a spin coater at a rotational speed of 1500 rpm for 60 seconds, and then baked at 110 ° C. for 1 minute to form a polysiloxane composition film. It formed. The obtained resin film was scraped off with a scraper, and the heat resistance of the obtained sample was measured by TG-DTA (TG / DTA2010SR manufactured by NETZSCH). The gas was nitrogen and the sample was ramped up to 500 ° C. at 10 ° C./min and subsequently held at 500 ° C. for 1 hour to assess weight loss. The measurement results are shown in Table 8.
〔表8〕
               表8
――――――――――――――――――――――――――――――――――
       室温から500℃       500℃で1時間保持
        までの重量減少        した時の重量減少
――――――――――――――――――――――――――――――――――
実施例1      -4.8%           0.4%
比較例3       7.2%            1.6%
――――――――――――――――――――――――――――――――――
[Table 8]
Table 8
―――――――――――――――――――――――――――――――――――――
The weight loss when the weight is reduced to 1 hour at room temperature from 500 ° C to 500 ° C-------------------- ―――――
Example 1 -4.8% 0.4%
Comparative example 3 7.2% 1.6%
―――――――――――――――――――――――――――――――――――――
上記表のように、本発明の組成物を用いて形成したシロキサン塗布膜は500℃での重量減少が小さく、優れた耐熱性を示した。 As shown in the above table, the siloxane coated film formed using the composition of the present invention showed a small weight loss at 500 ° C. and showed excellent heat resistance.
高い耐熱性、絶縁性、耐エッチング性を有する水素化ポリシロキサンを、保存安定性を向上させた製造方法であり、保存安定性が向上することにより塗布膜として平坦化性も向上させた水素化ポリシロキサン組成部である。 A hydrogenated polysiloxane having high heat resistance, insulation, and etching resistance, which is improved in storage stability, and improved in storage stability so as to improve flatness as a coating film. It is a polysiloxane composition part.

Claims (9)

  1. ポリシロキサンのシラノール基をキャッピングするための化合物であって、式(1):
    Figure JPOXMLDOC01-appb-C000001
    (式(1)中、Rはアルキル基、又はアリール基を示し、Rはアルコキシ基を示し、aは1~2の整数を示す。)で表される構造を有する上記化合物。
    A compound for capping silanol groups of polysiloxane, which is a compound of the formula (1):
    Figure JPOXMLDOC01-appb-C000001
    The above compound having a structure represented by (In the formula (1), R 1 represents an alkyl group or an aryl group, R 2 represents an alkoxy group, and a represents an integer of 1 to 2).
  2. 加水分解性シランの加水分解縮合物を得る第1工程と、請求項1に記載の式(1)で表される化合物を用いて該加水分解縮合物中のシラノール基をキャッピングする第2工程を含むポリシロキサンの製造方法。 A first step of obtaining a hydrolytic condensate of a hydrolyzable silane, and a second step of capping a silanol group in the hydrolytic condensate using the compound represented by the formula (1) according to claim 1 Method of producing polysiloxane containing.
  3. 第1工程に用いられる加水分解性シランは下記式(2):
    Figure JPOXMLDOC01-appb-C000002
    (式(2)中、Rは水素原子を示し、且つSi-H結合によりケイ素原子と結合しているものを示し、Rはアルコキシ基、アシルオキシ基、又はハロゲン原子を示し、bは1~2の整数を示す。)で表される加水分解性シランを含む請求項2に記載のポリシロキサンの製造方法。
    The hydrolyzable silane used in the first step has the following formula (2):
    Figure JPOXMLDOC01-appb-C000002
    (In the formula (2), R 3 represents a hydrogen atom and represents a bond to a silicon atom through a Si—H bond, R 4 represents an alkoxy group, an acyloxy group or a halogen atom, b is 1 The method for producing a polysiloxane according to claim 2, which comprises a hydrolyzable silane represented by the following formula:
  4. 第1工程に用いられる加水分解性シランが、上記式(2)で表される加水分解性シランとその他の加水分解性シランの組み合わせであり、その他の加水分解性シランが式(3):
    Figure JPOXMLDOC01-appb-C000003
    (式(3)中、Rはアルキル基、アリール基、ハロゲン化アルキル基、ハロゲン化アリール基、アルコキシアリール基、アルケニル基、又はエポキシ基、アクリロイル基、メタクリロイル基、メルカプト基、もしくはシアノ基を有する有機基を示し、且つSi-C結合によりケイ素原子と結合しているものを示し、Rはアルコキシ基、アシルオキシ基、又はハロゲン原子を示し、cは1~2の整数を示す。)で表される加水分解性シラン、及び
    式(4):
    Figure JPOXMLDOC01-appb-C000004
    (式(4)中、Rはアルキル基で且つSi-C結合によりケイ素原子と結合しているものを示し、Rはアルコキシ基、アシルオキシ基、又はハロゲン原子を示し、Yはアルキレン基又はアリーレン基を示し、dは0又は1の整数を示し、eは0又は1の整数を示す。)で表される加水分解性シランからなる群より選ばれた少なくとも1種の加水分解性シランであり、
    上記式(2)で表される加水分解性シランとその他の加水分解性シランが100:0~90:10のモル比で存在する請求項2又は請求項3に記載のポリシロキサンの製造方法。
    The hydrolyzable silane used in the first step is a combination of the hydrolyzable silane represented by the above formula (2) and another hydrolyzable silane, and the other hydrolyzable silanes are represented by the formula (3):
    Figure JPOXMLDOC01-appb-C000003
    (In formula (3), R 5 represents an alkyl group, an aryl group, a halogenated alkyl group, a halogenated aryl group, an alkoxyaryl group, an alkenyl group, or an epoxy group, an acryloyl group, a methacryloyl group, a mercapto group or a cyano group R 6 represents an alkoxy group, an acyloxy group, or a halogen atom, and c represents an integer of 1 to 2). Hydrolyzable silanes represented, and Formula (4):
    Figure JPOXMLDOC01-appb-C000004
    (In the formula (4), R 7 represents an alkyl group and is bonded to a silicon atom by a Si—C bond, R 8 represents an alkoxy group, an acyloxy group or a halogen atom, and Y represents an alkylene group or And at least one hydrolyzable silane selected from the group consisting of hydrolyzable silanes represented by arylene group, d represents an integer of 0 or 1, and e represents an integer of 0 or 1. Yes,
    The method for producing a polysiloxane according to claim 2 or 3, wherein the hydrolyzable silane represented by the above formula (2) and the other hydrolyzable silane are present in a molar ratio of 100: 0 to 90:10.
  5. 第1工程において式(2)で表される加水分解性シラン又は式(2)で表される加水分解性シラン及びその他の加水分解性シランを酸触媒で加水分解し、加水分解縮合物を得る請求項2乃至請求項4のいずれか1項に記載のポリシロキサンの製造方法。 In the first step, the hydrolyzable silane represented by the formula (2) or the hydrolyzable silane represented by the formula (2) and other hydrolysable silanes are hydrolyzed with an acid to obtain a hydrolytic condensate The manufacturing method of the polysiloxane of any one of Claim 2 thru | or 4.
  6. 第1工程において式(2)で表される加水分解性シラン又は式(2)で表される加水分解性シラン及びその他の加水分解性シランを加水分解して加水分解縮合物を得、第2工程において酸触媒下に該加水分解縮合物中のシラノール基を請求項1に記載の式(1)の化合物によりキャッピングする請求項2乃至請求項5のいずれか1項に記載の製造方法。 In the first step, the hydrolyzable silane represented by the formula (2) or the hydrolyzable silane represented by the formula (2) and other hydrolyzable silanes are hydrolyzed to obtain a hydrolytic condensate; The method according to any one of claims 2 to 5, wherein the silanol group in the hydrolytic condensate is capped with the compound of the formula (1) according to claim 1 under an acid catalyst in the step.
  7. 第1工程において式(2)で表される加水分解性シラン又は式(2)で表される加水分解性シラン及びその他の加水分解性シランを酸で加水分解し、その加水分解物を縮合して加水分解縮合物の溶液を得、第2工程において該加水分解縮合物の溶液中に残存する酸を触媒にして該加水分解縮合物中のシラノール基を請求項1に記載の式(1)の化合物によりキャッピングする請求項2乃至請求項5のいずれか1項に記載の製造方法。 In the first step, the hydrolyzable silane represented by the formula (2) or the hydrolyzable silane represented by the formula (2) and other hydrolyzable silanes are hydrolyzed with an acid, and the hydrolyzate is condensed. A solution of hydrolytic condensate is obtained, and the silanol group in the hydrolytic condensate is catalyzed by the acid remaining in the solution of the hydrolytic condensate in the second step as formula (1) according to claim 1. The method according to any one of claims 2 to 5, wherein the compound is capped with a compound of
  8. 上記ポリシロキサンが、段差を含む基板の平坦化に用いられる平坦化膜を形成するための組成物に用いられるポリシロキサンである請求項2乃至請求項7のいずれか1項に記載のポリシロキサンの製造方法。 The polysiloxane according to any one of claims 2 to 7, wherein the polysiloxane is a polysiloxane used for a composition for forming a planarizing film used for planarizing a substrate including a level difference. Production method.
  9. 上記ポリシロキサンが、多層レジスト法によるリソグラフィー工程でレジストと有機膜の間のハードマスクとして用いられる中間膜を形成するための組成物に用いられるポリシロキサンである請求項2乃至請求項7のいずれか1項に記載のポリシロキサンの製造方法。 8. The polysiloxane according to any one of claims 2 to 7, wherein the polysiloxane is a composition for forming an intermediate film used as a hard mask between a resist and an organic film in a lithography process by a multilayer resist method. The manufacturing method of the polysiloxane of 1 item.
PCT/JP2018/035398 2017-09-25 2018-09-25 Method for producing polysiloxane having excellent storage stability WO2019059398A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2019543139A JP7222353B2 (en) 2017-09-25 2018-09-25 Method for producing polysiloxane with excellent storage stability
JP2022186866A JP7464915B2 (en) 2017-09-25 2022-11-22 Method for producing polysiloxane with excellent storage stability

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2017183674 2017-09-25
JP2017-183674 2017-09-25

Publications (1)

Publication Number Publication Date
WO2019059398A1 true WO2019059398A1 (en) 2019-03-28

Family

ID=65811453

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2018/035398 WO2019059398A1 (en) 2017-09-25 2018-09-25 Method for producing polysiloxane having excellent storage stability

Country Status (3)

Country Link
JP (2) JP7222353B2 (en)
TW (1) TW201930405A (en)
WO (1) WO2019059398A1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6076534A (en) * 1983-10-04 1985-05-01 Toshiba Silicone Co Ltd Production of alkoxyl group-containing polyorganosiloxane
JPS6076535A (en) * 1983-10-04 1985-05-01 Toshiba Silicone Co Ltd Method for masking silanol group in polyorganosiloxane
JP2007164148A (en) * 2005-11-21 2007-06-28 Shin Etsu Chem Co Ltd Silicon-containing film forming composition for etching mask, silicon-containing film for etching mask, and substrate processing intermediate and processed substrate processing method using the same
WO2017043344A1 (en) * 2015-09-09 2017-03-16 日産化学工業株式会社 Silicon-containing planarizing pattern-reversal coating agent

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009054071A1 (en) 2009-11-20 2011-05-26 Basf Coatings Gmbh Coating agent with good storage stability and coatings made from it with high scratch resistance combined with good weather resistance

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6076534A (en) * 1983-10-04 1985-05-01 Toshiba Silicone Co Ltd Production of alkoxyl group-containing polyorganosiloxane
JPS6076535A (en) * 1983-10-04 1985-05-01 Toshiba Silicone Co Ltd Method for masking silanol group in polyorganosiloxane
JP2007164148A (en) * 2005-11-21 2007-06-28 Shin Etsu Chem Co Ltd Silicon-containing film forming composition for etching mask, silicon-containing film for etching mask, and substrate processing intermediate and processed substrate processing method using the same
WO2017043344A1 (en) * 2015-09-09 2017-03-16 日産化学工業株式会社 Silicon-containing planarizing pattern-reversal coating agent

Also Published As

Publication number Publication date
JP7222353B2 (en) 2023-02-15
JPWO2019059398A1 (en) 2020-11-05
JP2023027108A (en) 2023-03-01
JP7464915B2 (en) 2024-04-10
TW201930405A (en) 2019-08-01

Similar Documents

Publication Publication Date Title
JP5534230B2 (en) Silicon-containing resist underlayer film forming composition having an anionic group
JP5534250B2 (en) Silicon-containing resist underlayer film forming composition having sulfonamide group
JP5360416B2 (en) Silicon-containing resist underlayer film forming composition having urea group
US10845703B2 (en) Film-forming composition containing silicone having crosslinking reactivity
JP5618095B2 (en) Silicon-containing resist underlayer film forming composition having sulfide bond
US11815815B2 (en) Composition for forming silicon-containing resist underlayer film removable by wet process
JP6540971B2 (en) Coating composition for pattern inversion on SOC patterns
JP5590354B2 (en) Silicon-containing resist underlayer film forming composition containing amic acid
KR102462194B1 (en) Resist underlayer film forming composition for lithography containing hydrolyzable silane having halogen-containing carboxylic acid amide group
JP7208590B2 (en) Composition for forming silicon-containing resist underlayer film having carbonyl structure
JP6754098B2 (en) A resist underlayer film forming composition for lithography containing a hydrolyzable silane having a carbonate skeleton.
WO2016009965A1 (en) Composition for forming resist underlayer including silicon and having organic group containing aliphatic polycyclic structure
WO2014069329A1 (en) Ester-group-containing composition for forming silicon-containing resist underlayer film
JP2019135238A (en) Silane compound having phenyl group-containing chromophore
WO2016009939A1 (en) Silicon-containing resist underlayer film forming composition having halogenated sulfonylalkyl group
WO2018066515A1 (en) Coating composition for pattern inversion
JP2023175872A (en) Silicon-containing resist underlayer film-forming composition which contains protected phenolic group and nitric acid
JP7464915B2 (en) Method for producing polysiloxane with excellent storage stability

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18858491

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2019543139

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 18858491

Country of ref document: EP

Kind code of ref document: A1