WO2018215188A1 - Assist feature placement based on machine learning - Google Patents

Assist feature placement based on machine learning Download PDF

Info

Publication number
WO2018215188A1
WO2018215188A1 PCT/EP2018/061488 EP2018061488W WO2018215188A1 WO 2018215188 A1 WO2018215188 A1 WO 2018215188A1 EP 2018061488 W EP2018061488 W EP 2018061488W WO 2018215188 A1 WO2018215188 A1 WO 2018215188A1
Authority
WO
WIPO (PCT)
Prior art keywords
characteristic
image
machine learning
assist
design layout
Prior art date
Application number
PCT/EP2018/061488
Other languages
English (en)
French (fr)
Inventor
Jing Su
Yi Zou
Chenxi Lin
Yu Cao
Yen-Wen Lu
Been-Der Chen
Quan Zhang
Stanislas Hugo Louis BARON
Ya LUO
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Priority to KR1020237006802A priority Critical patent/KR20230035145A/ko
Priority to KR1020217030676A priority patent/KR20210119578A/ko
Priority to US16/606,791 priority patent/US20200050099A1/en
Priority to CN201880034754.5A priority patent/CN110692017A/zh
Priority to KR1020197038130A priority patent/KR20200010496A/ko
Publication of WO2018215188A1 publication Critical patent/WO2018215188A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/4097Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by using design data to control NC machines, e.g. CAD/CAM
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/10Machine learning using kernel methods, e.g. support vector machines [SVM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/60Analysis of geometric attributes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Definitions

  • the description herein relates to lithographic apparatuses and processes, and more particularly to a tool and a method to place assist features into a design layout.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs) or other devices.
  • a patterning device e.g., a mask
  • a substrate e.g., silicon wafer
  • resist a layer of radiation-sensitive material
  • a single substrate contains a plurality of adjacent target portions to which the pattern is transferred successively by the lithographic apparatus, one target portion at a time.
  • the pattern on the entire patterning device is transferred onto one target portion in one go; such an apparatus is commonly referred to as a stepper.
  • a projection beam scans over the patterning device in a given reference direction (the "scanning" direction) while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred to one target portion progressively. Since, in general, the lithographic apparatus will have a magnification factor M (generally ⁇ 1), the speed F at which the substrate is moved will be a factor M times that at which the projection beam scans the patterning device.
  • M magnification factor
  • the substrate Prior to the device fabrication procedure of transferring the pattern from the patterning device to the substrate of the device manufacturing process, the substrate may undergo various device fabrication procedures of the device manufacturing process, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other device fabrication procedures of the device
  • a post-exposure bake PEB
  • This array of device fabrication procedures is used as a basis to make an individual layer of a device, e.g., an IC.
  • the substrate may then undergo various device fabrication procedures of the device manufacturing process such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off the individual layer of the device. If several layers are required in the device, then the whole process, or a variant thereof, is repeated for each layer. Eventually, a device will be present in each target portion on the substrate. If there is a plurality of devices, these devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc.
  • a technique such as dicing or sawing
  • manufacturing devices typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices.
  • a substrate e.g., a semiconductor wafer
  • Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation.
  • Multiple devices may be fabricated on a plurality of dies on a substrate and then separated into individual devices. This device manufacturing process may be considered a patterning process.
  • a patterning process involves a patterning step, such as optical or nanoimprint lithography using a lithographic apparatus, to provide a pattern on a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc. Further, one or more metrology processes are typically involved in the patterning process.
  • a patterning step such as optical or nanoimprint lithography using a lithographic apparatus
  • one or more related pattern processing steps such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • one or more metrology processes are typically involved in the patterning process.
  • a method comprising: obtaining a portion of a design layout; determining characteristics of assist features based on the portion or characteristics of the portion; training, using a computer, a machine learning model using training data comprising a sample whose feature vector comprises the characteristics of the portion and whose label comprises the characteristics of the assist features.
  • the design layout is a binary design layout or a continuous tone design layout.
  • the characteristics of the portion comprise geometrical characteristics of patterns in the portion, statistical characteristics of the patterns in the portion, parameterization of the portion, or an image derived from the portion.
  • the parameterization of the portion is a projection of the portion on one or more basis functions.
  • the image is a pixelated image, a binary image or a continuous tone image.
  • the characteristics of the assist features comprise geometrical characteristics of the assist features, statistical characteristics of the assist features, or parameterization of the assist features.
  • the image is a pixelated image of the portion wherein the pixelated image is with respect to a reference aligned with a feature of the portion
  • Disclosed herein is a method comprising: obtaining a portion of a design layout or characteristics of the portion; using a computer, obtaining characteristics of assist features for the portion, using a machine learning model, based on the portion or the characteristics of the portion.
  • the characteristics of the portion comprises geometrical characteristics of patterns in the portion, statistical characteristics of patterns in the portion,
  • the parameterization of the portion is a projection of the portion on one or more basis functions.
  • the image is a pixelated image, a binary image or a continuous tone image.
  • the image is an image pixelated using an edge of a pattern in the portion as a reference.
  • the characteristics of the assist features comprise geometrical characteristics of the assist features, statistical characteristics of the assist features, or parameterization of the assist features.
  • the method further comprises patterning a substrate using the portion of the design layout and the assist features, in a lithographic process.
  • the method further comprises using the characteristics of assist features as an initial condition for an optimizer or a resolution enhancement technique.
  • the method further comprises computing a confidence metric that indicates trustworthiness of the characteristics of the assist features.
  • the characteristics comprise a binary image of the assist features and the confidence metric indicates a probability for either tones of the binary image.
  • the machine learning model is probabilistic and the confidence metric comprises a probability distribution over a set of classes.
  • the confidence metric represents a similarity between the portion of the design layout and training data used to train the machine learning model.
  • the method when the confidence metric fails to satisfy a condition, the method further comprises retraining the machine learning model using training data comprising the characteristics of the portion.
  • the method when the confidence metric fails to satisfy a condition, the method further comprises determining the assist features by a method not using the machine learning model.
  • the confidence metric is computed based on an output of the machine learning model.
  • Disclosed herein is a computer program product comprising a computer readable medium having instructions recorded thereon, the instructions when executed by a computer implementing a method herein.
  • Fig. 1 is a block diagram of various subsystems of a lithography system.
  • FIG. 2 schematically depicts an embodiment of a lithographic cell or cluster.
  • Fig. 3 schematically depicts a method of placing assist features (assist features connected to main features or independent assist features) into a design layout.
  • FIG. 4A and Fig. 4B schematically show flows for a method of placing assist features using a machine learning model, according to an embodiment.
  • Fig. 4C schematically shows more details of pixelation using an edge of the design layout as reference.
  • Fig. 4D schematically shows that a pixelated image of a feature may be determined using a reference aligned to each of the edges of the feature.
  • Fig. 5 is a block diagram of an example computer system.
  • Fig. 6 is a schematic diagram of a lithographic projection apparatus.
  • Fig. 7 is a schematic diagram of another lithographic projection apparatus.
  • Fig. 8 is a more detailed view of the apparatus in Fig. 7.
  • RET resolution enhancement techniques
  • RET As an example of RET, OPC addresses the fact that the final size and placement of an image of the design layout projected on the substrate will not be identical to, or simply depend only on the size and placement of the design layout on the patterning device. It is noted that the terms “mask”, “reticle”, “patterning device” are utilized interchangeably herein. Also, person skilled in the art will recognize that, the term “mask,” “patterning device” and “design layout” can be used interchangeably, as in the context of RET, a physical patterning device is not necessarily used but a design layout can be used to represent a physical patterning device.
  • proximity effects arise from minute amounts of radiation coupled from one feature to another or non-geometrical optical effects such as diffraction and interference.
  • proximity effects may arise from diffusion and other chemical effects during postexposure bake (PEB), resist development, and etching that generally follow lithography.
  • PEB postexposure bake
  • One of the simplest forms of OPC is selective bias. Given a CD vs. pitch curve, all of the different pitches could be forced to produce the same CD, at least at best focus and exposure, by changing the CD at the patterning device level. Thus, if a feature prints too small at the substrate level, the patterning device level feature would be biased to be slightly larger than nominal, and vice versa. Since the pattern transfer process from patterning device level to substrate level is non-linear, the amount of bias is not simply the measured CD error at best focus and exposure times the reduction ratio, but with modeling and experimentation an appropriate bias can be determined. Selective bias is an incomplete solution to the problem of proximity effects, particularly if it is only applied at the nominal process condition.
  • the "best" bias to give identical CD vs. pitch may even have a negative impact on the overall process window, reducing rather than enlarging the focus and exposure range within which all of the target features print on the substrate within the desired process tolerance.
  • Line ends have a tendency to "pull back" from their desired end point location as a function of exposure and focus.
  • the degree of end shortening of a long line end can be several times larger than the corresponding line narrowing. This type of line end pull back can result in catastrophic failure of the devices being manufactured if the line end fails to completely cross over the underlying layer it was intended to cover, such as a polysilicon gate layer over a source -drain region.
  • Two-dimensional OPC approaches may help solve the line end pull back problem.
  • Extra structures also known as “assist features” such as “hammerheads” or “serifs” may be added to line ends to effectively anchor them in place and provide reduced pull back over the entire process window. Even at best focus and exposure these extra structures are not resolved but they alter the appearance of the main feature without being fully resolved on their own.
  • a "main feature” as used herein means a feature intended to print on a substrate under some or all conditions in the process window. Assist features can take on much more aggressive forms than simple hammerheads added to line ends, to the extent the pattern on the patterning device is no longer simply the desired substrate pattern upsized by the reduction ratio.
  • Assist features such as serifs can be applied for many more situations than simply reducing line end pull back.
  • Inner or outer serifs can be applied to any edge, especially two dimensional edges, to reduce corner rounding or edge extrusions.
  • the features on the patterning device bear less and less of a resemblance to the final pattern desired at the substrate level.
  • the patterning device pattern becomes a pre-distorted version of the substrate -level pattern, where the distortion is intended to counteract or reverse the pattern deformation that will occur during the manufacturing process to produce a pattern on the substrate that is as close to the one intended by the designer as possible.
  • Another OPC technique involves using completely independent and non-resolvable assist features, instead of or in addition to those assist features (e.g., serifs) connected to the main features.
  • independent here means that edges of these assist features are not connected to edges of the main features.
  • These independent assist features are not intended or desired to print as features on the substrate, but rather are intended to modify the aerial image of a nearby main feature to enhance the printability and process tolerance of that main feature.
  • These assist features can include sub-resolution assist features (SRAF) which are features outside edges of the main features and sub-resolution inverse features (SRIF) which are features scooped out from inside the edges of the main features.
  • SRAF sub-resolution assist features
  • SRIF sub-resolution inverse features
  • a simple example of a use of scattering bars is where a regular array of non-resolvable scattering bars is drawn on both sides of an isolated line feature, which has the effect of making the isolated line appear, from an aerial image standpoint, to be more representative of a single line within an array of dense lines, resulting in a process window much closer in focus and exposure tolerance to that of a dense pattern.
  • the common process window between such a decorated isolated feature and a dense pattern will have a larger common tolerance to focus and exposure variations than that of a feature drawn as isolated at the patterning device level.
  • An assist feature may be viewed as a difference between features on a patterning device and features in the design layout.
  • the terms "main feature” and “assist feature” do not imply that a particular feature on a patterning device must be labeled as one or the other.
  • Fig. 1 illustrates an exemplary lithographic projection apparatus 10A.
  • Major components include illumination optics which define the partial coherence (denoted as sigma) and which may include optics 14A, 16Aa and 16Ab that shape radiation from a radiation source 12A, which may be a deep-ultraviolet excimer laser source or other type of source including an extreme ultra violet (EUV) source (as discussed herein, the lithographic projection apparatus itself need not have the radiation source); and optics 16Ac that project an image of a patterning device pattern of a patterning device 18A onto a substrate plane 22A.
  • EUV extreme ultra violet
  • projection optics direct and shape the illumination from a source via a patterning device and onto a substrate.
  • projection optics is broadly defined here to include any optical component that may alter the wavefront of the radiation beam.
  • projection optics may include at least some of the components 14A, 16Aa, 16Ab and 16Ac.
  • An aerial image (AI) is the radiation intensity distribution at substrate level.
  • a resist layer on the substrate is exposed and the aerial image is transferred to the resist layer as a latent "resist image" (RI) therein.
  • the resist image (RI) can be defined as a spatial distribution of solubility of the resist in the resist layer.
  • a resist model can be used to calculate the resist image from the aerial image, an example of which can be found in U.S. Patent Application Publication No. US 2009-0157630, the disclosure of which is hereby incorporated by reference in its entirety.
  • the resist model is related only to properties of the resist layer (e.g., effects of chemical processes which occur during exposure, post-exposure bake (PEB) and development).
  • Optical properties of the lithographic projection apparatus dictate the aerial image and can be defined in an optical model. Since the patterning device used in the lithographic projection apparatus can be changed, it is desirable to separate the optical properties of the patterning device from the optical properties of the rest of the lithographic projection apparatus including at least the source and the projection optics.
  • the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to as a lithocell or lithocluster, which also includes apparatus to perform one or more pre- and post-exposure processes on a substrate. Conventionally these include one or more spin coaters
  • a substrate handler, or robot, RO picks up a substrate from input/output ports I/Ol, 1/02, moves it between the different process devices and delivers it to the loading bay LB of the lithographic apparatus.
  • These devices which are often collectively referred to as the track, are under the control of a track control unit TCU which is itself controlled by the supervisory control system SCS, which also controls the lithographic apparatus via lithographic control unit LACU.
  • the different apparatus may be operated to maximize throughput and processing efficiency.
  • the lithographic cell LC may further comprises one or more etchers to etch the substrate and one or more measuring devices configured to measure a parameter of the substrate.
  • the measuring device may comprise an optical measurement device configured to measure a physical parameter of the substrate, such as a scatterometer, a scanning electron microscope, etc.
  • the measuring device may be incorporated in the lithographic apparatus LA.
  • An embodiment of the invention may be implemented in or with the supervisory control system SCS or the lithographic control unit LACU.
  • data from the supervisory control system SCS or the lithographic control unit LACU may be used by an embodiment of the invention and one or more signals from an embodiment of the invention may be provided to the supervisory control system SCS or the lithographic control unit LACU.
  • Fig. 3 schematically depicts a method of placing assist features (assist features connected to main features or independent assist features) into a design layout.
  • the design layout may be a design layout before application of a RET or a design layout after application of a RET.
  • the design layout may be binary or continuous tone.
  • a computational or an empirical model 213 can be used to place (e.g., determining one or more characteristics, such as the existence, location, type, shape, etc., of) assist features.
  • the model 213 can take into account one or more characteristics 211 (also referred to as a processing parameter) of the device manufacturing process, or one or more design layout parameters 212, or both.
  • the one or more processing parameters 211 are one or more parameters associated with the device manufacturing process but not with the design layout.
  • the one or more processing parameters 211 may include a characteristic of the illumination (e.g., intensity, pupil profile, etc.), a characteristic of the projection optics, dose, focus, a characteristic of the resist, a characteristic of development of the resist, a characteristic of post-exposure baking of the resist, or a characteristic of etching.
  • the one or more design layout parameters 212 may include one or more shapes, sizes, relative locations, or absolute locations of various features on a design layout, and also overlapping of features on different design layouts.
  • the image e.g., resist image, optical image, etch image
  • the empirical model places an assist feature based on a correlation between the input (e.g., the one or more processing parameters 211 or the design layout parameters 212) and the assist features.
  • the input e.g., the one or more processing parameters 211 or the design layout parameters 212
  • the assist feature is placed based on the portion or the characteristic.
  • An example of an empirical model is a machine learning model. Both unsupervised machine learning and supervised machine learning models may be used to place assist features. Without limiting the scope of the invention, applications of supervised machine learning algorithms are described below.
  • Supervised learning is the machine learning task of inferring a function from labeled training data.
  • the training data includes a set of training examples.
  • each example is a pair having an input object (typically a vector) and a desired output value (also called the supervisory signal).
  • a supervised learning algorithm analyzes the training data and produces an inferred function, which can be used for mapping new examples.
  • An optimal scenario will allow the algorithm to correctly determine the class labels for unseen instances. This requires the learning algorithm to generalize from the training data to unseen situations in a "reasonable" way.
  • a learning algorithm seeks a function g: X ⁇ Y, where X is the input space and Y is the output space.
  • a feature vector is an n-dimensional vector of numerical features that represent some object. Many algorithms in machine learning require a numerical representation of objects, since such representations facilitate processing and statistical analysis. When representing images, the feature values might correspond to the pixels of an image, when representing texts perhaps term occurrence frequencies.
  • the vector space associated with these vectors is often called the feature space.
  • G and F can be any space of functions
  • x), or f takes the form of a joint probability model f(x, y) P(x, y).
  • g takes the form of a conditional probability model
  • f takes the form of a joint probability model
  • naive Bayes and linear discriminant analysis are joint probability models
  • logistic regression is a conditional probability model.
  • Empirical risk minimization seeks the function that best fits the training data.
  • Structural risk minimization includes a penalty function that controls the bias/variance tradeoff.
  • the training set has a sample of independent and identically distributed pairs (xj, yj).
  • a loss function L Y X Y ⁇ I ⁇ 0 is defined.
  • the loss of predicting the value y is L(y j , y).
  • Exemplary models of supervised learning include decision trees, ensembles (bagging, boosting, random forest), k-NN, linear regression, naive Bayes, neural networks, logistic regression, perceptron, support vector machine (SVM), relevance vector machine (RVM), and deep learning.
  • SVM is an example of supervised learning model, which analyzes data and recognizes patterns and can be used for classification and regression analysis. Given a set of training examples, each marked as belonging to one of two categories, a SVM training algorithm builds a model that assigns new examples into one category or the other, making it a non-probabilistic binary linear classifier.
  • a SVM model is a representation of the examples as points in space, mapped so that the examples of the separate categories are divided by a clear gap that is as wide as possible. New examples are then mapped into that same space and predicted to belong to a category based on which side of the gap they fall on.
  • Kernel methods involve a user-specified kernel, i.e., a similarity function over pairs of data points in raw representation. Kernel methods owe their name to the use of kernel functions, which enable them to operate in a high-dimensional, implicit feature space without ever computing the coordinates of the data in that space, but rather by simply computing the inner products between the images of all pairs of data in the feature space. This operation is often computationally cheaper than the explicit computation of the coordinates. This approach is called the "kernel trick.”
  • the effectiveness of SVM depends on the selection of kernel, the kernel's parameters, and soft margin parameter C.
  • a common choice is a Gaussian kernel, which has a single parameter ⁇ .
  • the best combination of C and ⁇ is often selected by a grid search (also known as "parameter sweep") with exponentially growing sequences of C and ⁇ , for example, C £ ⁇ 2 -5 , 2 -4 , ... , 2 15 , 2 16 ); y £
  • a grid search is an exhaustive searching through a manually specified subset of the
  • hyperparameter space of a learning algorithm is guided by some performance metric, typically measured by cross-validation on the training set or evaluation on a held-out validation set.
  • Each combination of parameter choices may be checked using cross validation, and the parameters with best cross-validation accuracy are picked.
  • Cross-validation is a model validation technique for assessing how the results of a statistical analysis will generalize to an independent data set. It is mainly used in settings where the goal is prediction, and one wants to estimate how accurately a predictive model will perform in practice.
  • a model is usually given a dataset of known data on which training is run (training dataset), and a dataset of unknown data (or first seen data) against which the model is tested (testing dataset).
  • the goal of cross validation is to define a dataset to "test" the model in the training phase (i.e., the validation dataset), in order to limit problems like overfitting, give an insight on how the model will generalize to an independent data set (i.e., an unknown dataset, for instance from a real problem), etc.
  • One round of cross-validation involves partitioning a sample of data into complementary subsets, performing the analysis on one subset (called the training set), and validating the analysis on the other subset (called the validation set or testing set). To reduce variability, multiple rounds of cross-validation are performed using different partitions, and the validation results are averaged over the rounds.
  • the final model which can be used for testing and for classifying new data, is then trained on the entire training set using the selected parameters.
  • regression infers the relationships between a dependent variable and one or more independent variables, from a set of values of the dependent variables and corresponding values of the independent variables. Regression may estimate the conditional expectation of the dependent variable given the independent variables.
  • the inferred relationships may be called the regression function.
  • the inferred relationships may be probabilistic.
  • Fig. 4A and Fig. 4B schematically show flows for a method of placing assist features using a machine learning model, according to an embodiment.
  • Fig. 4A schematically shows a flow for training the machine learning model.
  • One or more values of one or more characteristics 510 of a portion 505 of a design layout are obtained.
  • the design layout may be a binary design layout, a continuous tone design layout (e.g., rendered from a binary design layout), or a design layout of another suitable form.
  • the one or more characteristics 510 may include a geometrical characteristic (e.g., an absolute location, a relative location, or shape) of one or more patterns in the portion 505.
  • the one or more characteristics 510 may include one or more statistical characteristics of the one or more patterns in the portion 505.
  • Examples of a statistical characteristic of a pattern in the portion 505 may include an average or variance of a geometric dimension of one or more patterns.
  • the one or more characteristics 510 may include parameterization of the portion 505 (i.e., one or more values of a function of the portion 505), such as projection on certain basis functions.
  • the one or more characteristics 510 may include an image
  • one or more characteristics 530 of assist features are determined using any suitable method, based on the portion 505 or one or more characteristics 510 thereof.
  • the one or more characteristics 530 of assist features may be determined using a method described in U.S. Patent No. 9,111 ,062, or described Y. Shen, et al. , Level-Set-Based Inverse Lithography For Photomask Synthesis, Optics Express, Vol. 17, pp. 23690-23701 (2009), the disclosures of which are hereby incorporated by reference in their entirety.
  • the one or more characteristics 530 may include one or more geometrical characteristics (e.g., absolute location, relative location, or shape) of the assist features, one or more statistical characteristics of the assist features, or parameterization of the assist features.
  • geometrical characteristics e.g., absolute location, relative location, or shape
  • statistical characteristics of the assist features e.g., an average or variance of a geometric dimension of the assist features.
  • Values of the one or more characteristics 510 of the portion of the design layout and the one or more characteristics 530 of the assist features are included in training data 540 as a sample.
  • the one or more characteristics 510 are the feature vector (also called the input vector) of the sample and the one or more characteristics 530 are the label (also called the supervisory signal or answer vector) of the sample.
  • a machine learning model 560 is trained using the training data 540.
  • Fig. 4B schematically shows a flow for using the machine learning model 560 to place one or more assist features.
  • a portion 533 of a design layout 534 or one or more characteristics 535 of the portion are obtained. Neither the portion 533 nor any other portion of the design layout 534 has to be part of the training data.
  • the portion 533 may be a portion in a vicinity of an edge of the design layout 534.
  • the one or more characteristics 535 may include one or more geometrical characteristics (e.g., absolute location, relative location, or shape) of one or more patterns in the portion 533.
  • the one or more characteristics 535 may include one or more statistical characteristics of the one or more patterns in the portion 533.
  • the one or more characteristics 535 may include parameterization of the portion 533, such as projection on certain basis functions.
  • the one or more characteristics 535 may include an image
  • the one or more characteristics 535 may be relative to the edge as a reference (e.g., a pixelated, binary Manhattan, binary curvilinear, or gray-scale image or a projection onto a basis, obtained using the edge as a reference), thereby the one or more characteristics 535 do not change even if the edge is moved relative to a reference fixed in the design layout, as further explained below in reference to Fig. 4C and Fig. 4D.
  • a reference e.g., a pixelated, binary Manhattan, binary curvilinear, or gray-scale image or a projection onto a basis, obtained using the edge as a reference
  • the portion 534 or the one or more characteristics 535 are provided as input into the machine learning model 560 and one or more characteristics 580 of one or more assist features for the portion 533 are obtained as output from the machine learning model 560.
  • the one or more characteristics 580 may include the one or more geometrical characteristics (e.g., absolute location, relative location, or shape) of the assist features.
  • the one or more characteristics 580 may include parameterization of the assist features, such as projection on certain basis functions.
  • the one or more characteristics 580 may include an image (pixelated, binary Manhattan, binary curvilinear, or continuous tone) of the assist features.
  • the one or more characteristics 580 of the assist features may be adjusted to avoid conflicts among them, for example, using a method described in U.S. Patent Application Publication No.
  • a substrate is patterned using the portion 533 of the design layout 534 and the assist features, in a lithographic process.
  • the machine learning model 560 may optionally compute a confidence metric 585, which indicates the trustworthiness of the one or more characteristics 580.
  • the confidence metric may be the probability for either tones of the binary image.
  • Some other machine learning models such as support vector machines are not naturally probabilistic, but methods exist to turn them into probabilistic classifiers.
  • a regression problem may be converted to a multi-class classification problem and then use probability as a metric, or use a bootstrap method to build many models and then calculate the variance of the model predictions.
  • the confidence metric e.g., entropy, GINI index, etc.
  • the output e.g., a probability distribution over a set of classes
  • the confidence metric 585 may be constructed in a suitable way.
  • a maximum Euclidean distance between the portion in the input and each of the portions of the training data can be such an example.
  • the portions of the training data may be clustered into several groups and the Euclidean distances of the image of the input to the centers of each group may be used as the confidence metric 585.
  • the one or more characteristics 580 may be disregarded and an assist feature may be placed using a different method (e.g., a method described in U.S. Patent No. 9,111 ,062) in optional procedure 586 or the machine learning model 560 may be retrained, in optional procedure 587 (e.g., using the flow in Fig. 4A), using training data including the one or more characteristics 535 in the input that lead to the confidence metric 585 that fails the condition.
  • a different method e.g., a method described in U.S. Patent No. 9,111 ,062
  • optional procedure 587 e.g., using the flow in Fig. 4A
  • the assist feature whose characteristic 580 is produced by the machine learning model 570, in combination with the portion 533 of the design layout 534 may be used as an initial condition for another RET, such as OPC, illumination and patterning device pattern optimization (sometimes referred to as
  • Fig. 4C schematically shows more details of pixelation using an edge of the design layout as reference.
  • the pixelated image of a feature 600 may depend on the selection of a reference.
  • the pixelated image of the feature 600 using a reference 601 is pixelated image 603 but the pixelated image of the same feature 600 using a reference 602, which is merely shifted relative to the reference 601, is pixelated image 604, which is different from the pixelated image 603.
  • a reference aligned to an edge (e.g., the right edge here) of, e.g., the reference 602, or a corner of the feature 600 may be used for pixelation of the feature 600.
  • the references for different features may be different.
  • Fig. 4D schematically shows that a pixelated image 720 of a feature 700 may be determined using a reference 710 aligned to each of the edges of the feature 700.
  • Each of the pixelated images 720 may be used as the characteristic 535 in the flow of Fig. 4B to obtain the one or more characteristic 580 of the assist features (e.g., shapes 730 of the assist features).
  • the one or more characteristic 580 of the assist features e.g., shapes 730 of the assist features.
  • a set of one or more characteristics 580 of the assist features e.g., shapes 730 of the assist features
  • the set of one or more characteristics 580 may be aligned with one another using the feature 700 as a reference, and merged together as a merged set of one or more characteristics of the assist feature (e.g., merged shapes 740 of the assist features).
  • Conflicts in the merged set of one or more characteristics of the assist features may be then resolved (e.g., overlap in the merged shapes 740 removed).
  • the pixelated image 720 is used here as an example of the one or more characteristics 535 obtained relative to an edge, the one or more characteristics 535 relative to the edge may be one or more other suitable characteristics such as a binary, or gray-scale image or a projection onto a basis, obtained using the edge as a reference.
  • FIG. 5 is a block diagram that illustrates a computer system 100 which can assist in implementing methods and flows disclosed herein.
  • Computer system 100 includes a bus 102 or other communication mechanism to communicate information, and a processor 104 (or multiple processors 104 and 105) coupled with bus 102 to process information.
  • Computer system 100 may also include a main memory 106, such as a random access memory (RAM) or other dynamic storage device, coupled to bus 102 to store or supply information and instructions to be executed by processor 104.
  • Main memory 106 may be used to store or supply temporary variables or other intermediate information during execution of instructions to be executed by processor 104.
  • Computer system 100 may further include a read only memory (ROM) 108 or other static storage device coupled to bus 102 to store or supply static information and instructions for processor 104.
  • ROM read only memory
  • a storage device 110 such as a magnetic disk or optical disk, may be provided and coupled to bus 102 to store or supply information and instructions.
  • Computer system 100 may be coupled via bus 102 to a display 112, such as a cathode ray tube (CRT) or flat panel or touch panel display, to display information to a computer user.
  • a display 112 such as a cathode ray tube (CRT) or flat panel or touch panel display
  • An input device 114 may be coupled to bus 102 to communicate information and command selections to processor 104.
  • cursor control 116 such as a mouse, a trackball, or cursor direction keys, to communicate direction information and command selections to processor 104 and to control cursor movement on display 112.
  • This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane.
  • a touch panel (screen) display may also be used as an input device.
  • portions of a process described herein may be performed by computer system 100 in response to processor 104 executing one or more sequences of one or more instructions contained in main memory 106. Such instructions may be read into main memory 106 from another computer-readable medium, such as storage device 110. Execution of the sequences of instructions contained in main memory 106 causes processor 104 to perform the process steps described herein. One or more processors in a multi-processing arrangement may be employed to execute the sequences of instructions contained in main memory 106. In an alternative embodiment, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
  • Non-volatile media include, for example, optical or magnetic disks, such as storage device 110.
  • Volatile media include dynamic memory, such as main memory 106.
  • Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus 102. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • RF radio frequency
  • IR infrared
  • Computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.
  • Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 104 for execution.
  • the instructions may initially be borne on a disk or memory of a remote computer.
  • the remote computer can load the instructions into its dynamic memory and send the instructions over a communications path.
  • Computer system 100 can receive the data from the path and place the data on bus 102.
  • Bus 102 carries the data to main memory
  • main memory 106 from which processor 104 retrieves and executes the instructions.
  • the instructions received by main memory 106 may optionally be stored on storage device 110 either before or after execution by processor 104.
  • Computer system 100 may include a communication interface 118 coupled to bus 102.
  • Communication interface 118 provides a two-way data communication coupling to a network link 120 that is connected to a network 122.
  • communication interface 118 may provide a wired or wireless data communication connection.
  • communication interface 118 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
  • Network link 120 typically provides data communication through one or more networks to other data devices.
  • network link 120 may provide a connection through network 122 to a host computer 124 or to data equipment operated by an Internet Service Provider (ISP) 126.
  • ISP 126 in turn provides data communication services through the worldwide packet data communication network, now commonly referred to as the "Internet” 128.
  • Network 122 and Internet 128 both use electrical, electromagnetic or optical signals that carry digital data streams.
  • the signals through the various networks and the signals on network link 120 and through communication interface 118, which carry the digital data to and from computer system 100, are exemplary forms of carrier waves transporting the information.
  • Computer system 100 can send messages and receive data, including program code, through the network(s), network link 120, and communication interface 118.
  • a server 130 might transmit a requested code for an application program through Internet 128, ISP 126, network 122 and communication interface 118.
  • One such downloaded application may provide for the code to implement a method herein, for example.
  • the received code may be executed by processor 104 as it is received, or stored in storage device 110, or other non-volatile storage for later execution. In this manner, computer system 100 may obtain application code in the form of a carrier wave.
  • FIG. 6 schematically depicts an exemplary lithographic projection apparatus.
  • the apparatus comprises:
  • the illumination system also comprises a radiation source SO;
  • a first object table e.g., mask table
  • a patterning device holder to hold a patterning device MA (e.g., a reticle), and connected to a first positioner PM to accurately position the patterning device with respect to item PS;
  • a patterning device MA e.g., a reticle
  • a second object table (substrate table) WT provided with a substrate holder to hold a substrate W (e.g., a resist-coated silicon wafer), and connected to a second positioner PW to accurately position the substrate with respect to item PS;
  • a substrate W e.g., a resist-coated silicon wafer
  • a projection system PS e.g., a refractive, catoptric or catadioptric optical system
  • a target portion C e.g., comprising one or more dies
  • the apparatus is of a transmissive type (i.e., has a transmissive mask).
  • it may also be of a reflective type, for example (with a reflective mask).
  • the apparatus may employ another kind of patterning device as an alternative to the use of a classic mask; examples include a programmable mirror array or LCD matrix.
  • the source SO e.g., a mercury lamp or excimer laser
  • This beam is fed into an illumination system (illuminator) IL, either directly or after having traversed a conditioner, such as a beam expander.
  • the illuminator IL may comprise an adjuster AD configured to set the outer or inner radial extent (commonly referred to as ⁇ -outer and ⁇ -inner, respectively) of the intensity distribution in the beam.
  • ⁇ -outer and ⁇ -inner commonly referred to as ⁇ -outer and ⁇ -inner, respectively
  • it will generally comprise various other components, such as an integrator IN and a condenser CO.
  • the beam B impinging on the patterning device MA has a desired uniformity and intensity distribution in its cross-section.
  • the source SO may be within the housing of the lithographic projection apparatus (as is often the case when the source SO is a mercury lamp, for example), but that it may also be remote from the lithographic projection apparatus, the radiation beam that it produces being led into the apparatus (e.g., with the aid of suitable directing mirrors BD); this latter scenario is often the case when the source SO is an excimer laser (e.g., based on KrF, ArF or F2 lasing).
  • an excimer laser e.g., based on KrF, ArF or F2 lasing.
  • the beam B subsequently intercepts the patterning device MA, which is held on a patterning device table MT. Having traversed the patterning device MA, the beam B passes through the projection system PS, which focuses the beam B onto a target portion C of the substrate W. With the aid of the second positioner PW (and interferometer IF), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam B. Similarly, the first positioner PM can be used to accurately position the patterning device MA with respect to the path of the beam B, e.g., after mechanical retrieval of the patterning device MA from a patterning device library, or during a scan. In general, movement of the object tables MT, WT will be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which are not explicitly depicted in Fig. 6.
  • Patterning device (e.g., mask) MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2.
  • the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe -lane alignment marks).
  • the patterning device alignment marks may be located between the dies.
  • Small alignment markers may also be included within dies, in amongst the device features, in which case it is desirable that the markers be as small as possible and not require any different imaging or process conditions than adjacent features.
  • Fig. 7 schematically depicts another exemplary lithographic projection apparatus 1000.
  • the lithographic projection apparatus 1000 includes:
  • an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation);
  • a support structure e.g. a mask table
  • MT constructed to support a patterning device (e.g. a mask or a reticle) MA and connected to a first positioner PM configured to accurately position the patterning device;
  • a substrate table e.g. a wafer table
  • WT constructed to hold a substrate (e.g. a resist coated wafer) W and connected to a second positioner PW configured to accurately position the substrate
  • PW a substrate positioner
  • a projection system e.g. a reflective projection system
  • PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
  • the apparatus 1000 is of a reflective type (e.g. employing a reflective mask). It is to be noted that because most materials are absorptive within the EUV wavelength range, the patterning device may have a multilayer reflector comprising, for example, a multi-stack of molybdenum and silicon. In one example, the multi-stack reflector has a 40 layer pairs of molybdenum and silicon. Even smaller wavelengths may be produced with X-ray lithography.
  • a thin piece of patterned absorbing material on the patterning device topography defines where features would print (positive resist) or not print (negative resist).
  • the illuminator IL receives an extreme ultra violet (EUV) radiation beam from the source collector module SO.
  • EUV radiation include, but are not necessarily limited to, converting a material into a plasma state that has at least one element, e.g., xenon, lithium or tin, with one or more emission lines in the EUV range.
  • LPP laser produced plasma
  • the plasma can be produced by irradiating a fuel, such as a droplet, stream or cluster of material having the line-emitting element, with a laser beam.
  • the source collector module SO may be part of an EUV radiation system including a laser, not shown in Fig.
  • the resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector, disposed in the source collector module.
  • the laser and the source collector module may be separate entities, for example when a CO 2 laser is used to provide the laser beam for fuel excitation.
  • the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source collector module with the aid of a beam delivery system comprising, for example, suitable directing mirrors or a beam expander.
  • the source may be an integral part of the source collector module, for example when the source is a discharge produced plasma EUV generator, often termed as a DPP source.
  • the illuminator IL may comprise an adjuster configured to adjust the angular intensity distribution of the radiation beam. Generally, at least the outer or inner radial extent (commonly referred to as ⁇ -outer and ⁇ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL may comprise various other components, such as facetted field and pupil mirror devices. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section.
  • the radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. After being reflected from the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B.
  • the second positioner PW and position sensor PS2 e.g. an interferometric device, linear encoder or capacitive sensor
  • the first positioner PM and another position sensor PSl can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B.
  • Patterning device (e.g. mask) MA and substrate W may be aligned using patterning device alignment marks Ml, M2 and substrate alignment marks PI, P2.
  • the depicted apparatus could be used in at least one of the following modes:
  • step mode the support structure (e.g. mask table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X or Y direction so that a different target portion C can be exposed.
  • the support structure (e.g. mask table) MT and the substrate table WT are scanned synchronously in a given direction (the so-called "scan direction") while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of the substrate table WT relative to the support structure (e.g. mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS.
  • the support structure (e.g. mask table) MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • the lithographic apparatus may be of a type having two or more tables (e.g., two or more substrate table, two or more patterning device tables, or a substrate table and a table without a substrate).
  • the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposures.
  • Fig. 8 shows the apparatus 1000 in more detail, including the source collector module SO, the illumination system IL, and the projection system PS.
  • the source collector module SO is constructed and arranged such that a vacuum environment can be maintained in an enclosing structure 220 of the source collector module SO.
  • An EUV radiation emitting plasma 210 may be formed by a discharge produced plasma source. EUV radiation may be produced by a gas or vapor, for example Xe gas, Li vapor or Sn vapor in which the very hot plasma 210 is created to emit radiation in the EUV range of the electromagnetic spectrum.
  • the very hot plasma 210 is created by, for example, an electrical discharge causing an at least partially ionized plasma.
  • Partial pressures of, for example, 10 Pa of Xe, Li, Sn vapor or any other suitable gas or vapor may be required for efficient generation of the radiation.
  • a plasma of excited tin (Sn) is provided to produce EUV radiation.
  • the radiation emitted by the hot plasma 210 is passed from a source chamber 211 into a collector chamber 212 via an optional gas barrier or contaminant trap 230 (in some cases also referred to as contaminant barrier or foil trap) which is positioned in or behind an opening in source chamber 211.
  • the contaminant trap 230 may include a channel structure.
  • Contamination trap 230 may also include a gas barrier or a combination of a gas barrier and a channel structure.
  • the contaminant trap or contaminant barrier 230 further indicated herein at least includes a channel structure, as known in the art.
  • the collector chamber 211 may include a radiation collector CO which may be a so-called grazing incidence collector.
  • Radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation that traverses collector CO can be reflected off a grating spectral filter 240 to be focused in a virtual source point IF along the optical axis indicated by the dot-dashed line ⁇ ' .
  • the virtual source point IF is commonly referred to as the intermediate focus, and the source collector module is arranged such that the intermediate focus IF is located at or near an opening 221 in the enclosing structure 220.
  • the virtual source point IF is an image of the radiation emitting plasma 210.
  • the radiation traverses the illumination system IL, which may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • the illumination system IL may include a facetted field mirror device 22 and a facetted pupil mirror device 24 arranged to provide a desired angular distribution of the radiation beam 21, at the patterning device MA, as well as a desired uniformity of radiation intensity at the patterning device MA.
  • More elements than shown may generally be present in illumination optics unit IL and projection system PS.
  • the grating spectral filter 240 may optionally be present, depending upon the type of lithographic apparatus. Further, there may be more mirrors present than those shown in the figures, for example there may be 1- 6 additional reflective elements present in the projection system PS than shown in Fig. 8.
  • Collector optic CO is depicted as a nested collector with grazing incidence reflectors 253, 254 and 255, just as an example of a collector (or collector mirror).
  • the grazing incidence reflectors 253, 254 and 255 are disposed axially symmetric around the optical axis O and a collector optic CO of this type is desirably used in combination with a discharge produced plasma source, often called a DPP source.
  • the source collector module SO may be part of an LPP radiation system.
  • a method comprising:
  • a machine learning model using training data comprising a sample whose feature vector comprises the characteristic of the portion and whose label comprises the characteristic of the assist features.
  • a method comprising:
  • the characteristic of the portion comprises a geometrical characteristic of a pattern in the portion, a statistical characteristic of a pattern in the portion, a parameterization of a pattern in the portion, or an image derived from the portion.
  • the characteristic of the portion comprises the image and wherein the image is a pixelated image, a binary image or a continuous tone image.
  • the method further comprises retraining the machine learning model using training data comprising the characteristic of the portion.
  • the method further comprises determining the assist feature by a method not using the machine learning model.
  • a computer program product comprising a computer readable medium having instructions recorded thereon, the instructions when executed by a computer implementing the method of any of clauses 1-22.
  • projection system used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum.
  • the concepts disclosed herein may be applicable to any device manufacturing process involving a lithographic apparatus, and may be especially useful with emerging imaging technologies capable of producing wavelengths of an increasingly smaller size.
  • Emerging technologies already in use include deep ultraviolet (DUV) lithography that is capable of producing a 193 nm wavelength with the use of an ArF laser, and even a 157 nm wavelength with the use of a fluorine laser.
  • EUV lithography is capable of producing wavelengths within a range of 5-20 nm.
  • the patterning device referred to above comprises or can form a design layout.
  • the design layout can be generated utilizing a CAD (computer-aided design) program. This process is often referred to as EDA (electronic design automation).
  • EDA electronic design automation
  • Most CAD programs follow a set of predetermined design rules in order to create functional design layouts/patterning devices. These rules are set by processing and design limitations. For example, design rules define the space tolerance between circuit devices (such as gates, capacitors, etc.) or interconnect lines, so as to ensure that the circuit devices or lines do not interact with one another in an undesirable way.
  • the design rule limitations are typically referred to as "critical dimensions" (CD).
  • a critical dimension of a circuit can be defined as the smallest width of a line or hole or the smallest space between two lines or two holes.
  • the CD determines the overall size and density of the designed circuit.
  • one of the goals in integrated circuit fabrication is to faithfully reproduce the original circuit design on the substrate (via the patterning device).
  • mask or "patterning device” as employed in this text may be broadly interpreted as referring to a generic patterning device that can be used to endow an incoming radiation beam with a patterned cross-section, corresponding to a pattern that is to be created in a target portion of the substrate; the term “light valve” can also be used in this context.
  • the classic mask transmissive or reflective; binary, phase-shifting, hybrid, etc.
  • examples of other such patterning devices include:
  • -a programmable mirror array An example of such a device is a matrix-addressable surface having a viscoelastic control layer and a reflective surface.
  • the basic principle behind such an apparatus is that (for example) addressed areas of the reflective surface reflect incident radiation as diffracted radiation, whereas unaddressed areas reflect incident radiation as undiffracted radiation.
  • the said undiffracted radiation can be filtered out of the reflected beam, leaving only the diffracted radiation behind; in this manner, the beam becomes patterned according to the addressing pattern of the matrix-addressable surface.
  • the required matrix addressing can be performed using suitable electronic means.
  • microlithography is a significant step in the manufacturing of devices such as ICs, where patterns formed on substrates define functional elements of the ICs, such as microprocessors, memory chips etc. Similar lithographic techniques are also used in the formation of flat panel displays, micro-electro mechanical systems (MEMS) and other devices.
  • MEMS micro-electro mechanical systems
  • the terms "radiation” and “beam” are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. with a wavelength of 365, 248, 193, 157 or 126 nm) and EUV (extreme ultra-violet radiation, e.g. having a wavelength in the range 5-20 nm).
  • ultraviolet radiation e.g. with a wavelength of 365, 248, 193, 157 or 126 nm
  • EUV extreme ultra-violet radiation
  • optically and “optimization” as used herein refers to or means adjusting a patterning process apparatus, one or more steps of a patterning process, etc. such that results and/or processes of patterning have more desirable characteristics, such as higher accuracy of transfer of a design layout on a substrate, a larger process window, etc.
  • opticalmizing and “optimization” as used herein refers to or means a process that identifies one or more values for one or more parameters that provide an improvement, e.g. a local optimum, in at least one relevant metric, compared to an initial set of one or more values for those one or more parameters. "Optimum” and other related terms should be construed accordingly. In an embodiment, optimization steps can be applied iteratively to provide further improvements in one or more metrics.
  • illustrated components are depicted as discrete functional blocks, but embodiments are not limited to systems in which the functionality described herein is organized as illustrated.
  • the functionality provided by each of the components may be provided by software or hardware modules that are differently organized than is presently depicted, for example such software or hardware may be intermingled, conjoined, replicated, broken up, distributed (e.g. within a data center or geographically), or otherwise differently organized.
  • the functionality described herein may be provided by one or more processors of one or more computers executing code stored on a tangible, non-transitory, machine readable medium.
  • third party content delivery networks may host some or all of the information conveyed over networks, in which case, to the extent information (e.g., content) is said to be supplied or otherwise provided, the information may be provided by sending instructions to retrieve that information from a content delivery network.
  • information e.g., content
  • calculating refers to actions or processes of a specific apparatus, such as a special purpose computer or a similar special purpose electronic processing/computing device.
  • Statements in which a plurality of attributes or functions are mapped to a plurality of objects encompasses both all such attributes or functions being mapped to all such objects and subsets of the attributes or functions being mapped to subsets of the attributes or functions (e.g., both all processors each performing steps A-D, and a case in which processor 1 performs step A, processor 2 performs step B and part of step C, and processor 3 performs part of step C and step D), unless otherwise indicated.
  • statements that one value or action is "based on" another condition or value encompass both instances in which the condition or value is the sole factor and instances in which the condition or value is one factor among a plurality of factors.
  • statements that "each" instance of some collection have some property should not be read to exclude cases where some otherwise identical or similar members of a larger collection do not have the property, i.e., each does not necessarily mean each and every.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Computer Hardware Design (AREA)
  • Data Mining & Analysis (AREA)
  • Mathematical Physics (AREA)
  • Computing Systems (AREA)
  • Human Computer Interaction (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Medical Informatics (AREA)
  • Artificial Intelligence (AREA)
  • Quality & Reliability (AREA)
  • Architecture (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
PCT/EP2018/061488 2017-05-26 2018-05-04 Assist feature placement based on machine learning WO2018215188A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020237006802A KR20230035145A (ko) 2017-05-26 2018-05-04 기계 학습에 기초한 어시스트 피처 배치
KR1020217030676A KR20210119578A (ko) 2017-05-26 2018-05-04 기계 학습에 기초한 어시스트 피처 배치
US16/606,791 US20200050099A1 (en) 2017-05-26 2018-05-04 Assist feature placement based on machine learning
CN201880034754.5A CN110692017A (zh) 2017-05-26 2018-05-04 基于机器学习的辅助特征放置
KR1020197038130A KR20200010496A (ko) 2017-05-26 2018-05-04 기계 학습에 기초한 어시스트 피처 배치

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762511937P 2017-05-26 2017-05-26
US62/511,937 2017-05-26

Publications (1)

Publication Number Publication Date
WO2018215188A1 true WO2018215188A1 (en) 2018-11-29

Family

ID=62116457

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2018/061488 WO2018215188A1 (en) 2017-05-26 2018-05-04 Assist feature placement based on machine learning

Country Status (5)

Country Link
US (1) US20200050099A1 (zh)
KR (3) KR20230035145A (zh)
CN (1) CN110692017A (zh)
TW (1) TWI681250B (zh)
WO (1) WO2018215188A1 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3660744A1 (en) * 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
WO2021118808A1 (en) * 2019-12-13 2021-06-17 Synopsys, Inc. Inverse lithography and machine learning for mask synthesis
WO2021115766A1 (en) 2019-12-13 2021-06-17 Asml Netherlands B.V. Method for improving consistency in mask pattern generation
CN113614638A (zh) * 2019-03-21 2021-11-05 Asml荷兰有限公司 用于机器学习辅助的光学邻近效应误差校正的训练方法
WO2022263312A1 (en) 2021-06-18 2022-12-22 Asml Netherlands B.V. Computer-readable medium for generating assist features using machine learning model

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017200883A1 (en) 2016-05-17 2017-11-23 Silicon Storage Technology, Inc. Deep learning neural network classifier using non-volatile memory array
US10803943B2 (en) 2017-11-29 2020-10-13 Silicon Storage Technology, Inc. Neural network classifier using array of four-gate non-volatile memory cells
US10699779B2 (en) 2017-11-29 2020-06-30 Silicon Storage Technology, Inc. Neural network classifier using array of two-gate non-volatile memory cells
WO2019203877A1 (en) * 2018-04-18 2019-10-24 Siemens Aktiengesellschaft Method for reconstructing an object
US11500442B2 (en) 2019-01-18 2022-11-15 Silicon Storage Technology, Inc. System for converting neuron current into neuron current-based time pulses in an analog neural memory in a deep learning artificial neural network
EP3918532B1 (en) * 2019-01-29 2023-01-25 Silicon Storage Technology, Inc. Neural network classifier using array of four-gate non-volatile memory cells
US10720217B1 (en) 2019-01-29 2020-07-21 Silicon Storage Technology, Inc. Memory device and method for varying program state separation based upon frequency of use
WO2020156769A1 (en) * 2019-01-29 2020-08-06 Asml Netherlands B.V. Method for decision making in a semiconductor manufacturing process
TWI730288B (zh) * 2019-01-31 2021-06-11 鴻齡科技股份有限公司 深度學習方法、系統、伺服器及可讀存儲介質
WO2020169303A1 (en) * 2019-02-21 2020-08-27 Asml Netherlands B.V. Method for training machine learning model to determine optical proximity correction for mask
US11061318B2 (en) 2019-02-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography model calibration
EP3705944A1 (en) 2019-03-06 2020-09-09 ASML Netherlands B.V. Extracting a feature from a data set
US11423979B2 (en) 2019-04-29 2022-08-23 Silicon Storage Technology, Inc. Decoding system and physical layout for analog neural memory in deep learning artificial neural network
US10831976B1 (en) * 2019-05-30 2020-11-10 International Business Machines Corporation Predicting local layout effects in circuit design patterns
US10831977B1 (en) * 2019-06-03 2020-11-10 Globalfoundries Inc. Curvilinear mask models
US10885259B2 (en) * 2019-08-30 2021-01-05 Intel Corporation Random forest model for prediction of chip layout attributes
US11010529B2 (en) 2019-09-16 2021-05-18 Taiwan Semiconductor Manufacturing Company Limited Integrated circuit layout validation using machine learning
US20230107556A1 (en) * 2020-03-03 2023-04-06 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
KR20220001262A (ko) 2020-06-29 2022-01-05 삼성전자주식회사 반도체 공정의 근접 보정 방법
KR20220014760A (ko) 2020-07-29 2022-02-07 삼성전자주식회사 심층 학습에 기반한 마스크 상의 형태 형성 방법, 및 그 형성 방법을 이용한 마스크 제조방법
KR20220014541A (ko) 2020-07-29 2022-02-07 삼성전자주식회사 공정 근접 효과 보정 방법 및 컴퓨팅 장치
US11270054B1 (en) * 2020-08-31 2022-03-08 Siemens Industry Software Inc. Method and system for calculating printed area metric indicative of stochastic variations of the lithographic process
CN112668718B (zh) * 2021-01-19 2023-07-18 北京市商汤科技开发有限公司 神经网络训练方法、装置、电子设备以及存储介质
CN113238460B (zh) * 2021-04-16 2022-02-11 厦门大学 一种基于深度学习的用于超紫外的光学邻近校正方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080077907A1 (en) * 2006-09-21 2008-03-27 Kulkami Anand P Neural network-based system and methods for performing optical proximity correction
US20080301620A1 (en) 2007-06-04 2008-12-04 Brion Technologies, Inc. System and method for model-based sub-resolution assist feature generation
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
US9111062B2 (en) 2008-11-21 2015-08-18 Asml Netherlands B.V. Fast freeform source and mask co-optimization method

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7018746B2 (en) * 2003-04-15 2006-03-28 International Business Machines Corporation Method of verifying the placement of sub-resolution assist features in a photomask layout
US20150161320A1 (en) * 2013-12-09 2015-06-11 Spansion Inc. Scattering bar optimization apparatus and method
US9626459B2 (en) * 2014-01-24 2017-04-18 International Business Machines Corporation Detecting hotspots using machine learning on diffraction patterns
US10025201B2 (en) * 2014-04-14 2018-07-17 Asml Netherlands B.V. Flows of optimization for lithographic processes
WO2016096309A1 (en) * 2014-12-15 2016-06-23 Asml Netherlands B.V. Optimization based on machine learning
CN107438842A (zh) * 2014-12-18 2017-12-05 Asml荷兰有限公司 通过机器学习的特征搜索
WO2016132152A1 (en) * 2015-02-19 2016-08-25 Magic Pony Technology Limited Interpolating visual data
US10670973B2 (en) * 2015-05-20 2020-06-02 Asml Netherlands B.V. Coloring aware optimization
KR20180036239A (ko) * 2016-09-30 2018-04-09 삼성전자주식회사 픽셀 기반 학습을 이용한 마스크 최적화 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080077907A1 (en) * 2006-09-21 2008-03-27 Kulkami Anand P Neural network-based system and methods for performing optical proximity correction
US20080301620A1 (en) 2007-06-04 2008-12-04 Brion Technologies, Inc. System and method for model-based sub-resolution assist feature generation
US20090157630A1 (en) 2007-10-26 2009-06-18 Max Yuan Method of extracting data and recommending and generating visual displays
US9111062B2 (en) 2008-11-21 2015-08-18 Asml Netherlands B.V. Fast freeform source and mask co-optimization method

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
C. SPENCE: "Full-Chip Lithography Simulation and Design Analysis - How OPC Is Changing IC Design", PROC. SPIE, vol. 5751, 2005, pages 1 - 14, XP055147049, DOI: doi:10.1117/12.608020
XIAOQING XU ET AL: "A Machine Learning Based Framework for Sub-Resolution Assist Feature Generation", INTERNATIONAL SYMPOSIUM ON PHYSICAL DESIGN, ACM, 2 PENN PLAZA, SUITE 701 NEW YORK NY 10121-0701 USA, 3 April 2016 (2016-04-03), pages 161 - 168, XP058079862, ISBN: 978-1-4503-4039-7, DOI: 10.1145/2872334.2872357 *
Y. SHEN ET AL.: "Level-Set-Based Inverse Lithography For Photomask Synthesis", OPTICS EXPRESS, vol. 17, 2009, pages 23690 - 23701

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3660744A1 (en) * 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
CN113614638A (zh) * 2019-03-21 2021-11-05 Asml荷兰有限公司 用于机器学习辅助的光学邻近效应误差校正的训练方法
WO2021118808A1 (en) * 2019-12-13 2021-06-17 Synopsys, Inc. Inverse lithography and machine learning for mask synthesis
WO2021115766A1 (en) 2019-12-13 2021-06-17 Asml Netherlands B.V. Method for improving consistency in mask pattern generation
US11762283B2 (en) 2019-12-13 2023-09-19 Synopsys, Inc. Inverse lithography and machine learning for mask synthesis
WO2022263312A1 (en) 2021-06-18 2022-12-22 Asml Netherlands B.V. Computer-readable medium for generating assist features using machine learning model

Also Published As

Publication number Publication date
KR20200010496A (ko) 2020-01-30
US20200050099A1 (en) 2020-02-13
KR20230035145A (ko) 2023-03-10
CN110692017A (zh) 2020-01-14
TWI681250B (zh) 2020-01-01
TW201901285A (zh) 2019-01-01
KR20210119578A (ko) 2021-10-05

Similar Documents

Publication Publication Date Title
US11768440B2 (en) Training methods for machine learning assisted optical proximity error correction
US20200050099A1 (en) Assist feature placement based on machine learning
US11029605B2 (en) Optimization based on machine learning
US20220277116A1 (en) Identification of hot spots or defects by machine learning
US20210271172A1 (en) Methods of determining process models by machine learning
US11815820B2 (en) Training method for machine learning assisted optical proximity error correction
US20170357911A1 (en) Feature search by machine learning
US20230393458A1 (en) Method for generating mask pattern

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 18722512

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20197038130

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 18722512

Country of ref document: EP

Kind code of ref document: A1