WO2018186535A1 - Method for preparing two-dimensional material by using adsorption inhibitory surface treatment - Google Patents

Method for preparing two-dimensional material by using adsorption inhibitory surface treatment Download PDF

Info

Publication number
WO2018186535A1
WO2018186535A1 PCT/KR2017/006884 KR2017006884W WO2018186535A1 WO 2018186535 A1 WO2018186535 A1 WO 2018186535A1 KR 2017006884 W KR2017006884 W KR 2017006884W WO 2018186535 A1 WO2018186535 A1 WO 2018186535A1
Authority
WO
WIPO (PCT)
Prior art keywords
adsorption
dimensional material
surface treatment
metal
inhibitor
Prior art date
Application number
PCT/KR2017/006884
Other languages
French (fr)
Korean (ko)
Inventor
안지훈
전우진
정성준
Original Assignee
한국해양대학교 산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한국해양대학교 산학협력단 filed Critical 한국해양대학교 산학협력단
Publication of WO2018186535A1 publication Critical patent/WO2018186535A1/en

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber

Definitions

  • the present invention relates to a method for producing a two-dimensional material that improves the electrical properties by increasing the crystal size by the introduction of the adsorption inhibitor.
  • Two-dimensional materials represented by graphene, silicene, phosphorine, hexagonal boron nitride, and metal chalcogenides are planar interatomic bonds. Refers to a material made of strong covalent bonds, while weak van der Waals bonds to the other out of phase.
  • These two-dimensional materials can be formed very thin ( ⁇ 1 nm) in molecular layers because of the nature of their bonding.
  • it exhibits much higher charge mobility and photo-electronic conversion efficiency than conventional semiconductor materials, and has the advantage of making transparent and flexible materials. Therefore, it is expected to be widely used as the next-generation electronic device and photoelectric device. Basic and applied researches on this are being actively conducted.
  • CVD chemical vapor deposition
  • atomic layer deposition has been mentioned as the only alternative as a process for mass production of electronic devices and products using two-dimensional materials.
  • the crystal size of the two-dimensional material is very small due to the characteristics of the atomic layer deposition method, and the electrical properties thereof are very low compared to the known ones.
  • the present invention is to provide a method for producing a two-dimensional material having a uniform thin film form over a large area, and to provide a method for producing a two-dimensional material having excellent crystal structure and charge mobility while using an atomic layer deposition method. For that purpose.
  • the present invention comprises the steps of (1) adsorbing the adsorption inhibitor by introducing an adsorption inhibitor to the substrate having an adsorption site (adsorption site); (2) forming a two-dimensional material at an adsorption site where the adsorption inhibitor is not adsorbed by using atomic layer deposition; And (3) crystallizing the formed two-dimensional material. It provides a method for producing a two-dimensional material using an adsorption inhibiting surface treatment comprising a.
  • the method for producing a two-dimensional material using the adsorption-inhibiting surface treatment according to the present invention it is possible to obtain a two-dimensional material having a uniform thin film form over a large area, and to apply at a low process temperature to apply a plastic substrate that is weak to heat. As the crystal structure of the two-dimensional material is improved, the two-dimensional material having excellent charge mobility can be produced.
  • Figure 1 shows the comparison of the metal precursor adsorption behavior, three-dimensional reaction formula and the reaction energy in the manufacturing process of the two-dimensional material according to Examples 1-2 and Comparative Examples 1-2.
  • Figure 2 shows the results of measuring the adsorption density of the metal precursor in the manufacturing process of the two-dimensional material according to Example 1 and Comparative Example 2.
  • Figure 3 shows the Raman analysis of the two-dimensional material according to Example 1 and Comparative Example 2.
  • Figure 4 shows the results of measuring the adsorption density of the metal precursor in the manufacturing process of the two-dimensional material according to Example 1 and Example 2.
  • Figure 6 shows the results of the AFM analysis for the two-dimensional material according to Examples 1-2 and Comparative Examples 1-2.
  • Example 8 is a transmission microscope (TEM) photograph of a side portion of a two-dimensional material according to Example 2 and Comparative Examples 1 to 2;
  • FIG. 9 is a transmission microscope (TEM) photograph of a planar portion of a two-dimensional material prepared according to Example 2 and Comparative Example 1.
  • TEM transmission microscope
  • FIG. 10 illustrates a result of measuring bottom gate voltage (V g ) -drain current (I ds ) of a FET including a two-dimensional material manufactured according to Comparative Example 1.
  • V g bottom gate voltage
  • I ds drain current
  • FIG. 11 shows a result of measuring bottom gate voltage (V g ) -drain current (I ds ) of a FET including a two-dimensional material prepared according to Example 2.
  • V g bottom gate voltage
  • I ds drain current
  • the present invention relates to a method for preparing a two-dimensional material having improved crystal size by the introduction of an adsorption inhibitor to improve electrical properties, and to a method for producing a two-dimensional material showing high crystallinity and charge mobility while using atomic layer deposition. It is about.
  • the term 'adsorption site' refers to a functional group present on the surface of the substrate, and refers to a site where a precursor or the like may be adsorbed onto the substrate by reacting with a precursor of a two-dimensional material. .
  • the ALD reactor described herein is used in the manufacturing process of the two-dimensional material and is not specifically described in the embodiments of the present invention, but will be easily understood by those skilled in the art. It is made up of possible configurations.
  • step (1) of adsorbing the adsorption inhibitor will be described.
  • the substrate may be any substrate, and may be a rigid or flexible substrate.
  • it may be a glass substrate, a plastic substrate, or a substrate made of another material, and a substrate made of a transparent plastic material may be used if necessary.
  • the substrate may be a SiO 2 / Si substrate.
  • the substrate is characterized by having an 'adsorption site' on its surface. In addition to the adsorption site, precursors of two-dimensional materials can be adsorbed, as well as adsorption inhibitors and adsorption activators, which will be described later.
  • the adsorption inhibitor refers to a material that is treated on the surface of the substrate before the two-dimensional material is adsorbed on the substrate, and means a material that serves to prevent the precursor of the two-dimensional material from adsorbing to the adsorption site of the substrate.
  • the type of the adsorption inhibitor is not particularly limited as long as it prevents the precursor of the two-dimensional material from adsorbing to the adsorption site of the substrate.
  • alcohol compounds having 1 to 10 carbon atoms perylene-3,4,9,10-tetra -Tetracarboxylic acid tetra potassium salt (PTAS), copper (II) 1, 2, 3, 4, 8, 9, 10, 11, 15, 16, 17, 18,22,23,24,25-hexadecafluoro-29H, 31H-phthalocyanine ⁇ copper (II) 1,2,3,4,8,9,10,11,15,16,17, 18,22,23,24,25-hexadecafluoro-29H, 31H-phthalocyanine: F 16 CuPc ⁇ , perylene-3,4,9,10-tetracarboxylic dianhydride ⁇ perylene-3,4,9,10-tetracarboxylic acid dianhydride: PTCDA ⁇ , copper (II) phthalocyanine ⁇ copper (II) phthalocyanine: CuPc ⁇ , dibenzo ⁇ (f, f ′)-4,4 ′, 7,7′
  • the adsorption site is present at a specific density on the surface of the substrate, when using the ALD method is the most important factor in determining the growth behavior of the initial thin film.
  • the precursor of the two-dimensional material is adsorbed to the adsorption site according to a specific probability affected by chemisorption kinetics, and even when applying an adsorption inhibitor or an adsorption activator to the substrate, respectively Adsorbed on the site.
  • adsorption inhibitors or adsorption activators When adsorbed to the adsorption sites of the substrate, they exhibit different adsorption behavior for precursors of two-dimensional materials because they are chemically different from the adsorption sites that are not pretreated.
  • the adsorption activator forms new covalent bonds with the functional groups of the adsorption site, but is adsorbed on the substrate, but forms relatively unstable bonds, thereby increasing the reactivity of the adsorption site thereby increasing the adsorption probability of the two-dimensional material precursor.
  • the adsorption inhibitor since the adsorption inhibitor covalently bonds with the functional group of the adsorption site to form a relatively stable bond, it lowers the reactivity of the adsorption site, thereby reducing the adsorption probability of the two-dimensional material precursor.
  • Step (1) may be performed at a temperature of 200 to 500 ° C, preferably 250 to 450 ° C, more preferably 300 to 400 ° C. If the temperature of step (1) is less than 200 °C, there is a problem that the adsorption inhibitor is difficult to be sufficiently adsorbed on the adsorption site, when the temperature exceeds 500 °C there is a problem that the use of a substrate weak in heat.
  • step (1) may be performed for 10 to 300 seconds, preferably 10 to 250 seconds, more preferably 10 to 200 seconds. If the advancing time of step (1) is less than 10 seconds, there is a problem in that the adsorption inhibitor is not sufficiently adsorbed, and if it exceeds 300 seconds, the excess adsorption inhibitor is adsorbed so that the two-dimensional material is not sufficiently formed.
  • step (2) of forming the two-dimensional material will be described.
  • Step (2) is characterized by atomic layer deposition.
  • the atomic layer deposition method refers to a nano thin film deposition technique using a monoatomic layer phenomenon, it is possible to deposit an ultrafine thin film having an atomic layer thickness.
  • a two-dimensional material refers to a material composed of weak van der Waals bonds on the other side of the out-of-phase bonds, whereas the planar interatomic bonds are made of strong covalent bonds, specifically, graphene and silicene. ), Phosphorene, hexagonal boron nitride, and metal chalcogenides.
  • the metal chalcogenide compound may be used as a two-dimensional material.
  • Metal chalcogenide compounds consist of metals and chalcogen elements.
  • the metal chalcogenide compound is at least one metal selected from the group consisting of Mo, W, Nb, Ga, Ta, Zr, Ti, Hf, Sn, In and Ge, S, Se and Te It may be composed of one or more chalcogen elements selected from the group consisting of.
  • the metal chalcogenide compound may have a chemical formula of MX, MX 2 or M 2 X 3 .
  • M is a metal, preferably Mo, W, Nb, Ga, Ta, Zr, Ti, Hf, Sn, In and Ge may be any one selected from the group consisting of.
  • X is a chalcogen element, and preferably may be any one selected from the group consisting of S, Se, and Te.
  • step (2) is (a) introducing a metal precursor into the reactor to the metal adsorption site where the adsorption inhibitor is not adsorbed Adsorbing; (b) purging the metal precursor from inside the reactor; (c) introducing a chalcogen precursor into the reactor to synthesize a metal chalcogenide compound; And (d) purging the chalcogenide precursor from the inside of the reactor.
  • Step (2) may be carried out at a temperature of 100 to 400 °C, preferably at a temperature of 150 to 350 °C, more preferably 200 to 300 °C.
  • step (2) may be performed for 60 to 240 seconds, preferably 80 to 200 seconds, more preferably 100 to 160 seconds.
  • step (3) for crystallizing the two-dimensional material will be described.
  • Step (3) may be performed at a temperature of 350 to 500 ° C, preferably 400 to 500 ° C, more preferably at a temperature of 420 to 480 ° C. In addition, step (3) may be performed for 5 to 120 seconds, preferably 5 to 90 seconds, more preferably 5 to 60 seconds. When the temperature and the performance time of step (3) satisfy the above range, it is possible to effectively induce the crystallization of the two-dimensional material.
  • step (1) to (3) may be carried out at a temperature of 500 °C or less.
  • a temperature of 500 °C or less When forming a two-dimensional material by the conventional CVD method requires a high process temperature of 600 °C or more, there was a limitation in applying a substrate of a material susceptible to heat.
  • the present invention by the ALD method can form a thin film of a two-dimensional material at a relatively low temperature, has the advantage that can be applied to a substrate of various materials as needed.
  • Two-dimensional material the average particle size (hereinafter, d grain) 20 to be 120 nm, and preferably from 25 to 100 nm, more preferably of from 30 to 80 nm d grain Can have
  • d grain of the two-dimensional material satisfies the above range, a two-dimensional material having an excellent crystal structure can be obtained.
  • the proportion of particles having a particle size of 10 nm or more (hereinafter, c grain ) among the particles constituting the two-dimensional material may be 20 to 100%, preferably 25 to 98%, more preferably 30 to 95% Can be.
  • c grain of the two-dimensional material satisfies the above range, it is possible to obtain a two-dimensional material showing excellent charge mobility.
  • the excellent electrical properties of the two-dimensional material are due to the excellent crystal structure of the two-dimensional material, and securing an excellent crystal structure becomes an important factor for improving the electrical properties.
  • the crystal structure of the two-dimensional material depends on the concentration of precursor adsorbed at the initial stage of formation of the two-dimensional material.
  • the precursor concentration of the adsorbed two-dimensional material directly affects the nuclear density of the two-dimensional material on the surface of the substrate, and d grain and c grain of the crystal structure of the two-dimensional material according to the increase or decrease of the nuclear density of the two-dimensional material. This is greatly affected.
  • the nuclear density of the two-dimensional material increases because the precursor of the two-dimensional material is sufficiently adsorbed on the substrate. If the nuclear density is increased, the crystal structure of the two-dimensional material does not grow enough to form a thin film. However, when treating the adsorption inhibitor, the concentration at which the precursor of the two-dimensional material is adsorbed on the substrate is reduced, resulting in a decrease in nuclear density. If the nuclear density is reduced, the crystal structure of the two-dimensional material is fully grown, which can dramatically improve d grain and c grain .
  • the d grain and c grain of the crystal structure forming the two-dimensional material is improved as described above, a thin film of the two-dimensional material having a uniform and continuous layer structure can be obtained, and as described later, a uniform and continuous layer structure can be obtained. It is possible to greatly improve the electrical properties of the two-dimensional material.
  • the two-dimensional material according to the preferred embodiment of the present invention may be characterized by forming a continuous layered structure.
  • the layered structure has a structure of about 3 to 10 layers, and may preferably have a layered structure of 5 to 8 layers, more preferably 6 to 7 layers.
  • it can be characterized by forming a continuous layered structure without interruption over a length of about 150nm or more, and the number of laminated layers is homogeneous.
  • the crystal structure does not grow sufficiently or includes an amorphous portion, thereby forming a non-continuous layered structure.
  • the layered structure is not continuous, it may negatively affect the carrier movement process, which may cause deterioration of electrical characteristics.
  • the two-dimensional material according to the preferred embodiment of the present invention has a continuous layer structure and does not exhibit a decrease in carrier mobility, it is possible to obtain a two-dimensional material having excellent electrical properties.
  • Adsorption inhibitor pretreatment was performed on the substrate using an ALD reactor.
  • the pretreatment step was performed at 350 ° C. for 30 seconds, using a SiO 2 / Si substrate, and using DES (Sigma-Aldrich) as the adsorption inhibitor.
  • the bubbler type canister for DES was maintained at 35 ° C. during the pretreatment.
  • DES was introduced into the reactor with Ar carrier gas and the chamber pressure was maintained at 0.5 torr.
  • the substrate was moved to a loadlock chamber to prevent contamination due to air exposure.
  • the DES remaining in the reactor was then purged with Ar gas and the chamber susceptor was cooled to 250 ° C. for the next step.
  • a metal precursor and a sulfur precursor were introduced into a substrate pretreated with DES to form a metal chalcogenide compound.
  • Mo (CO) 6 UP Chemical
  • DEDS diethyl disulfide
  • Formation of the metal chalcogenide compound was composed of four steps of 'metal precursor supply, Ar purification, sulfur precursor supply and Ar purification', and was performed for 0.5 seconds, 60 seconds, 3 seconds and 60 seconds, respectively.
  • the pressure in the reactor was maintained at 0.5 torr and the reaction temperature was maintained at 250 ° C.
  • the metal precursor was introduced without using a carrier gas by heating the canister to 35 ° C.
  • the sulfur precursor was introduced into the reactor at a flow rate of 100 sccm (standard cubic centimeters per minute) using pure Ar carrier gas (99.999%), and a bubbler-type canister heated to 65 ° C was used.
  • a MoS 2 thin film was prepared in the same manner as in Example 1 except that the pretreatment step using DES was performed for 150 seconds.
  • a MoS 2 thin film was prepared in the same manner as in Example 1 except that the pretreatment step using DES was not performed.
  • the pretreatment was performed for 150 seconds using the adsorption activator DEDS instead of the adsorption inhibitor DES, and the same as in Example 1 except that the bubbler type canister for DEDS was maintained at 60 ° C. during the pretreatment.
  • MoS 2 thin film was prepared by the method.
  • reaction energy was calculated using the Vienna Ab initio Simulation Package (VASP).
  • VASP Vienna Ab initio Simulation Package
  • Generalized gradient approximation for exchange-correlation interactions was applied, and by default, plane waves with kinetic energy below 400 eV were included. Ion position has been updated until you exceed this 0.02eV / ⁇ residual force (residual forces), the electron density is the total energy change 10 - eased until the excess of 5 eV. All calculations were performed at the gamma point, and the tridymite structure was adapted to cover with isolated silanol groups where surface adsorption was most advantageous. In addition, the unit cell is set large enough to minimize spurious interactions between the cells.
  • Figure 1 shows the comparison of the metal precursor adsorption behavior, the three-dimensional reaction equation and the reaction energy in the manufacturing process of the two-dimensional material according to Examples 1 and 2 and Comparative Examples 1 and 2 according to.
  • the effect of the DES preprocessing will be described with reference to FIG. 1.
  • the surface of the SiO 2 / Si substrate is covered with —OH functional groups that serve as adsorption sites.
  • OH bonds are broken through reaction between the metal precursor Mo (CO) 6 and the adsorption site (—OH group), and Mo and O form covalent bonds. The metal precursor can thereby be adsorbed.
  • Examples 1 and 2 undergoing DES pretreatment as an adsorption inhibitor DES reacts with the adsorption site to release ethane thiol to form a covalent bond between oxygen (O) and carbon (C). Since the OC bond thus formed corresponds to a relatively stable bond, it was shown that the reaction in which the metal precursor Mo (CO) 6 was adsorbed to the DES pretreated adsorption site was thermodynamically undesirable.
  • the adsorption site pretreated with DES is expected that the tightly bound ethyl group can block the access of Mo (CO) 6 in three dimensions to further reduce the reactivity.
  • Figure 2 shows the results of measuring the adsorption density of the metal precursor in the manufacturing process of the two-dimensional material according to Example 1 and Comparative Example 2.
  • Mo adsorption density was found to be very low in Example 1 after DES pretreatment.
  • Comparative Example 2 subjected to DEDS pretreatment, as the cycle of the ALD process was increased, the Mo adsorption density was increased almost linearly to clearly confirm the difference in reactivity.
  • Figure 3 shows the Raman analysis of the two-dimensional material according to Example 1 and Comparative Example 2.
  • Example 1 which was subjected to DES pretreatment, a wide peak was observed around 1500 cm ⁇ 1 , unlike the case of Comparative Example 2. This indicates CC bonding, and in Example 1, the surface of the substrate is coated with a composite carbon compound.
  • Figure 4 shows the results of measuring the adsorption density of the metal precursor in the manufacturing process of the two-dimensional material according to Example 1 and Example 2. Referring to FIG. 4, it can be seen that the Mo adsorption density is lower as the pretreatment process time by DES is longer, and in particular, when the DES pretreatment time is 10 seconds or more, the Mo adsorption density is remarkably lowered.
  • X-ray photoelectron spectroscopy (XPS) analysis was performed to confirm and evaluate the chemical structure and stoichiometry of the two-dimensional material prepared according to Example 1, Comparative Examples 1 and 2.
  • Example 5 shows XPS analysis results for the two-dimensional material according to Example 1 and Comparative Examples 1 and 2; Referring to FIG. 5, in the case of Example 1 which was subjected to DES pretreatment, only peaks corresponding to MoS 2 were confirmed. In addition, since the Mo 3d peak moved below the binding energy (BE) value and the intensity of the O 1s peak decreased, it was confirmed that the formation of MoO x was greatly reduced.
  • BE binding energy
  • Figure 6 shows the results of the AFM analysis for the two-dimensional material according to Examples 1-2 and Comparative Examples 1-2.
  • the video image of the surface morphology of samples via the computer calculated the average diameter of the particles (grain d) and the particle size of the coverage of the particles less than 10nm (c grain).
  • the results are summarized in Table 1 below.
  • Figure 7 shows the measurement results for the ratio of the average diameter of the particles to the two-dimensional material according to Example 1 and Example 2 and the particles having a diameter of 10nm or more.
  • Examples 1 and 2 subjected to DES pretreatment showed d grains of 28.49 nm and 75.47 nm, respectively, and 32.2% and 93.16% c grains , respectively.
  • Comparative Example 1 which did not undergo pretreatment, showed only 19.53 nm of d grain and 15.0% of c grain
  • Comparative Example 2 which had undergone DEDS pretreatment, decreased d grain to 3.01 nm and c grain of 3.0. The results were reduced by%. Therefore, in Examples 1 and 2 subjected to the DES pretreatment it was confirmed that the d grain and c grain increased significantly.
  • Results can be successfully controlled with the crystal structure of MoS 2 thin film by modifying the surface of the substrate through the DES pre From the above, and to determine that they can form a MoS 2 thin film of excellent crystal structure having a relatively large particle size there was.
  • FIG. 8 is a transmission microscope (TEM) photograph of a side portion of a two-dimensional material according to Example 2 and Comparative Examples 1 to 2
  • FIG. 9 is a view of a two-dimensional material prepared according to Example 2 and Comparative Examples 1 to 2; Transmission microscopy (TEM) images of planar sections. 8 to 9, although all the samples have almost the same thickness corresponding to about 5 to 7 layers, it can be seen that the microstructure is different from sample to sample.
  • TEM transmission microscope
  • Example 2 after the DES pretreatment, the layered structure parallel to the substrate can be clearly observed. In addition, it can be seen that a continuous layer structure is formed throughout the region (about 150 nm) that can be seen from the TEM photograph, the number of laminated layers is homogeneous, and no amorphous phase is observed.
  • Comparative Example 1 which was not subjected to pretreatment, a partial layer structure was observed, but the number of laminated layers varied, and thus it was confirmed that they were not continuously connected. This means that the MoS 2 particles are not well connected on the entire thin film, which may negatively affect the carrier migration process and cause electrical properties to deteriorate.
  • Comparative Example 2 which was subjected to DEDS pretreatment, the layered structure could not be confirmed, and only randomly scattered small flake layers were identified.
  • XPS analysis of Comparative Example 2 a considerable amount of MoO x was mixed, it can be understood that the higher the MoO x ratio hinders the growth of the MoS 2 structure, and thus a continuous layered structure cannot be formed. have.
  • a bottom gate field effect transistor including an Au / Ti electrode and a 200 nm wide SiO 2 gate insulator was manufactured. .
  • Example 10 and 11 show the results of measuring the bottom gate voltage (V g ) -drain current (I ds ) of the FET including the two-dimensional material according to Comparative Example 1 and Example 2, respectively.
  • V g bottom gate voltage
  • I ds drain current
  • Comparative Example 1 which did not undergo pretreatment, exhibited a p-channel characteristic with a field effect hole mobility of about 0.004 cm 2 V ⁇ 1 s ⁇ 1 . Relatively low mobility appears to be due to small particle size and structural heterogeneity, and the p-type characteristic appears to be derived from MoO x . It was also confirmed that Comparative Example 2, which had been subjected to DEDS pretreatment, did not exhibit significant switching behavior.

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

The present invention relates to a method for preparing a two-dimensional material of which the electrical properties are improved by increasing a crystalline size by adopting an adsorption inhibitor. More specifically, disclosed is a method for preparing a two-dimensional material by using an adsorption inhibitory surface treatment, the method comprises the steps of: (1) adopting an adsorption inhibitor in a substrate having adsorption sites so as to adsorb the adsorption inhibitor thereon; (2) forming, by using atomic layer deposition, a two-dimensional material on the adsorption sites on which the adsorption inhibitor has not been adsorbed; and (3) crystallizing the formed two-dimensional material. The method for preparing a two-dimensional material by using an adsorption inhibitory surface treatment, according to the present invention, has the effects of: obtaining a two-dimensional material in the form of a thin film that is uniform with respect to a wide area; enabling a plastic material, which is vulnerable to heat, to be freely applied to a substrate as the method is performed at a low processing temperature; and increasing the crystallinity of a two-dimensional material, thereby being capable of preparing a two-dimensional material having excellent charge mobility.

Description

흡착억제 표면처리를 이용한 2차원 물질의 제조방법Method for producing two-dimensional material using adsorption inhibition surface treatment
본 발명은 흡착 억제제의 도입으로 결정크기를 증가시켜 전기적 특성을 향상시킨 2차원 물질의 제조방법에 관한 것이다.The present invention relates to a method for producing a two-dimensional material that improves the electrical properties by increasing the crystal size by the introduction of the adsorption inhibitor.
그래핀(graphene), 실리신(silicene), 포스포린(phosphorene), 헥사고날 보론 나이트라이드(hexagonal boron nitride) 및 금속칼코게나이드 화합물(metal chalcogenides)로 대표되는 2차원 물질은 평면상의 원자간 결합은 강한 공유결합으로 이루어진 반면, 그 외의 out of phase 방면으로는 약한 반데르발스 결합으로 이루어진 물질을 지칭한다. Two-dimensional materials represented by graphene, silicene, phosphorine, hexagonal boron nitride, and metal chalcogenides are planar interatomic bonds. Refers to a material made of strong covalent bonds, while weak van der Waals bonds to the other out of phase.
이러한 2차원 물질은 그 결합의 특성 때문에 분자층 단위로 매우 얇게(<1㎚) 형성시킬 수 있다. 또한 기존의 반도체 물질보다 매우 큰 전하이동도 및 광-전자 변환 효율을 나타내고, 투명하고 유연한 소재를 만들 수 있다는 장점을 지니고 있어 차세대 전자소자 및 광전소자 등으로 광범위하게 사용될 수 있을 것으로 예측되며, 최근 이에 대한 기초연구 및 응용연구가 활발히 수행되고 있다.These two-dimensional materials can be formed very thin (<1 nm) in molecular layers because of the nature of their bonding. In addition, it exhibits much higher charge mobility and photo-electronic conversion efficiency than conventional semiconductor materials, and has the advantage of making transparent and flexible materials. Therefore, it is expected to be widely used as the next-generation electronic device and photoelectric device. Basic and applied researches on this are being actively conducted.
2차원 물질을 전자 장치 및 제품에 응용하기 위해서는 넓은 면적에 대해 균일한 박막을 얻을 수 있는 합성방법이 필수적으로 요구되며, 이를 얻는 가장 쉬운 방법은 기계적 박리법 또는 화학적 박리법을 이용하는 것이다. 이들 방법을 이용하는 경우 다양한 2차원 물질을 손쉽게 얻을 수 있다는 장점이 있으나, 재현성이 부족하고 웨이퍼 스케일의 균일한 박막을 얻는 것이 불가능하다는 문제점이 있다.In order to apply a two-dimensional material to electronic devices and products, a synthesis method for obtaining a uniform thin film over a large area is required. The easiest way to obtain the same is by using a mechanical peeling method or a chemical peeling method. When using these methods, there are advantages in that various two-dimensional materials can be easily obtained, but there are problems in that reproducibility is insufficient and it is impossible to obtain a uniform thin film on a wafer scale.
또한 최근 2차원 물질을 얻기 위한 방법으로 화학 기상 합성법(chemical vapor deposition, 이하 CVD법)이 제안되었다. 그러나 CVD법에 따르는 경우 수 mm 단위의 조각(flake)을 얻기에는 용이하나, 웨이퍼 스케일(~10㎝)의 균일한 소재를 얻는 것은 불가능하며, 600℃ 이상의 높은 공정온도를 필요로 하기 때문에 열에 취약한 플라스틱 재질의 기판 적용이 제한된다는 문제점이 있다.In addition, recently, chemical vapor deposition (CVD) has been proposed as a method for obtaining two-dimensional materials. However, the CVD method makes it easy to obtain a few millimeters of flakes, but it is not possible to obtain a uniform material on a wafer scale (~ 10 cm) and is susceptible to heat because it requires a high process temperature of 600 ° C or higher. There is a problem that the application of the substrate of the plastic material is limited.
따라서 2차원 물질을 이용한 전자 장치 및 제품의 양산이 가능하기 위한 공정으로 원자층 증착법(atomic layer deposition)이 유일한 대안으로 언급되고 있다. 그러나 원자층 증착법의 특성상 2차원 물질의 결정 크기가 매우 작아 그 전기적 특성이 알려진 것에 비하여 매우 낮게 나타나고 있다는 문제점이 있다.Therefore, atomic layer deposition has been mentioned as the only alternative as a process for mass production of electronic devices and products using two-dimensional materials. However, there is a problem in that the crystal size of the two-dimensional material is very small due to the characteristics of the atomic layer deposition method, and the electrical properties thereof are very low compared to the known ones.
본 발명은 넓은 면적에 대해 균일한 박막 형태를 지니는 2차원 물질을 제조하는 방법을 제공하고자 하며, 원자층 증착법을 이용하면서도 우수한 결정구조 및 전하 이동도를 가지는 2차원 물질의 제조방법을 제공하는 것을 그 목적으로 한다.The present invention is to provide a method for producing a two-dimensional material having a uniform thin film form over a large area, and to provide a method for producing a two-dimensional material having excellent crystal structure and charge mobility while using an atomic layer deposition method. For that purpose.
상기 목적을 달성하기 위하여, 본 발명은 (1) 흡착 부위(adsorption site)를 구비하는 기판에 흡착 억제제를 도입하여 상기 흡착 억제제를 흡착시키는 단계; (2) 원자층 증착법(atomic layer deposition)을 이용하여 상기 흡착 억제제가 흡착되지 않은 흡착 부위에 2차원 물질을 형성하는 단계; 및 (3) 상기 형성된 2차원 물질을 결정화하는 단계;를 포함하는 흡착억제 표면처리를 이용한 2차원 물질의 제조방법을 제공한다.In order to achieve the above object, the present invention comprises the steps of (1) adsorbing the adsorption inhibitor by introducing an adsorption inhibitor to the substrate having an adsorption site (adsorption site); (2) forming a two-dimensional material at an adsorption site where the adsorption inhibitor is not adsorbed by using atomic layer deposition; And (3) crystallizing the formed two-dimensional material. It provides a method for producing a two-dimensional material using an adsorption inhibiting surface treatment comprising a.
본 발명에 따른 흡착억제 표면처리를 이용한 2차원 물질의 제조방법은 넓은 면적에 대하여 균일한 박막형태의 2차원 물질을 얻을 수 있고, 낮은 공정온도에서 진행되어 열에 약한 플라스틱 재질 기판의 적용이 자유로우며, 2차원 물질의 결정구조를 향상시킴에 따라 우수한 전하 이동도를 가지는 2차원 물질의 제조가 가능한 효과를 나타낸다.In the method for producing a two-dimensional material using the adsorption-inhibiting surface treatment according to the present invention, it is possible to obtain a two-dimensional material having a uniform thin film form over a large area, and to apply at a low process temperature to apply a plastic substrate that is weak to heat. As the crystal structure of the two-dimensional material is improved, the two-dimensional material having excellent charge mobility can be produced.
도 1은 실시예 1~2 및 비교예 1~2에 따른 2차원 물질의 제조과정에 있어 전처리 유무에 따른 금속 전구체 흡착거동, 3차원 반응식 및 반응 에너지의 차이를 비교하여 나타낸다.Figure 1 shows the comparison of the metal precursor adsorption behavior, three-dimensional reaction formula and the reaction energy in the manufacturing process of the two-dimensional material according to Examples 1-2 and Comparative Examples 1-2.
도 2는 실시예 1 및 비교예 2에 따른 2차원 물질의 제조과정에 있어 금속 전구체의 흡착밀도 측정결과를 나타낸다.Figure 2 shows the results of measuring the adsorption density of the metal precursor in the manufacturing process of the two-dimensional material according to Example 1 and Comparative Example 2.
도 3은 실시예 1 및 비교예 2에 따른 2차원 물질에 대한 Raman 분석결과를 나타낸다.Figure 3 shows the Raman analysis of the two-dimensional material according to Example 1 and Comparative Example 2.
도 4는 실시예 1 및 실시예 2에 따른 2차원 물질의 제조과정에 있어 금속 전구체의 흡착밀도 측정결과를 나타낸다. Figure 4 shows the results of measuring the adsorption density of the metal precursor in the manufacturing process of the two-dimensional material according to Example 1 and Example 2.
도 5는 실시예 1, 비교예 1~2에 따른 2차원 물질에 대한 XPS 분석 결과를 나타낸다.5 shows XPS analysis results for the two-dimensional material according to Example 1 and Comparative Examples 1 and 2;
도 6은 실시예 1~2 및 비교예 1~2에 따른 2차원 물질에 대한 AFM 분석 결과를 나타낸다. Figure 6 shows the results of the AFM analysis for the two-dimensional material according to Examples 1-2 and Comparative Examples 1-2.
도 7은 실시예 1 및 실시예 2에 따른 2차원 물질에 대한 입자의 평균직경 및 10㎚ 이상의 직경을 갖는 입자의 비율에 대한 측정결과를 나타낸다. 7 shows measurement results of a ratio of particles having an average diameter and a diameter of 10 nm or more with respect to the two-dimensional material according to Examples 1 and 2.
도 8은 실시예 2 및 비교예 1~2에 따른 2차원 물질의 측면 부분에 대한 투과현미경(TEM) 사진이다. 8 is a transmission microscope (TEM) photograph of a side portion of a two-dimensional material according to Example 2 and Comparative Examples 1 to 2;
도 9는 실시예 2 및 비교예 1에 따라 제조된 2차원 물질의 평면 부분에 대한 투과현미경(TEM) 사진이다.9 is a transmission microscope (TEM) photograph of a planar portion of a two-dimensional material prepared according to Example 2 and Comparative Example 1. FIG.
도 10은 비교예 1에 따라 제조된 2차원 물질을 포함하는 FET의 bottom gate 전압(Vg)-드레인 전류(Ids)를 측정한 결과를 나타낸다.FIG. 10 illustrates a result of measuring bottom gate voltage (V g ) -drain current (I ds ) of a FET including a two-dimensional material manufactured according to Comparative Example 1. FIG.
도 11은는 실시예 2에 따라 제조된 2차원 물질을 포함하는 FET의 bottom gate 전압(Vg)-드레인 전류(Ids)를 측정한 결과를 나타낸다.FIG. 11 shows a result of measuring bottom gate voltage (V g ) -drain current (I ds ) of a FET including a two-dimensional material prepared according to Example 2. FIG.
본 발명은 흡착 억제제의 도입으로 결정크기를 증가시켜 전기적 특성을 향상시킨 2차원 물질의 제조방법에 관한 것으로, 원자층 증착법을 이용하면서도 높은 결정성 및 전하 이동도를 나타내는 2차원 물질의 제조방법에 관한 것이다.The present invention relates to a method for preparing a two-dimensional material having improved crystal size by the introduction of an adsorption inhibitor to improve electrical properties, and to a method for producing a two-dimensional material showing high crystallinity and charge mobility while using atomic layer deposition. It is about.
본 명세서에서 사용되는 '흡착 부위(adsorption site)'라는 용어는 기판의 표면에 존재하는 작용기를 지칭하는 것으로, 2차원 물질의 전구체 등과 반응하여 기판 상에 전구체 등이 흡착될 수 있는 부위를 의미한다.As used herein, the term 'adsorption site' refers to a functional group present on the surface of the substrate, and refers to a site where a precursor or the like may be adsorbed onto the substrate by reacting with a precursor of a two-dimensional material. .
본 명세서에서 설명되는 ALD 반응기(ALD reactor)는 2차원 물질의 제조과정 중 사용되는 것으로 본 발명의 실시예에서 구체적으로 설명하고 있지는 않으나, 본 발명이 속하는 기술분야의 통상의 기술자에게 용이하게 이해될 수 있는 구성으로 이루어진다.The ALD reactor described herein is used in the manufacturing process of the two-dimensional material and is not specifically described in the embodiments of the present invention, but will be easily understood by those skilled in the art. It is made up of possible configurations.
본 발명의 일 측면에 따르면, (1) 흡착 부위(adsorption site)를 구비하는 기판에 흡착 억제제를 도입하여 상기 흡착 억제제를 흡착시키는 단계; (2) 원자층 증착법(atomic layer deposition)을 이용하여 상기 흡착 억제제가 흡착되지 않은 흡착 부위에 2차원 물질을 형성하는 단계; 및 (3) 상기 형성된 2차원 물질을 결정화하는 단계;를 포함하는 흡착억제 표면처리를 이용한 2차원 물질의 제조방법을 제공한다.According to one aspect of the invention, (1) adsorbing the adsorption inhibitor by introducing an adsorption inhibitor to the substrate having an adsorption site (adsorption site); (2) forming a two-dimensional material at an adsorption site where the adsorption inhibitor is not adsorbed by using atomic layer deposition; And (3) crystallizing the formed two-dimensional material. It provides a method for producing a two-dimensional material using an adsorption inhibiting surface treatment comprising a.
먼저 흡착 억제제를 흡착시키는 (1) 단계에 대하여 설명한다.First, step (1) of adsorbing the adsorption inhibitor will be described.
기판은 임의의 기판을 사용할 수 있으며, 단단하거나 유연한 기판을 사용할 수 있다. 예를 들어 유리 기판, 플라스틱 기판 또는 다른 재료로 만들어진 기판일 수 있으며, 필요에 따라 투명 플라스틱 소재의 기판을 사용할 수 있다. 바람직한 일 실시예로서 기판은 SiO2/Si 기판을 사용할 수 있다. 또한, 기판은 그 표면에 '흡착 부위(adsorption site)'를 지니는 것을 특징으로 한다. 흡착 부위에는 2차원 물질의 전구체가 흡착될 수 있을 뿐만 아니라, 뒤에 설명될 흡착 억제제 및 흡착 활성제가 흡착될 수 있다. The substrate may be any substrate, and may be a rigid or flexible substrate. For example, it may be a glass substrate, a plastic substrate, or a substrate made of another material, and a substrate made of a transparent plastic material may be used if necessary. In a preferred embodiment, the substrate may be a SiO 2 / Si substrate. In addition, the substrate is characterized by having an 'adsorption site' on its surface. In addition to the adsorption site, precursors of two-dimensional materials can be adsorbed, as well as adsorption inhibitors and adsorption activators, which will be described later.
흡착 억제제란 기판에 2차원 물질이 흡착되기에 앞서 기판의 표면에 처리되는 물질로, 2차원 물질의 전구체가 기판의 흡착 부위에 흡착되는 것을 방해하는 역할을 하는 물질을 의미한다. 흡착 억제제의 종류는 2차원 물질의 전구체가 기판의 흡착 부위에 흡착되는 것을 방해하는 것이면 특별히 한정되지 아니하나, 구체적인 예로 탄소수 1 내지 10의 알코올 화합물, 페릴렌-3,4,9,10-테트라카복실산테트라포타슘염{perylene-3,4,9,10-tetracarboxylic acid tetra potassium salt: PTAS}, 구리(II)1,2,3,4,8,9,10,11,15,16,17,18,22,23,24,25-헥사데카플루오로-29H,31H-프탈로사이아닌{copper(II)1,2,3,4,8,9,10,11,15,16,17,18,22,23,24,25-hexadecafluoro -29H,31H-phthalocyanine: F16CuPc}, 페릴렌-3,4,9,10-테트라카복실산이무수물{perylene-3,4,9,10-tetracarboxylic acid dianhydride: PTCDA}, 구리(II)프탈로사이아닌{copper(II)phthalocyanine: CuPc}, 다이벤조{(f,f′)-4,4′,7,7′-테트라페닐}다이인데노(1,2,3-cd:1′,2′,3′-lm)페릴렌[dibenzo{(f,f′)-4,4′,7,7′-tetraphenyl}diindeno(1,2,3-cd:1′,2′,3′-lm)perylene: DBP], 크리스탈바이올렛{crystal violet(CV)}, p-나이트로벤젠-다이아조-아미노-아조벤젠{p-nitrobenzene-diazo-amino-azobenzene: NAA}, N,N'-비스(3-메틸페닐)-N,N'-다이페닐-9,9'-스파이로바이[플루오렌]-2,7-다이아민{N,N'-Bis(3-methylphenyl)-N,N'-diphenyl-9,9'-spirobi[fluorene]-2,7-diamine: spiro-TPD}, 트리스(4-카바조일-9-일페닐)아민{tris(4-carbazoyl-9-ylphenyl)amine: TCTA}, 바소쿠프로인{bathocuproine: BCP} 및 다이에틸설파이드(diethyl sulfide: DES) 등을 들 수 있다. 본 발명의 바람직한 일 실시예로서 diethyl sulfide(DES)를 흡착 억제제로 사용할 수 있다.The adsorption inhibitor refers to a material that is treated on the surface of the substrate before the two-dimensional material is adsorbed on the substrate, and means a material that serves to prevent the precursor of the two-dimensional material from adsorbing to the adsorption site of the substrate. The type of the adsorption inhibitor is not particularly limited as long as it prevents the precursor of the two-dimensional material from adsorbing to the adsorption site of the substrate. Specific examples thereof include alcohol compounds having 1 to 10 carbon atoms, perylene-3,4,9,10-tetra -Tetracarboxylic acid tetra potassium salt (PTAS), copper (II) 1, 2, 3, 4, 8, 9, 10, 11, 15, 16, 17, 18,22,23,24,25-hexadecafluoro-29H, 31H-phthalocyanine {copper (II) 1,2,3,4,8,9,10,11,15,16,17, 18,22,23,24,25-hexadecafluoro-29H, 31H-phthalocyanine: F 16 CuPc}, perylene-3,4,9,10-tetracarboxylic dianhydride {perylene-3,4,9,10-tetracarboxylic acid dianhydride: PTCDA}, copper (II) phthalocyanine {copper (II) phthalocyanine: CuPc}, dibenzo {(f, f ′)-4,4 ′, 7,7′-tetraphenyl} diindeno (1,2,3-cd: 1 ′, 2 ′, 3′-lm) perylene [dibenzo {(f, f ′)-4,4 ′, 7,7′-tetraphenyl} diindeno (1,2, 3-cd: 1 ′, 2 ′, 3′-lm) perylene: DBP], crystal violet (CV)}, p P-nitrobenzene-diazo-amino-azobenzene (NAA), N, N'-bis (3-methylphenyl) -N, N'-diphenyl-9,9'- Spirobi [fluorene] -2,7-diamine {N, N'-Bis (3-methylphenyl) -N, N'-diphenyl-9,9'-spirobi [fluorene] -2,7-diamine: spiro-TPD}, tris (4-carbazoyl-9-ylphenyl) amine {tris (4-carbazoyl-9-ylphenyl) amine: TCTA}, bashocuproine {bathocuproine: BCP} and diethyl sulfide : DES). As a preferred embodiment of the present invention, diethyl sulfide (DES) may be used as an adsorption inhibitor.
관련하여 도 1은 실시예 1~2 및 비교예 1~2에 따른 2차원 물질의 제조과정에 있어 전처리 유무에 따른 금속 전구체 흡착거동, 3차원 반응식 및 반응 에너지의 차이를 비교하여 나타낸 것이다. 이하 도 1을 참조하여 흡착 억제제가 작용하는 메커니즘을 설명한다.1 shows a comparison of metal precursor adsorption behavior, three-dimensional reaction formula and reaction energy according to the presence or absence of pretreatment in the manufacturing process of the two-dimensional material according to Examples 1 and 2 and Comparative Examples 1 and 2. Hereinafter, the mechanism of the adsorption inhibitor will be described with reference to FIG. 1.
도 1에서 확인 가능한 바와 같이 흡착 부위는 기판의 표면에 특정 밀도로 존재하고 있으며, ALD법을 이용하는 경우 초기 박막의 성장 거동을 결정하는 가장 중요한 요인이 된다. 2차원 물질의 전구체는 화학흡착 반응속도론(chemisorption kinetics)에 영향을 받는 특정 확률에 따라 흡착 부위에 흡착되며, 흡착 억제제 또는 흡착 활성제(adsorption activator)를 기판에 도포하는 경우에도 각각 특정 확률에 따라 흡착 부위에 흡착된다.As can be seen in Figure 1, the adsorption site is present at a specific density on the surface of the substrate, when using the ALD method is the most important factor in determining the growth behavior of the initial thin film. The precursor of the two-dimensional material is adsorbed to the adsorption site according to a specific probability affected by chemisorption kinetics, and even when applying an adsorption inhibitor or an adsorption activator to the substrate, respectively Adsorbed on the site.
흡착 억제제 또는 흡착 활성제가 기판의 흡착 부위에 흡착된 경우, 전처리되지 않은 흡착 부위와 화학적으로 다르기 때문에 2차원 물질의 전구체에 대하여 다른 흡착 거동을 나타낸다. 흡착 활성제는 흡착 부위의 작용기와 새로운 공유결합을 형성하여 기판에 흡착되나 비교적 불안정한 결합을 형성하게 되며, 결과적으로 흡착 부위의 반응성을 증대시켜 이후 2차원 물질 전구체의 흡착 확률을 증가시킨다. 반면 흡착 억제제는 흡착 부위의 작용기와 공유결합하여 비교적 안정한 결합을 형성하게 되므로, 흡착 부위의 반응성을 저하시켜 이후 2차원 물질 전구체의 흡착 확률을 감소시킨다. When adsorption inhibitors or adsorption activators are adsorbed to the adsorption sites of the substrate, they exhibit different adsorption behavior for precursors of two-dimensional materials because they are chemically different from the adsorption sites that are not pretreated. The adsorption activator forms new covalent bonds with the functional groups of the adsorption site, but is adsorbed on the substrate, but forms relatively unstable bonds, thereby increasing the reactivity of the adsorption site thereby increasing the adsorption probability of the two-dimensional material precursor. On the other hand, since the adsorption inhibitor covalently bonds with the functional group of the adsorption site to form a relatively stable bond, it lowers the reactivity of the adsorption site, thereby reducing the adsorption probability of the two-dimensional material precursor.
(1) 단계는 200 내지 500℃의 온도에서 수행될 수 있으며, 바람직하게는 250 내지 450℃, 더욱 바람직하게는 300 내지 400℃의 온도에서 수행될 수 있다. (1) 단계의 온도가 200℃에 미치지 못하는 경우 흡착 억제제가 흡착 부위에 충분히 흡착되기 어려운 문제점이 있으며, 500℃를 초과하는 경우 열에 약한 재질의 기판 사용이 제한되는 문제점이 있다.Step (1) may be performed at a temperature of 200 to 500 ° C, preferably 250 to 450 ° C, more preferably 300 to 400 ° C. If the temperature of step (1) is less than 200 ℃, there is a problem that the adsorption inhibitor is difficult to be sufficiently adsorbed on the adsorption site, when the temperature exceeds 500 ℃ there is a problem that the use of a substrate weak in heat.
아울러 (1) 단계는 10 내지 300초 동안 수행될 수 있으며, 바람직하게는 10 내지 250초, 더욱 바람직하게는 10 내지 200초 동안 수행될 수 있다. (1) 단계의 진행시간이 10초 미만인 경우 흡착 억제제가 충분히 흡착되지 못하는 문제점이 있고, 300초를 초과하는 경우 과량의 흡착 억제제가 흡착되어 2차원 물질이 충분히 형성되지 못하는 문제점이 있다.In addition, step (1) may be performed for 10 to 300 seconds, preferably 10 to 250 seconds, more preferably 10 to 200 seconds. If the advancing time of step (1) is less than 10 seconds, there is a problem in that the adsorption inhibitor is not sufficiently adsorbed, and if it exceeds 300 seconds, the excess adsorption inhibitor is adsorbed so that the two-dimensional material is not sufficiently formed.
다음으로 2차원 물질을 형성하는 (2) 단계에 대하여 설명한다.Next, step (2) of forming the two-dimensional material will be described.
(2) 단계는 원자층 증착법(atomic layer deposition)에 의하는 것을 특징으로 한다. 원자층 증착법은 단원자층 현상을 이용한 나노 박막 증착 기술을 의미하며, 원자층 두께의 초미세 박막의 증착이 가능하다.Step (2) is characterized by atomic layer deposition. The atomic layer deposition method refers to a nano thin film deposition technique using a monoatomic layer phenomenon, it is possible to deposit an ultrafine thin film having an atomic layer thickness.
2차원 물질이란 평면상의 원자간 결합은 강한 공유결합으로 이루어진 반면, 그 외의 out of phase 방면으로는 약한 반데르발스 결합으로 이루어진 물질을 지칭하는 것으로, 구체적으로 그래핀(graphene), 실리신(silicene), 포스포린(phosphorene), 헥사고날 보론 나이트라이드(hexagonal boron nitride) 및 금속칼코게나이드 화합물(metal chalcogenides) 등을 의미한다. 본 발명의 바람직한 일 실시예로서 금속칼코게나이드 화합물을 2차원 물질로 사용할 수 있다. A two-dimensional material refers to a material composed of weak van der Waals bonds on the other side of the out-of-phase bonds, whereas the planar interatomic bonds are made of strong covalent bonds, specifically, graphene and silicene. ), Phosphorene, hexagonal boron nitride, and metal chalcogenides. As a preferred embodiment of the present invention, the metal chalcogenide compound may be used as a two-dimensional material.
금속칼코게나이드 화합물은 금속과 칼코겐 원소로 구성된다. 본 발명의 바람직한 일 실시예로서 금속칼코게나이드 화합물은 Mo, W, Nb, Ga, Ta, Zr, Ti, Hf, Sn, In 및 Ge로 구성된 군으로부터 선택된 하나 이상의 금속과, S, Se 및 Te로 구성된 군으로부터 선택된 하나 이상의 칼코겐 원소로 구성될 수 있다.Metal chalcogenide compounds consist of metals and chalcogen elements. As a preferred embodiment of the present invention, the metal chalcogenide compound is at least one metal selected from the group consisting of Mo, W, Nb, Ga, Ta, Zr, Ti, Hf, Sn, In and Ge, S, Se and Te It may be composed of one or more chalcogen elements selected from the group consisting of.
또 다른 일 실시예로 금속칼코게나이드 화합물은 MX, MX2 또는 M2X3의 화학식을 가질 수 있다. 상기 화학식에서 M은 금속이며, 바람직하게는 Mo, W, Nb, Ga, Ta, Zr, Ti, Hf, Sn, In 및 Ge로 구성된 군으로부터 선택된 어느 하나 일 수 있다. 또한 상기 화학식에서 X는 칼코겐 원소이며, 바람직하게는 S, Se 및 Te로 구성된 군으로부터 선택된 어느 하나 일 수 있다. 구체적인 예로 MoS, MoS2, Mo3S2, MoSe, MoSe2, Mo3Se2, MoTe, MoTe2, Mo3Te2, WS, WS2, W3S2, WSe, WSe2, W3Se2, WTe, WTe2, W3Te2, NbS, NbS2, Nb3S2, NbSe, NbSe2, Nb3Se2, NbTe, NbTe2, Nb3Te2, GaS, GaS2, Ga3S2, GaSe, GaSe2, Ga3Se2, GaTe, GaTe2, Ga3Te2, TaS, TaS2, Ta3S2, TaSe, TaSe2, Ta3Se2, TaTe, TaTe2, Ta3Te2, ZrS, ZrS2, Zr3S2, ZrSe, ZrSe2, Zr3Se2, ZrTe, ZrTe2, Zr3Te2, TiS, TiS2, Ti3S2, TiSe, TiSe2, Ti3Se2, TiTe, TiTe2, Ti3Te2, HfS, HfS2, Hf3S2, HfSe, HfSe2, Hf3Se2, HfTe, HfTe2, Hf3Te2, SnS, SnS2, Sn3S2, SnSe, SnSe2, Sn3Se2, SnTe, SnTe2, Sn3Te2, InS, InS2, In3S2, InSe, InSe2, In3Se2, InTe, InTe2, In3Te2, GeS, GeS2, Ge3S2, GeSe, GeSe2, Ge3Se2, GeTe, GeTe2 및 Ge3Te2 등을 들 수 있으나 이에 한정되는 것은 아니며, 바람직한 일 실시예로 MoS2를 사용할 수 있다.In another embodiment, the metal chalcogenide compound may have a chemical formula of MX, MX 2 or M 2 X 3 . In the above formula, M is a metal, preferably Mo, W, Nb, Ga, Ta, Zr, Ti, Hf, Sn, In and Ge may be any one selected from the group consisting of. In the above formula, X is a chalcogen element, and preferably may be any one selected from the group consisting of S, Se, and Te. Specific examples include MoS, MoS 2 , Mo 3 S 2 , MoSe, MoSe 2 , Mo 3 Se 2 , MoTe, MoTe 2 , Mo 3 Te 2 , WS, WS 2 , W 3 S 2 , WSe, WSe 2 , W 3 Se 2 , WTe, WTe 2 , W 3 Te 2 , NbS, NbS 2 , Nb 3 S 2 , NbSe, NbSe 2 , Nb 3 Se 2 , NbTe, NbTe 2 , Nb 3 Te 2 , GaS, GaS 2 , Ga 3 S 2 , GaSe, GaSe 2 , Ga 3 Se 2 , GaTe, GaTe 2 , Ga 3 Te 2 , TaS, TaS 2 , Ta 3 S 2 , TaSe, TaSe 2 , Ta 3 Se 2 , TaTe, TaTe 2 , Ta 3 Te 2 , ZrS, ZrS 2 , Zr 3 S 2 , ZrSe, ZrSe 2 , Zr 3 Se 2 , ZrTe, ZrTe 2 , Zr 3 Te 2 , TiS, TiS 2 , Ti 3 S 2 , TiSe, TiSe 2 , Ti 3 Se 2 , TiTe, TiTe 2 , Ti 3 Te 2 , HfS, HfS 2 , Hf 3 S 2 , HfSe, HfSe 2 , Hf 3 Se 2 , HfTe, HfTe 2 , Hf 3 Te 2 , SnS, SnS 2 , Sn 3 S 2 , SnSe, SnSe 2 , Sn 3 Se 2 , SnTe, SnTe 2 , Sn 3 Te 2 , InS, InS 2 , In 3 S 2 , InSe, InSe 2 , In 3 Se 2 , InTe, InTe 2 , In 3 Te 2 , GeS, GeS 2 , Ge 3 S 2 , GeSe, GeSe 2 , Ge 3 Se 2 , GeTe, GeTe 2, and Ge 3 Te 2 , but are not limited thereto. A preferred embodiment is MoS 2 . Can be used.
본 발명의 바람직할 일 실시예로서 2차원 물질로 금속칼코게나이드 화합물을 사용하는 경우, (2) 단계는 (a) 금속 전구체를 반응기 내부로 도입하여 상기 흡착 억제제가 흡착되지 않은 흡착 부위에 금속을 흡착시키는 단계; (b) 상기 금속 전구체를 반응기 내부로부터 퍼지하는 단계; (c) 칼코겐 전구체를 반응기 내부로 도입하여 금속칼코게나이드 화합물을 합성하는 단계; 및 (d) 상기 칼코겐 전구체를 반응기 내부로부터 퍼지하는 단계;를 포함할 수 있다.When using a metal chalcogenide compound as a two-dimensional material as a preferred embodiment of the present invention, step (2) is (a) introducing a metal precursor into the reactor to the metal adsorption site where the adsorption inhibitor is not adsorbed Adsorbing; (b) purging the metal precursor from inside the reactor; (c) introducing a chalcogen precursor into the reactor to synthesize a metal chalcogenide compound; And (d) purging the chalcogenide precursor from the inside of the reactor.
(2) 단계는 100 내지 400℃의 온도에서 수행될 수 있으며, 바람직하게는 150 내지 350℃, 더욱 바람직하게는 200 내지 300℃의 온도에서 수행될 수 있다. 아울러 (2) 단계는 60 내지 240초 동안 수행될 수 있으며, 바람직하게는 80 내지 200초, 더욱 바람직하게는 100 내지 160초 동안 수행될 수 있다. (2) 단계의 수행온도 및 수행시간이 상기 범위를 만족하는 경우 효과적으로 2차원 물질을 형성시킬 수 있다.Step (2) may be carried out at a temperature of 100 to 400 ℃, preferably at a temperature of 150 to 350 ℃, more preferably 200 to 300 ℃. In addition, step (2) may be performed for 60 to 240 seconds, preferably 80 to 200 seconds, more preferably 100 to 160 seconds. When the temperature and the execution time of step (2) satisfy the above range, it is possible to effectively form a two-dimensional material.
다음으로 2차원 물질을 결정화하는 (3) 단계에 대하여 설명한다.Next, step (3) for crystallizing the two-dimensional material will be described.
(2) 단계에 의해 형성된 2차원 물질은 그 결정 사이즈가 충분하지 않기 때문에 결정화를 유도하기 위하여 사후 증착 어닐링을 수행한다. (3) 단계는 350 내지 500℃의 온도에서 수행될 수 있으며, 바람직하게는 400 내지 500℃, 더욱 바람직하게는 420 내지 480℃의 온도에서 수행될 수 있다. 아울러 (3) 단계는 5 내지 120초 동안 수행될 수 있으며, 바람직하게는 5 내지 90초, 더욱 바람직하게는 5 내지 60초 동안 수행될 수 있다. (3) 단계의 수행온도 및 수행시간이 상기 범위를 만족하는 경우 효과적으로 2차원 물질의 결정화를 유도할 수 있다.Since the two-dimensional material formed by step (2) has insufficient crystal size, post deposition annealing is performed to induce crystallization. Step (3) may be performed at a temperature of 350 to 500 ° C, preferably 400 to 500 ° C, more preferably at a temperature of 420 to 480 ° C. In addition, step (3) may be performed for 5 to 120 seconds, preferably 5 to 90 seconds, more preferably 5 to 60 seconds. When the temperature and the performance time of step (3) satisfy the above range, it is possible to effectively induce the crystallization of the two-dimensional material.
본 발명의 바람직한 일 실시예에 따르면 (1) 내지 (3) 단계는 500℃ 이하의 온도에서 수행될 수 있다. 기존의 CVD법에 따라 2차원 물질을 형성하는 경우 600℃ 이상의 높은 공정온도를 필요로 하기 때문에 열에 취약한 재질의 기판을 적용하는 것에 제한이 있었다. 그러나 ALD법에 의하는 본 발명의 경우 비교적 저온에서 2차원 물질의 박막을 형성할 수 있어, 필요에 따라 다양한 재질의 기판을 적용할 수 있는 장점을 지닌다. According to a preferred embodiment of the present invention step (1) to (3) may be carried out at a temperature of 500 ℃ or less. When forming a two-dimensional material by the conventional CVD method requires a high process temperature of 600 ℃ or more, there was a limitation in applying a substrate of a material susceptible to heat. However, the present invention by the ALD method can form a thin film of a two-dimensional material at a relatively low temperature, has the advantage that can be applied to a substrate of various materials as needed.
본 발명의 바람직한 일 실시예에 따른 2차원 물질은 평균 입자크기(이하, dgrain)는 20 내지 120 ㎚ 일 수 있으며, 바람직하게는 25 내지 100 ㎚, 더욱 바람직하게는 30 내지 80 ㎚ 의 dgrain을 가질 수 있다. 2차원 물질의 dgrain이 상기 범위를 만족하는 경우 우수한 결정구조를 지니는 2차원 물질을 확보할 수 있다.Two-dimensional material, according to an embodiment of the present invention the average particle size (hereinafter, d grain) 20 to be 120 ㎚, and preferably from 25 to 100 ㎚, more preferably of from 30 to 80 ㎚ d grain Can have When the d grain of the two-dimensional material satisfies the above range, a two-dimensional material having an excellent crystal structure can be obtained.
또한 2차원 물질을 구성하는 입자들 중 입자크기가 10㎚ 이상인 입자의 비율(이하, cgrain)은 20 내지 100% 일 수 있으며, 바람직하게는 25 내지 98%, 더욱 바람직하게는 30 내지 95% 일 수 있다. 2차원 물질의 cgrain이 상기 범위를 만족하는 경우 우수한 전하이동도를 나타내는 2차원 물질을 확보할 수 있다.In addition, the proportion of particles having a particle size of 10 nm or more (hereinafter, c grain ) among the particles constituting the two-dimensional material may be 20 to 100%, preferably 25 to 98%, more preferably 30 to 95% Can be. When the c grain of the two-dimensional material satisfies the above range, it is possible to obtain a two-dimensional material showing excellent charge mobility.
2차원 물질의 우수한 전기적 특성은 2차원 물질의 우수한 결정구조에서 기인하는 것으로, 우수한 결정구조를 확보하는 것이 그 전기적 특성을 향상시킬 수 있는 중요한 요소가 된다. 2차원 물질의 결정구조는 2차원 물질이 형성되는 초기단계에서 흡착된 전구체의 농도에 의존한다. 흡착된 2차원 물질의 전구체 농도는 기판의 표면에 존재하는 2차원 물질의 핵 밀도에 직접적으로 영향을 미치며, 2차원 물질의 핵 밀도의 증감에 따라 2차원 물질의 결정구조의 dgrain 및 cgrain이 크게 영향 받게 된다. The excellent electrical properties of the two-dimensional material are due to the excellent crystal structure of the two-dimensional material, and securing an excellent crystal structure becomes an important factor for improving the electrical properties. The crystal structure of the two-dimensional material depends on the concentration of precursor adsorbed at the initial stage of formation of the two-dimensional material. The precursor concentration of the adsorbed two-dimensional material directly affects the nuclear density of the two-dimensional material on the surface of the substrate, and d grain and c grain of the crystal structure of the two-dimensional material according to the increase or decrease of the nuclear density of the two-dimensional material. This is greatly affected.
흡착 억제제를 처리하지 않은 기판에 2차원 물질을 형성하는 경우, 2차원 물질의 전구체가 기판에 충분히 흡착되기 때문에 2차원 물질의 핵 밀도가 상승한다. 핵 밀도가 상승되면 2차원 물질의 결정구조가 충분히 성장하지 못하고 박막을 형성하게 된다. 그러나 흡착 억제제를 처리하는 경우, 2차원 물질의 전구체가 기판에 흡착되는 농도가 작아져 핵 밀도가 감소한다. 핵 밀도가 감소하게 되면 2차원 물질의 결정구조가 충분히 성장하여 dgrain 및 cgrain을 극적으로 향상시킬 수 있게 되는 것이다. 이와 같이 2차원 물질을 이루는 결정구조의 dgrain 및 cgrain이 향상되는 경우, 균일하고 연속적인 층상구조를 이루는 2차원 물질의 박막을 얻을 수 있으며, 뒤에서 설명되는 바와 같이 균일하고 연속적인 층상구조를 이루도록 하여 2차원 물질의 전기적 특성을 매우 향상시킬 수 있게 된다. When the two-dimensional material is formed on the substrate which has not been treated with the adsorption inhibitor, the nuclear density of the two-dimensional material increases because the precursor of the two-dimensional material is sufficiently adsorbed on the substrate. If the nuclear density is increased, the crystal structure of the two-dimensional material does not grow enough to form a thin film. However, when treating the adsorption inhibitor, the concentration at which the precursor of the two-dimensional material is adsorbed on the substrate is reduced, resulting in a decrease in nuclear density. If the nuclear density is reduced, the crystal structure of the two-dimensional material is fully grown, which can dramatically improve d grain and c grain . When the d grain and c grain of the crystal structure forming the two-dimensional material is improved as described above, a thin film of the two-dimensional material having a uniform and continuous layer structure can be obtained, and as described later, a uniform and continuous layer structure can be obtained. It is possible to greatly improve the electrical properties of the two-dimensional material.
본 발명의 바람직한 일 실시예에 따른 2차원 물질은 연속적인 층상구조를 이루는 것을 특징으로 할 수 있다. 층상구조는 약 3 내지 10층의 구조를 지니며, 바람직하게는 5 내지 8층, 더욱 바람직하게는 6 내지 7층의 층상구조를 이룰 수 있다. 아울러 약 150㎚ 이상의 길이에 대하여 끊어짐 없는 연속적인 층상구조를 이루며, 적층된 층의 수가 균질한 것을 특징으로 할 수 있다.The two-dimensional material according to the preferred embodiment of the present invention may be characterized by forming a continuous layered structure. The layered structure has a structure of about 3 to 10 layers, and may preferably have a layered structure of 5 to 8 layers, more preferably 6 to 7 layers. In addition, it can be characterized by forming a continuous layered structure without interruption over a length of about 150nm or more, and the number of laminated layers is homogeneous.
반면 흡착 억제제를 전처리하지 않고 ALD법에 의하여 2차원 물질의 박막을 형성하는 경우, 결정구조가 충분히 성장하지 못하거나 비결정질의 부분을 포함하게 되어 연속적이지 않은 층상구조를 이루게 된다. 이와 같이 층상구조가 연속적이지 않은 경우 캐리어 이동과정에 부정적인 영향을 줄 수 있으며, 이는 전기적 특성을 저하시키는 원인이 될 수 있다. On the other hand, when the thin film of the two-dimensional material is formed by the ALD method without pretreatment of the adsorption inhibitor, the crystal structure does not grow sufficiently or includes an amorphous portion, thereby forming a non-continuous layered structure. As such, when the layered structure is not continuous, it may negatively affect the carrier movement process, which may cause deterioration of electrical characteristics.
그러나 본 발명의 바람직한 일 실시예에 따른 2차원 물질은 연속적인 층상구조를 이루고 있어 캐리어 이동도의 저하가 나타나지 않으므로, 우수한 전기적 특성을 지니는 2차원 물질을 얻을 수 있게 된다.However, since the two-dimensional material according to the preferred embodiment of the present invention has a continuous layer structure and does not exhibit a decrease in carrier mobility, it is possible to obtain a two-dimensional material having excellent electrical properties.
이하, 실시예를 통하여 본 발명을 더욱 상세히 설명하고자 한다.Hereinafter, the present invention will be described in more detail with reference to Examples.
이들 실시예는 오로지 본 발명을 보다 구체적으로 설명하기 위한 것으로, 본 발명의 요지에 따라 본 발명의 범위가 이들 실시예에 의해 제한되지 않는다는 것은 통상의 기술자에게 있어서 자명할 것이다.These examples are only for illustrating the present invention in more detail, it will be apparent to those skilled in the art that the scope of the present invention is not limited by these examples in accordance with the gist of the present invention.
<실시예><Example>
실시예 1Example 1
ALD 반응기를 이용하여 기판에 흡착 억제제 전처리를 수행하였다. 전처리 단계는 350℃에서 30초간 수행되었으며, SiO2/Si 기판을 사용하였고, 흡착 억제제로 DES(Sigma-Aldrich)를 사용하였다. 전처리가 수행되는 동안 DES용 버블러형 캐니스터(bubbler type canister)는 35℃로 유지되었다. DES는 Ar 캐리어 가스와 함께 반응기로 도입되었고, 챔버의 압력은 0.5 torr로 유지되었다. 전처리의 수행 후 공기 노출로 인한 오염 등을 방지하기 위해 기판을 로드락 챔버(loadlock chamber)로 이동시켰다. 그 후 반응기에 남아있는 DES는 Ar 가스로 퍼지(purge)되었으며, 챔버 서셉터(chamber susceptor)는 다음 단계를 위해 250℃로 냉각시켰다.Adsorption inhibitor pretreatment was performed on the substrate using an ALD reactor. The pretreatment step was performed at 350 ° C. for 30 seconds, using a SiO 2 / Si substrate, and using DES (Sigma-Aldrich) as the adsorption inhibitor. The bubbler type canister for DES was maintained at 35 ° C. during the pretreatment. DES was introduced into the reactor with Ar carrier gas and the chamber pressure was maintained at 0.5 torr. After performing the pretreatment, the substrate was moved to a loadlock chamber to prevent contamination due to air exposure. The DES remaining in the reactor was then purged with Ar gas and the chamber susceptor was cooled to 250 ° C. for the next step.
다음으로 DES로 전처리된 기판에 금속 전구체 및 황 전구체를 도입해 금속칼코게나이드 화합물을 형성하였다. 금속 전구체로 Mo(CO)6(UP Chemical)을 사용하였고, 황 전구체로 diethyl disulfide(이하 DEDS, Sigma-Aldrich)를 사용하였다. 금속칼코게나이드 화합물의 형성단계는 '금속 전구체 공급, Ar 정화, 황 전구체 공급 및 Ar 정화'의 4단계로 이루어졌으며, 각각 0.5초, 60초, 3초 및 60초간 수행되었다. 반응기 내의 압력은 0.5 torr로 유지되었고, 반응 온도는 250℃로 유지되었다. 금속 전구체는 캐니스터를 35℃로 가열하여 캐리어 가스를 사용하지 않고 도입하였다. 또한 황 전구체는 순수한 Ar 캐리어 가스(99.999%)를 이용해 100sccm(standard cubic centimeters per minute)의 유량으로 반응기에 도입하였으며, 65℃로 가열된 버블러형 캐니스터를 사용하였다.Next, a metal precursor and a sulfur precursor were introduced into a substrate pretreated with DES to form a metal chalcogenide compound. Mo (CO) 6 (UP Chemical) was used as a metal precursor, and diethyl disulfide (hereinafter referred to as DEDS, Sigma-Aldrich) was used as a sulfur precursor. Formation of the metal chalcogenide compound was composed of four steps of 'metal precursor supply, Ar purification, sulfur precursor supply and Ar purification', and was performed for 0.5 seconds, 60 seconds, 3 seconds and 60 seconds, respectively. The pressure in the reactor was maintained at 0.5 torr and the reaction temperature was maintained at 250 ° C. The metal precursor was introduced without using a carrier gas by heating the canister to 35 ° C. In addition, the sulfur precursor was introduced into the reactor at a flow rate of 100 sccm (standard cubic centimeters per minute) using pure Ar carrier gas (99.999%), and a bubbler-type canister heated to 65 ° C was used.
마지막으로 금속칼코게나이드 화합물의 결정화를 유도하기 위하여 사후증착 어닐링을 수행하였다. 금속 열처리 시스템을 이용하였으며, Ar 분위기, 450℃의 조건하에서 30초간 열처리하여 MoS2 박막을 제조하였다.Finally, post deposition annealing was performed to induce crystallization of the metal chalcogenide compound. A metal heat treatment system was used, and an MoS 2 thin film was prepared by heat treatment for 30 seconds under Ar atmosphere and 450 ° C.
실시예Example 2 2
DES를 이용한 전처리 단계가 150초 동안 수행된 것을 제외하고는 실시예 1과 동일한 방법에 의하여 MoS2 박막을 제조하였다.A MoS 2 thin film was prepared in the same manner as in Example 1 except that the pretreatment step using DES was performed for 150 seconds.
비교예Comparative example 1 One
DES를 이용한 전처리 단계가 수행되지 않은 것을 제외하고는 실시예 1과 동일한 방법에 의하여 MoS2 박막을 제조하였다.A MoS 2 thin film was prepared in the same manner as in Example 1 except that the pretreatment step using DES was not performed.
비교예Comparative example 2 2
흡착 억제제인 DES를 대신하여 흡착 활성제인 DEDS를 이용해 150초간 전처리를 수행하였고, 전처리가 수행되는 동안 DEDS용 버블러형 캐니스터(bubbler type canister)를 60℃로 유지한 것을 제외하고는 실시예 1과 동일한 방법에 의하여 MoS2 박막을 제조하였다.The pretreatment was performed for 150 seconds using the adsorption activator DEDS instead of the adsorption inhibitor DES, and the same as in Example 1 except that the bubbler type canister for DEDS was maintained at 60 ° C. during the pretreatment. MoS 2 thin film was prepared by the method.
<시험예><Test Example>
컴퓨터 계산을 이용한 반응 에너지 계산Reaction energy calculation using computer calculation
실시예 1~2 및 비교예 1~2에 대하여 Vienna Ab initio Simulation Package(VASP)를 이용해 관련 반응에너지의 계산을 수행하였다. 교환 상관 상호작용(exchange-correlation interactions)을 위한 일반화된 구배 근사법(generalized gradient approximation)을 적용하였으며, 기본설정으로 운동 에너지가 400eV 미만인 평면파를 포함하도록 하였다. 이온 위치는 잔류력(residual forces)이 0.02eV/Å을 초과할 때까지 업데이트 되었고, 전자밀도는 총 에너지 변화가 10- 5eV를 초과할 때까지 완화되었다. 모든 계산은 감마 포인트(gamma point)에서 수행되었으며, 트리디마이트(tridymite) 구조는 표면 흡착이 가장 유리한 격리된 실라놀 그룹(silanol groups)으로 덮이도록 채택되었다. 또한 단위 셀은 셀 사이의 가짜 상호작용(spurious interaction)을 최소화할 만큼 충분히 크게 설정되었다.For Examples 1 and 2 and Comparative Examples 1 and 2, the relevant reaction energy was calculated using the Vienna Ab initio Simulation Package (VASP). Generalized gradient approximation for exchange-correlation interactions was applied, and by default, plane waves with kinetic energy below 400 eV were included. Ion position has been updated until you exceed this 0.02eV / Å residual force (residual forces), the electron density is the total energy change 10 - eased until the excess of 5 eV. All calculations were performed at the gamma point, and the tridymite structure was adapted to cover with isolated silanol groups where surface adsorption was most advantageous. In addition, the unit cell is set large enough to minimize spurious interactions between the cells.
도 1은 실시예 1~2 및 비교예 1~2에 따른 2차원 물질의 제조과정에 있어 전처리 유무에 따른 금속 전구체 흡착거동, 3차원 반응식 및 반응 에너지의 차이를 비교하여 나타낸 것이다. 이하 도 1을 참조하여 DES 전처리에 의한 효과를 설명한다.Figure 1 shows the comparison of the metal precursor adsorption behavior, the three-dimensional reaction equation and the reaction energy in the manufacturing process of the two-dimensional material according to Examples 1 and 2 and Comparative Examples 1 and 2 according to. Hereinafter, the effect of the DES preprocessing will be described with reference to FIG. 1.
SiO2/Si 기판의 표면은 흡착 부위의 역할을 하는 -OH 작용기로 덮여있다. DES 또는 DEDS 전처리 없이 금속 전구체를 흡착시키는 비교예 1의 경우, 금속 전구체인 Mo(CO)6와 흡착 부위(-OH기)간의 반응을 통해 O-H 결합이 파괴되고, Mo와 O가 공유결합을 형성함으로써 금속 전구체가 흡착될 수 있다.The surface of the SiO 2 / Si substrate is covered with —OH functional groups that serve as adsorption sites. In Comparative Example 1 in which a metal precursor is adsorbed without DES or DEDS pretreatment, OH bonds are broken through reaction between the metal precursor Mo (CO) 6 and the adsorption site (—OH group), and Mo and O form covalent bonds. The metal precursor can thereby be adsorbed.
흡착 억제제인 DES 전처리를 거치는 실시예 1 및 2의 경우, DES가 흡착 부위와 반응하여 ethane thiol이 방출되면서 산소(O)와 탄소(C)간의 공유결합을 형성한다. 이와 같이 형성된 O-C 결합은 비교적 안정한 결합에 해당하기 때문에, 금속 전구체인 Mo(CO)6가 DES 전처리된 흡착 부위에 흡착되는 반응은 열역학적으로 바람직하지 못한 것으로 나타났다. 아울러 DES가 전처리된 흡착 부위는 단단히 결합된 에틸 그룹이 Mo(CO)6의 접근을 입체적으로 차단하여 반응성을 더욱 떨어트릴 수 있을 것으로 예상된다.In Examples 1 and 2 undergoing DES pretreatment as an adsorption inhibitor, DES reacts with the adsorption site to release ethane thiol to form a covalent bond between oxygen (O) and carbon (C). Since the OC bond thus formed corresponds to a relatively stable bond, it was shown that the reaction in which the metal precursor Mo (CO) 6 was adsorbed to the DES pretreated adsorption site was thermodynamically undesirable. In addition, the adsorption site pretreated with DES is expected that the tightly bound ethyl group can block the access of Mo (CO) 6 in three dimensions to further reduce the reactivity.
반면 흡착 활성제인 DEDS 전처리를 거치는 비교예 2의 경우, DEDS가 흡착 부위와 반응하여 ethane thiol이 방출되면서 산소(O)와 황(S)간의 공유결합을 형성한다. 이와 같이 형성된 O-S 결합은 상대적으로 불안정한 결합에 해당하기 때문에 금속 전구체인 Mo(CO)6와의 반응성이 증대되고, 그 결과 Mo와 S가 공유결합을 형성하여 보다 많은 금속 전구체가 흡착될 수 있다. On the other hand, in Comparative Example 2 undergoing DEDS pretreatment as an adsorption activator, DEDS reacts with the adsorption site and ethane thiol is released to form a covalent bond between oxygen (O) and sulfur (S). Since the OS bonds formed as described above correspond to relatively unstable bonds, the reactivity with Mo (CO) 6 , which is a metal precursor, is increased. As a result, Mo and S form a covalent bond, and more metal precursors can be adsorbed.
이상의 결과로부터 금속 전구체인 Mo(CO)6의 화학흡착이 DEDS로 전처리된 경우에 속도론적으로 선호된다는 것을 알 수 있으며, DES로 전처리된 경우 안정한 C-O 결합을 형성함으로써 흡착 부위를 패시베이션(passivation)하여 Mo(CO)6의 흡착을 억제시킨다는 점을 확인할 수 있었다.From the above results, it can be seen that the chemisorption of Mo (CO) 6 , a metal precursor, is favored kinematically when pretreated with DEDS, and passivation of the adsorption site by forming stable CO bonds when pretreated with DES. It was confirmed that the adsorption of Mo (CO) 6 was suppressed.
Raman 분석 평가Raman analysis evaluation
실시예 1~2 및 비교예 2에 대하여 Raman 분석을 실시하였다.Raman analysis was performed about Examples 1-2 and Comparative Example 2.
도 2는 실시예 1 및 비교예 2에 따른 2차원 물질의 제조과정에 있어서 금속 전구체의 흡착밀도 측정결과를 나타낸 것이다. 도 2를 참조하면, DES 전처리를 거친 실시예 1의 경우 Mo 흡착밀도가 매우 낮은 것으로 나타났다. 그러나 DEDS 전처리를 거친 비교예 2의 경우 ALD 공정의 사이클이 증가함에 따라 Mo 흡착밀도가 거의 선형적으로 증가되어 반응성의 차이를 분명하게 확인할 수 있었다.Figure 2 shows the results of measuring the adsorption density of the metal precursor in the manufacturing process of the two-dimensional material according to Example 1 and Comparative Example 2. Referring to FIG. 2, Mo adsorption density was found to be very low in Example 1 after DES pretreatment. However, in the case of Comparative Example 2 subjected to DEDS pretreatment, as the cycle of the ALD process was increased, the Mo adsorption density was increased almost linearly to clearly confirm the difference in reactivity.
도 3은 실시예 1 및 비교예 2에 따른 2차원 물질에 대한 Raman 분석결과를 나타낸다. 도 3을 참조하면, DES 전처리를 거친 실시예 1의 경우, 비교예 2의 경우와 달리 1500 cm-1 부근에서 넓은 피크가 관찰되었다. 이는 C-C 결합을 나타내는 것으로, 실시예 1의 경우 기판의 표면이 복합 탄소화합물로 코팅되어 있음을 나타낸다.Figure 3 shows the Raman analysis of the two-dimensional material according to Example 1 and Comparative Example 2. Referring to FIG. 3, in the case of Example 1 which was subjected to DES pretreatment, a wide peak was observed around 1500 cm −1 , unlike the case of Comparative Example 2. This indicates CC bonding, and in Example 1, the surface of the substrate is coated with a composite carbon compound.
도 4는 실시예 1 및 실시예 2에 따른 2차원 물질의 제조과정에 있어 금속 전구체의 흡착밀도 측정결과를 나타낸다. 도 4를 참조하면, DES에 의한 전처리 공정시간이 길어질수록 Mo 흡착밀도가 낮아진다는 점을 확인할 수 있으며, 특히 DES 전처리 시간이 10초 이상인 경우 Mo 흡착밀도가 현저하게 낮아진다는 점을 확인할 수 있었다. Figure 4 shows the results of measuring the adsorption density of the metal precursor in the manufacturing process of the two-dimensional material according to Example 1 and Example 2. Referring to FIG. 4, it can be seen that the Mo adsorption density is lower as the pretreatment process time by DES is longer, and in particular, when the DES pretreatment time is 10 seconds or more, the Mo adsorption density is remarkably lowered.
이상의 결과로부터 DES 전처리를 거치는 경우 Mo 전구체의 흡착이 억제될수 있다는 점을 확인할 수 있었다.From the above results, it was confirmed that adsorption of the Mo precursor can be suppressed when the DES pretreatment.
XPSXPS 분석 평가 Analytical Evaluation
실시예 1, 비교예 1~2에 따라 제조된 2차원 물질의 화학구조 및 화학량론을 확인 및 평가하기 위하여 X-선 광전자 분광법(XPS) 분석을 실시하였다.X-ray photoelectron spectroscopy (XPS) analysis was performed to confirm and evaluate the chemical structure and stoichiometry of the two-dimensional material prepared according to Example 1, Comparative Examples 1 and 2.
도 5는 실시예 1, 비교예 1~2에 따른 2차원 물질에 대한 XPS 분석 결과를 나타낸다. 도 5를 참조하면, DES 전처리를 거친 실시예 1의 경우 오직 MoS2에 해당하는 피크만을 나타내는 점을 확인할 수 있었다. 또한 Mo 3d 피크가 BE(binding energy)값 이하로 이동되고, O 1s 피크의 강도가 줄어드는 점으로부터 MoOx의 형성이 매우 감소했다는 점을 확인할 수 있었다.5 shows XPS analysis results for the two-dimensional material according to Example 1 and Comparative Examples 1 and 2; Referring to FIG. 5, in the case of Example 1 which was subjected to DES pretreatment, only peaks corresponding to MoS 2 were confirmed. In addition, since the Mo 3d peak moved below the binding energy (BE) value and the intensity of the O 1s peak decreased, it was confirmed that the formation of MoO x was greatly reduced.
그러나 전처리를 거치지 않은 비교예 1의 경우 MoS2가 우세하게 존재하고 있기는 하지만 MoOx 역시 일정량 존재하고 있다는 점을 확인할 수 있었다. However, in the case of Comparative Example 1 that did not undergo pretreatment, although MoS 2 predominantly existed, it was confirmed that a certain amount of MoO x also existed.
이상의 결과로부터 DES 전처리를 거치는 경우 MoS2의 형성이 증가되며, MoOx의 형성이 효과적으로 억제된다는 점을 확인할 수 있었다. From the above results, it was confirmed that the formation of MoS 2 is increased when the DES pretreatment is performed, and the formation of MoO x is effectively suppressed.
AFMAFM 분석 평가 Analytical Evaluation
실시예 1~2 및 비교예 1~2에 따라 제조된 2차원 물질의 입자크기 등을 평가하기 위하여 원자력 현미경(AFM)으로 분석을 실시하였다.In order to evaluate the particle size and the like of the two-dimensional material prepared according to Examples 1 and 2 and Comparative Examples 1 and 2 were analyzed by an atomic force microscope (AFM).
도 6은 실시예 1~2 및 비교예 1~2에 따른 2차원 물질에 대한 AFM 분석 결과를 나타낸다. 이에 따라 시료의 표면 형태에 대한 영상 이미지를 얻을 수 있었으며, 컴퓨터를 통해 입자의 평균직경(dgrain) 및 입자크기가 10㎚ 이상인 입자의 커버리지(cgrain)가 계산되었다. 그 결과를 하기 표 1에 정리하여 나타내었다.Figure 6 shows the results of the AFM analysis for the two-dimensional material according to Examples 1-2 and Comparative Examples 1-2. Thus it was obtained the video image of the surface morphology of samples, via the computer calculated the average diameter of the particles (grain d) and the particle size of the coverage of the particles less than 10㎚ (c grain). The results are summarized in Table 1 below.
표 1
실시예 1 실시예 2 비교예 1 비교예 2
평균직경(㎚) 28.49 75.47 19.53 3.01
커버리지(%) 33.2 93.2 15.0 3.0
Table 1
Example 1 Example 2 Comparative Example 1 Comparative Example 2
Average diameter (nm) 28.49 75.47 19.53 3.01
Coverage (%) 33.2 93.2 15.0 3.0
또한, 도 7은 실시예 1 및 실시예 2에 따른 2차원 물질에 대한 입자의 평균직경 및 10㎚ 이상의 직경을 갖는 입자의 비율에 대한 측정결과를 나타낸다. In addition, Figure 7 shows the measurement results for the ratio of the average diameter of the particles to the two-dimensional material according to Example 1 and Example 2 and the particles having a diameter of 10nm or more.
도 6, 7 및 표 1을 참조하면, DES 전처리를 거친 실시예 1 및 2의 경우 각각 28.49㎚ 및 75.47㎚의 dgrain를 나타내고, 32.2% 및 93.16%의 cgrain를 나타내었다.6, 7 and Table 1, Examples 1 and 2 subjected to DES pretreatment showed d grains of 28.49 nm and 75.47 nm, respectively, and 32.2% and 93.16% c grains , respectively.
그러나 전처리를 거치지 않은 비교예 1의 경우 19.53㎚의 dgrain와 15.0%의 cgrain를 나타냄에 그쳤으며, 아울러 DEDS 전처리를 거친 비교예 2의 경우 dgrain가 3.01㎚로 감소되고, cgrain가 3.0%로 감소된 결과를 나타내었다. 따라서 DES 전처리를 거친 실시예 1 및 2의 경우 dgrain 및 cgrain가 각각 유의하게 증가되는 점을 확인할 수 있었다. However, Comparative Example 1, which did not undergo pretreatment, showed only 19.53 nm of d grain and 15.0% of c grain , while Comparative Example 2, which had undergone DEDS pretreatment, decreased d grain to 3.01 nm and c grain of 3.0. The results were reduced by%. Therefore, in Examples 1 and 2 subjected to the DES pretreatment it was confirmed that the d grain and c grain increased significantly.
이러한 결과는 아래와 같이 설명될 수 있다. DES 전처리를 거치는 경우 Mo 전구체의 흡착 억제로 인하여 ALD 초기 단계에서 MoS2의 핵형성이 억제되고, 이처럼 핵 밀도가 감소되는 경우 MoS2 결정은 상대적으로 큰 입자크기를 갖게 된다.These results can be explained as follows. In the case of DES pretreatment, the nucleation of MoS 2 is suppressed at the initial stage of ALD due to the inhibition of adsorption of the Mo precursor, and when the nuclear density is reduced, the MoS 2 crystal has a relatively large particle size.
그러나 DEDS 전처리를 거치는 경우 Mo 전구체의 흡착이 증가되어 ALD 초기 단계에서 MoS2의 핵형성이 오히려 증가되고, 이처럼 핵 밀도가 증가되는 경우 MoS2 결정은 상대적으로 작은 입자크기를 갖게 되는 것이다.However, DEDS pretreatment increases the adsorption of Mo precursors, which increases the nucleation of MoS 2 at the early stage of ALD. Thus, when the nuclear density is increased, MoS 2 crystals have a relatively small particle size.
이상의 결과로부터 DES 전처리를 통해 기판의 표면을 개질함으로써 MoS2 박막의 결정구조를 성공적으로 제어할 수 있으며, 상대적으로 큰 입자크기를 갖는 우수한 결정구조의 MoS2 박막을 형성할 수 있다는 점을 확인할 수 있었다.Results can be successfully controlled with the crystal structure of MoS 2 thin film by modifying the surface of the substrate through the DES pre From the above, and to determine that they can form a MoS 2 thin film of excellent crystal structure having a relatively large particle size there was.
TEMTEM 분석 평가 Analytical Evaluation
실시예 2 및 비교예 1~2에 따라 제조된 2차원 물질에 대하여 투과현미경(TEM) 분석을 실시하였다.Transmission microscope (TEM) analysis was performed on the two-dimensional materials prepared according to Example 2 and Comparative Examples 1 and 2.
도 8은 실시예 2 및 비교예 1~2에 따른 2차원 물질의 측면 부분에 대한 투과현미경(TEM) 사진이고, 도 9는 실시예 2 및 비교예 1~2에 따라 제조된 2차원 물질의 평면 부분에 대한 투과현미경(TEM) 사진이다. 도 8 내지 9을 참조하면, 모든 샘플이 약 5 내지 7층에 해당하는 거의 동일한 두께를 나타내고 있으나, 그 미세구조는 샘플마다 차이가 있다는 점을 확인할 수 있다. 8 is a transmission microscope (TEM) photograph of a side portion of a two-dimensional material according to Example 2 and Comparative Examples 1 to 2, and FIG. 9 is a view of a two-dimensional material prepared according to Example 2 and Comparative Examples 1 to 2; Transmission microscopy (TEM) images of planar sections. 8 to 9, although all the samples have almost the same thickness corresponding to about 5 to 7 layers, it can be seen that the microstructure is different from sample to sample.
DES 전처리를 거친 실시예 2의 경우 기판과 평행한 층상구조를 명확하게 관찰할 수 있다. 또한 TEM 사진으로부터 확인 가능한 영역(약 150㎚) 전반에서 연속적인 층상구조를 이루고 있고, 적층된 층의 수가 균질하며, 비정질상이 관찰되지 않는다는 점을 확인할 수 있다. In Example 2, after the DES pretreatment, the layered structure parallel to the substrate can be clearly observed. In addition, it can be seen that a continuous layer structure is formed throughout the region (about 150 nm) that can be seen from the TEM photograph, the number of laminated layers is homogeneous, and no amorphous phase is observed.
그러나 전처리를 거치지 않은 비교예 1의 경우 부분적인 층상구조가 관찰되었으나, 적층된 층의 수가 다양하게 나타나 연속적으로 연결되지 않는 점을 확인할 수 있었다. 이는 MoS2 입자가 전체 박막상에서 잘 연결되고 있지 않다는 것을 의미하며, 이는 캐리어 이동 과정에 부정적인 영향을 미쳐 전기적 특성이 저하되는 원인이 될 수 있다.However, in the case of Comparative Example 1, which was not subjected to pretreatment, a partial layer structure was observed, but the number of laminated layers varied, and thus it was confirmed that they were not continuously connected. This means that the MoS 2 particles are not well connected on the entire thin film, which may negatively affect the carrier migration process and cause electrical properties to deteriorate.
DEDS 전처리를 거친 비교예 2의 경우에는 층상구조를 확인할 수 없었으며, 무작위로 분산된 작은 조각모양의 레이어만을 확인할 수 있었다. 비교예 2에 대한 XPS 분석 결과 상당량의 MoOx가 혼재되어 있었다는 점을 참고하면, MoOx의 비율이 높을수록 MoS2 구조의 성장을 방해하고, 따라서 연속적인 층상구조가 형성되지 못하는 것으로 이해될 수 있다.In the case of Comparative Example 2, which was subjected to DEDS pretreatment, the layered structure could not be confirmed, and only randomly scattered small flake layers were identified. Referring to the XPS analysis of Comparative Example 2, a considerable amount of MoO x was mixed, it can be understood that the higher the MoO x ratio hinders the growth of the MoS 2 structure, and thus a continuous layered structure cannot be formed. have.
이상의 결과로부터 DES 전처리를 거친 후 ALD법에 의해 MoS2를 증착하는 경우 균일하고 연속적인 층상구조의 제조가 가능하다는 점을 확인할 수 있었다.From the above results, it was confirmed that when MoS 2 was deposited by the ALD method after the DES pretreatment, it was possible to produce a uniform and continuous layered structure.
FET 제조 및 전기적 특성 평가FET Fabrication and Electrical Characterization
실시예 2 및 비교예 1~2에 따라 제조된 2차원 물질의 전기적 특성을 평가하기 위하여 Au/Ti 전극 및 200㎚ 폭의 SiO2 게이트 절연체를 포함하는 bottom gate FET(field effect transistor)를 제조하였다.In order to evaluate the electrical properties of the two-dimensional material prepared according to Example 2 and Comparative Examples 1 and 2, a bottom gate field effect transistor (FET) including an Au / Ti electrode and a 200 nm wide SiO 2 gate insulator was manufactured. .
도 10 및 11은 각각 비교예 1 및 실시예 2에 따른 2차원 물질을 포함하는 FET의 bottom gate 전압(Vg)-드레인 전류(Ids) 측정 결과를 나타낸다. 도 10 및 11을 참조하면, DES 전처리를 거친 실시예 2는 실온에서 11.8 cm2V-1s-1의 높은 전계효과 홀 이동도를 나타내어 전형적인 n-type 특성을 명확히 나타내었다.10 and 11 show the results of measuring the bottom gate voltage (V g ) -drain current (I ds ) of the FET including the two-dimensional material according to Comparative Example 1 and Example 2, respectively. Referring to FIGS. 10 and 11, Example 2 subjected to DES pretreatment showed a high field effect hole mobility of 11.8 cm 2 V −1 s −1 at room temperature to clearly show typical n-type characteristics.
그러나 전처리를 거치지 않은 비교예 1은 전계효과 홀 이동도(field effect hole mobility)가 약 0.004 cm2V-1s-1인 p-채널 특징을 나타내었다. 상대적으로 낮은 이동성은 작은 입자크기 및 구조적 불균일성에서 기인한 것으로 보이며, p-type 특성은 MoOx에서 유래된 것으로 보인다. 또한 DEDS 전처리를 거친 비교예 2는 중요한 스위칭 거동을 나타내지 않은 것으로 확인되었다.However, Comparative Example 1, which did not undergo pretreatment, exhibited a p-channel characteristic with a field effect hole mobility of about 0.004 cm 2 V −1 s −1 . Relatively low mobility appears to be due to small particle size and structural heterogeneity, and the p-type characteristic appears to be derived from MoO x . It was also confirmed that Comparative Example 2, which had been subjected to DEDS pretreatment, did not exhibit significant switching behavior.
이상의 결과로부터 DES 전처리를 거친 후 ALD법에 의해 MoS2를 증착하는 경우 박막을 이루는 결정구조를 개선할 수 있으며, 이에 따라 MoS2 박막의 전기적 특성을 향상시킬 수 있다는 점을 확인할 수 있었다. After the pre-treatment DES from the above results and to improve the crystal structure forming the thin film when depositing the MoS 2 by the ALD method, so MoS 2, depending It was confirmed that the electrical properties of the thin film can be improved.
이상과 같이 본 발명은 비록 한정된 실시예에 의해 설명되었으나, 본 발명은 이에 의해 한정되지 않으며 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 본 발명의 기술사상과 아래에 기재된 특허청구범위의 균등범위 내에서 다양한 수정 및 변형이 가능함은 물론이다.As described above, the present invention has been described by means of a limited embodiment, but the present invention is not limited thereto, and the technical idea of the present invention and the claims described below by those skilled in the art to which the present invention pertains. Of course, various modifications and variations are possible within the range of equality.

Claims (10)

  1. (1) 흡착 부위(adsorption site)를 구비하는 기판에 흡착 억제제를 도입하여 상기 흡착 억제제를 흡착시키는 단계; (1) adsorbing said adsorption inhibitor by introducing an adsorption inhibitor into a substrate having an adsorption site;
    (2) 원자층 증착법(atomic layer deposition)을 이용하여 상기 흡착 억제제가 흡착되지 않은 흡착 부위에 2차원 물질을 형성하는 단계; 및(2) forming a two-dimensional material at an adsorption site where the adsorption inhibitor is not adsorbed by using atomic layer deposition; And
    (3) 상기 형성된 2차원 물질을 결정화하는 단계;를 포함하는 흡착억제 표면처리를 이용한 2차원 물질의 제조방법.(3) crystallizing the formed two-dimensional material; manufacturing method of a two-dimensional material using the adsorption inhibiting surface treatment comprising a.
  2. 제1항에 있어서,The method of claim 1,
    상기 흡착 억제제는 탄소수 1 내지 10의 알코올 화합물, 페릴렌-3,4,9,10-테트라카복실산테트라포타슘염{perylene-3,4,9,10-tetracarboxylic acid tetra potassium salt: PTAS}, 구리(II) 1,2,3,4,8,9,10,11,15,16,17,18,22,23,24,25-헥사데카플루오로-29H,31H-프탈로사이아닌{copper(II)1,2,3,4,8,9,10,11,15,16,17,18,22,23,24,25-hexadecafluoro-29H,31H-phthalocyanine: F16CuPc}, 페릴렌-3,4,9,10-테트라카복실산이무수물{perylene-3,4,9,10-tetracarboxylic acid dianhydride: PTCDA}, 구리(II) 프탈로사이아닌{copper(II) phthalocyanine : CuPc}, 다이벤조{(f,f′)-4,4′,7,7′-테트라페닐}다이인데노(1,2,3-cd:1′,2′,3′-lm)페릴렌[dibenzo{(f,f′)-4,4′,7,7′-tetraphenyl}diindeno(1,2,3-cd:1′,2′,3′-lm)perylene: DBP], 크리스탈바이올렛{crystal violet(CV)}, p-나이트로벤젠-다이아조-아미노-아조벤젠{p-nitrobenzene-diazo-amino-azobenzene: NAA}, N,N'-비스(3-메틸페닐-N,N'-다이페닐-9,9'-스파이로바이[플루오렌]-2,7-다이아민{N,N'-Bis(3-methylphenyl)-N,N'-diphenyl-9,9'-spirobi[fluorene]-2,7-diamine: spiro-TPD}, 트리스(4-카바조일-9-일페닐)아민{tris(4-carbazoyl-9-ylphenyl)amine: TCTA}, 바소쿠프로인{bathocuproine: BCP} 및 다이에틸설파이드(diethyl sulfide: DES)로 이루어진 군으로부터 선택된 어느 하나인 것을 특징으로 하는 흡착억제 표면처리를 이용한 2차원 물질의 제조방법.The adsorption inhibitor is an alcohol compound having 1 to 10 carbon atoms, perylene-3,4,9,10-tetracarboxylic acid tetra potassium salt (PTAS), copper ( II) 1,2,3,4,8,9,10,11,15,16,17,18,22,23,24,25-hexadecafluoro-29H, 31H-phthalocyanine {copper ( II) 1,2,3,4,8,9,10,11,15,16,17,18,22,23,24,25-hexadecafluoro-29H, 31H-phthalocyanine: F 16 CuPc}, perylene- 3,4,9,10-tetracarboxylic dianhydride {perylene-3,4,9,10-tetracarboxylic acid dianhydride: PTCDA}, copper (II) phthalocyanine {copper (II) phthalocyanine: CuPc}, dibenzo {(f, f ')-4,4', 7,7'-tetraphenyl} diindeno (1,2,3-cd: 1 ', 2', 3'-lm) perylene [dibenzo {( f, f ′)-4,4 ′, 7,7′-tetraphenyl} diindeno (1,2,3-cd: 1 ′, 2 ′, 3′-lm) perylene: DBP], crystal violet (crystal violet ( CV)}, p-nitrobenzene-diazo-amino- azobenzene {p-nitrobenzene-diazo-amino-azobenzene: NAA}, N, N'-bis (3-methylphenyl-N, N'-diphenyl-9 , 9'-spa Robi [fluorene] -2,7-diamine {N, N'-Bis (3-methylphenyl) -N, N'-diphenyl-9,9'-spirobi [fluorene] -2,7-diamine: spiro- TPD}, tris (4-carbazoyl-9-ylphenyl) amine {tris (4-carbazoyl-9-ylphenyl) amine: TCTA}, vasocuproin {bathocuproine: BCP} and diethyl sulfide (DES) Method for producing a two-dimensional material using the adsorption inhibiting surface treatment, characterized in that any one selected from the group consisting of.
  3. 제1항에 있어서,The method of claim 1,
    상기 2차원 물질은 그래핀(graphene), 실리신(silicene), 포스포린(phosphorene), 헥사고날 보론 나이트라이드(hexagonal boron nitride) 및 금속칼코게나이드 화합물(metal chalcogenides)로 이루어진 군으로부터 선택된 어느 하나인 것을 특징으로 하는 흡착억제 표면처리를 이용한 2차원 물질의 제조방법.The two-dimensional material is any one selected from the group consisting of graphene, silicene, phosphorene, hexagonal boron nitride and metal chalcogenides Method for producing a two-dimensional material using the adsorption inhibiting surface treatment, characterized in that.
  4. 제3항에 있어서,The method of claim 3,
    상기 금속칼코게나이드 화합물은 Mo, W, Nb, Ga, Ta, Zr, Ti, Hf, Sn, In 및 Ge로 구성된 군으로부터 선택된 하나 이상의 금속과, S, Se 및 Te로 구성된 군으로부터 선택된 하나 이상의 칼코겐 원소로 구성된 화합물인 것을 특징으로 하는 흡착억제 표면처리를 이용한 2차원 물질의 제조방법.The metal chalcogenide compound is at least one metal selected from the group consisting of Mo, W, Nb, Ga, Ta, Zr, Ti, Hf, Sn, In and Ge, and at least one selected from the group consisting of S, Se and Te Method for producing a two-dimensional material using the adsorption inhibiting surface treatment, characterized in that the compound consisting of chalcogen element.
  5. 제3항에 있어서,The method of claim 3,
    상기 금속칼코게나이드 화합물은 MoS2인 것을 특징으로 하는 흡착억제 표면처리를 이용한 2차원 물질의 제조방법.The metal chalcogenide compound is a method for producing a two-dimensional material using the adsorption inhibitory surface treatment, characterized in that MoS 2 .
  6. 제1항에 있어서,The method of claim 1,
    상기 2차원 물질을 이루는 입자들 중 입자크기가 10㎚ 이상인 입자의 비율이 30 내지 95% 인 것을 특징으로 하는 흡착억제 표면처리를 이용한 2차원 물질의 제조방법.Method for producing a two-dimensional material using the adsorption inhibiting surface treatment, characterized in that the proportion of the particles having a particle size of 10nm or more of the particles forming the two-dimensional material is 30 to 95%.
  7. 제1항에 있어서,The method of claim 1,
    상기 2차원 물질을 형성하는 (2) 단계는,(2) forming the two-dimensional material,
    (a) 금속 전구체를 반응기 내부로 도입하여 상기 흡착 억제제가 흡착되지 않은 흡착 부위에 금속을 흡착시키는 단계;(a) introducing a metal precursor into the reactor to adsorb the metal to an adsorption site where the adsorption inhibitor is not adsorbed;
    (b) 상기 금속 전구체를 반응기 내부로부터 퍼지하는 단계;(b) purging the metal precursor from inside the reactor;
    (c) 칼코겐 전구체를 반응기 내부로 도입하여 금속칼코게나이드 화합물을 합성하는 단계; 및(c) introducing a chalcogen precursor into the reactor to synthesize a metal chalcogenide compound; And
    (d) 상기 칼코겐 전구체를 반응기 내부로부터 퍼지하는 단계;를 포함하는 것을 특징으로 하는 흡착억제 표면처리를 이용한 2차원 물질의 제조방법.(d) purging the chalcogenide precursor from the inside of the reactor.
  8. 제1항에 있어서,The method of claim 1,
    상기 (1) 내지 (3) 단계는 100 내지 500℃의 온도에서 수행되는 것을 특징으로 하는 흡착억제 표면처리를 이용한 2차원 물질의 제조방법.Step (1) to (3) is a method for producing a two-dimensional material using the adsorption inhibiting surface treatment, characterized in that carried out at a temperature of 100 to 500 ℃.
  9. (1) 흡착 부위(adsorption site)를 구비하는 기판에 흡착 억제제인 diethyl sulfide(DES)를 도입하여 흡착시키는 단계; (1) introducing and adsorbing diethyl sulfide (DES), an adsorption inhibitor, onto a substrate having an adsorption site;
    (2) 원자층 증착법(atomic layer deposition)을 이용하여 상기 흡착 억제제가 흡착되지 않은 흡착 부위에 금속칼코게나이드 화합물을 형성하는 단계; 및(2) forming a metal chalcogenide compound at an adsorption site where the adsorption inhibitor is not adsorbed by atomic layer deposition; And
    (3) 상기 형성된 금속칼코게나이드 화합물을 결정화하는 단계;를 포함하는 흡착억제 표면처리를 이용한 2차원 물질의 제조방법.(3) crystallizing the formed metal chalcogenide compound.
  10. 제9항에 있어서,The method of claim 9,
    상기 금속칼코게나이드 화합물은 MoS2인 것을 특징으로 하는 흡착억제 표면처리를 이용한 2차원 물질의 제조방법.The metal chalcogenide compound is a method for producing a two-dimensional material using the adsorption inhibitory surface treatment, characterized in that MoS 2 .
PCT/KR2017/006884 2017-04-06 2017-06-29 Method for preparing two-dimensional material by using adsorption inhibitory surface treatment WO2018186535A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20170044579 2017-04-06
KR10-2017-0044579 2017-04-06

Publications (1)

Publication Number Publication Date
WO2018186535A1 true WO2018186535A1 (en) 2018-10-11

Family

ID=63713201

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR2017/006884 WO2018186535A1 (en) 2017-04-06 2017-06-29 Method for preparing two-dimensional material by using adsorption inhibitory surface treatment

Country Status (1)

Country Link
WO (1) WO2018186535A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115000205A (en) * 2022-05-11 2022-09-02 浙江芯科半导体有限公司 High-performance heterojunction and preparation method thereof
CN117088390A (en) * 2023-10-19 2023-11-21 深圳新宙邦科技股份有限公司 Preparation method of hexafluorophosphate, electrolyte and secondary battery

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130103913A (en) * 2012-03-12 2013-09-25 성균관대학교산학협력단 Preparing method of chacogenide metal thin film
KR20140115723A (en) * 2013-03-22 2014-10-01 경희대학교 산학협력단 Method for growing 2d layer of chacogenide compound, method for preparing cmos type structure, layer of chacogenide compound, electronic device including layer of chacogenide compound and cmos type structure
KR20150015183A (en) * 2013-07-31 2015-02-10 건국대학교 산학협력단 MoS2 thin film and preparation method thereof
JP2016097599A (en) * 2014-11-21 2016-05-30 富士通株式会社 Laminated structure of layered material and method for manufacturing the same
KR101703814B1 (en) * 2015-09-16 2017-02-08 한국과학기술연구원 Method for controlling thickness of two dimensional material thin film using seeding promoter and solvent

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130103913A (en) * 2012-03-12 2013-09-25 성균관대학교산학협력단 Preparing method of chacogenide metal thin film
KR20140115723A (en) * 2013-03-22 2014-10-01 경희대학교 산학협력단 Method for growing 2d layer of chacogenide compound, method for preparing cmos type structure, layer of chacogenide compound, electronic device including layer of chacogenide compound and cmos type structure
KR20150015183A (en) * 2013-07-31 2015-02-10 건국대학교 산학협력단 MoS2 thin film and preparation method thereof
JP2016097599A (en) * 2014-11-21 2016-05-30 富士通株式会社 Laminated structure of layered material and method for manufacturing the same
KR101703814B1 (en) * 2015-09-16 2017-02-08 한국과학기술연구원 Method for controlling thickness of two dimensional material thin film using seeding promoter and solvent

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115000205A (en) * 2022-05-11 2022-09-02 浙江芯科半导体有限公司 High-performance heterojunction and preparation method thereof
CN117088390A (en) * 2023-10-19 2023-11-21 深圳新宙邦科技股份有限公司 Preparation method of hexafluorophosphate, electrolyte and secondary battery
CN117088390B (en) * 2023-10-19 2024-02-09 深圳新宙邦科技股份有限公司 Preparation method of hexafluorophosphate, electrolyte and secondary battery

Similar Documents

Publication Publication Date Title
WO2017018834A1 (en) Method for manufacturing two-dimensional transition metal dichalcogenide thin film
WO2015016412A1 (en) Mos2 thin film and method for manufacturing same
WO2012064102A2 (en) Graphene-coated steel sheet, and method for manufacturing same
TW201915213A (en) Method for depositing a metal chalcogenide on a substrate by cyclical deposition
Kamiyama et al. Comparison between SiO2 films deposited by atomic layer deposition with SiH2 [N (CH3) 2] 2 and SiH [N (CH3) 2] 3 precursors
US5593497A (en) Method for forming a deposited film
WO2016122081A1 (en) Method for producing metal chalcogenide thin film
WO2018186535A1 (en) Method for preparing two-dimensional material by using adsorption inhibitory surface treatment
KR20180011899A (en) Method for preparing thin film of two-dimensional transition metal dichalcogenide having high uniformity
US10246795B2 (en) Transfer-free method for forming graphene layer
JP2020531682A (en) Single-layer and multi-layer silicene prepared by plasma chemical vapor deposition
US4873125A (en) Method for forming deposited film
Barreau et al. Characteristics of photoconductive MoS2 films grown on NaCl substrates by a sequential process
EP0240305B1 (en) Method for forming a deposited film
WO2014178686A1 (en) Method for manufacturing nickel sulfide thin film
WO2024014766A1 (en) Method for manufacturing transition metal dichalcogenide thin film having highly uniform three-dimensional hierarchical structure
Anutgan et al. Comparison of Chromium-and Aluminum-Gated Nanocrystalline Silicon TFTs
WO2023075277A1 (en) Method for manufacturing thin film using surface-functionalized graphene layer and thin film manufactured using same
KR20200040169A (en) A method for epitaxial growth of single crystalline heterogeneous 2D materials and stacked structure
WO2024117712A1 (en) Semiconductor device and manufacturing method therefor
US11837635B2 (en) Method of forming graphene on a silicon substrate
WO2023200144A1 (en) Indium compound, indium-containing thin film deposition composition comprising same, and indium-containing thin film manufacturing method
WO2024128537A1 (en) Gallium compound, composition comprising same for depositing thin film, and method for manufacturing thin film using same
JPH04180617A (en) Manufacture of large crystal grain-sized polycrystal silicon and thin film semiconductor using same
Lee et al. Wide process temperature of atomic layer deposition for In2O3 thin-film transistors using novel indium precursor (N, N’-di-tert butylacetimidamido) dimethyllindium

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17904399

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 17904399

Country of ref document: EP

Kind code of ref document: A1