WO2018048480A1 - Compositions filmogènes contenant un métal de transition du groupe 4 pour le dépôt en phase vapeur de films contenant un métal de transition du groupe 4 - Google Patents

Compositions filmogènes contenant un métal de transition du groupe 4 pour le dépôt en phase vapeur de films contenant un métal de transition du groupe 4 Download PDF

Info

Publication number
WO2018048480A1
WO2018048480A1 PCT/US2017/026811 US2017026811W WO2018048480A1 WO 2018048480 A1 WO2018048480 A1 WO 2018048480A1 US 2017026811 W US2017026811 W US 2017026811W WO 2018048480 A1 WO2018048480 A1 WO 2018048480A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
chme
transition metal
film forming
containing film
Prior art date
Application number
PCT/US2017/026811
Other languages
English (en)
Inventor
Ziyun Wang
Jean-Marc Girard
Original Assignee
L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude
Air Liquide Electronics U.S. Lp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude, Air Liquide Electronics U.S. Lp filed Critical L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude
Publication of WO2018048480A1 publication Critical patent/WO2018048480A1/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/28Titanium compounds
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD

Definitions

  • Group 4 transition metal-containing film forming compositions comprising Group 4 transition metal atrane precursors. Also disclosed are methods of synthesizing and using the disclosed precursors to deposit Group 4 transition metal-containing films on one or more substrates via vapor deposition processes.
  • CVD Chemical Vapor Deposition
  • ALD Atomic Layer Deposition
  • CVD and ALD may provide different films (metal, oxide, nitride, etc.) having a finely defined thickness and high step coverage.
  • the precursor molecule plays a critical role to obtain high quality films with high conformality and low impurities.
  • Group 4 based materials such as Ti0 2 , Hf0 2 or ⁇ 0 2 , are very promising, whether used as pure or mixed oxides or in laminates.
  • Group 4-containing films, such as TiN, may also be used for electrode and/or Cu diffusion barrier applications.
  • the Group 4 oxides may also be used for their etch resistance properties in lithography applications, such as for hard masks or spacer- defined multiple patterning applications.
  • U.S. Pat. Nos. 5,344,948 and 5,464,656 to Verkade disclose neutral single- source molecular organic precursors containing tetradentate tripodal chelating ligands, including Me 2 N-Ti((-OCH 2 CH2-)3N-) and tBuO-Ti((-OCH 2 CH 2 -) 3 N-).
  • Mun et al. reported the synthesis, x-ray structure and l-Lactide/E- caprolactone polymerization behavior of monomeric aryloxytitanatrane, including synthesis of 2,6-di-tertbutylphenoxy-Ti((-0-CH2-CH 2 -)3N). Bull. Korean Chem. Soc. 2007, Vol. 28, No. 4, pp. 698-700.
  • CN Pat. App. Pub. No. 1955194 to LG Chemical Ltd. discloses methods for preparing a transition metal having a syndiotactic stryrene polymer of high activity, excellent stereoregularity, high melting point, and broad molecular weight distribution of the inert structure half metallocene catalysts and catalyst preparation styrene polymers.
  • thermosetting film-forming composition comprising a polytrialkanolamine reacted with a crosslinking agent composition.
  • U.S. Pat. App. Pub. No. 2014/024798 to Wiegner et al. discloses a polycondensation reaction mixture including a titanium atrane catalyst.
  • Ksapabutr et al. report fabrication of ceramic nanofibers using atrane precursors, including (HO)Zr((-0-CH 2 -CH2-) 3 N). Bussarin Ksapabutr, Oct. 6 2015.
  • Group 4 transition metal-containing film forming compositions comprising Group 4 transition metal atrane precursors having the following formula:
  • M is selected from Group 4 transition metals consisting of Ti, Zr, or Hf; R is a halide, Cp, alkyl or silyl substituted Cp, alkoxy, alkyl, dialkylamino, disilylamino, trialkylsiloxy, amidinate, or DAD; and each R 1 is independently selected from H or a C1 to C6 hydrocarbyl group.
  • the disclosed Group 4 transition metal-containing film forming compositions may further include one or more of the following aspects: • M being Ti;
  • R being NR' 2 , with each R' being independently selected from a C1 -C6 hydrocarbyl group or a silyl group;
  • Each R independently being H, e, Et, nPr, iPr, nBu, sBu, iBu, tBu, or tAmyl;
  • the Group 4 transition metal-containing film forming composition comprising between approximately 0.1 molar % and approximately 50 molar % of the Group 4 transition metal atrane precursor;
  • the Group 4 transition metal-containing film forming composition having a viscosity between approximately 1 and approximately 20 cps, preferably between approximately 1 and approximately 5 cps, preferably around 3 cps;
  • the Group 4 transition metal-containing film forming composition comprising between approximately 95% w/w to approximately 100% w/w of the Group 4 transition metal atrane precursors;
  • the Group 4 transition metal-containing film forming composition comprising between approximately 99% w/w to approximately 100% w/w of the Group 4 transition metal atrane precursor;
  • the Group 4 transition metal-containing film forming composition comprising between approximately 0 % w/w and 5 % w/w of a hydrocarbon solvent;
  • the solvent being selected from the group consisting of C1 -C16
  • hydrocarbons whether saturated or unsaturated, ketones, ethers, glymes, esters, tetrahydrofuran (THF), dimethyl oxalate (DMO), and combinations thereof;
  • composition delivery devices comprising a canister having an inlet conduit and an outlet conduit and containing any of the Group 4 transition metal-containing film forming compositions disclosed above.
  • the disclosed delivery devices may include one or more of the following aspects:
  • the Group 4 transition metal atrane precursor being CpZr((-0-CH 2 -CH 2 -
  • the Group 4 transition metal atrane precursor being (Me 5 Cp)Zr((-0-CH 2 - CH 2 -) 3 N-);
  • the Group 4 transition metal atrane precursor being (Me 5 Cp)Ti((-0-CH 2 - CH 2 -) 3 N-).
  • At least one Group 4 transition metal- containing film forming compositions disclosed above is introduced into a reactor having at least one substrate disposed therein. At least part of the Group 4 transition metal atrane precursor is deposited onto the substrate(s) to form the Group 4 transition metal-containing film.
  • the disclosed processes may further include one or more of the following aspects:
  • the reactant being selected from the group consisting of H 2 , NH 3 , hydrazines (such as N 2 H 4 , MeHNNH 2 , MeHNNHMe), organic amines (such as NMeH 2 , NEtH 2 , NMe 2 H, NEt 2 H, NMe 3 , NEt 3 , cyclic amines like pyrrolidine or pyrimidine), diamines (such as ethylene diamine, dimethylethylene diamine, tetramethylethylene diamine), aminoalcohols (such as ethanolamine [HO- CH2-CH2-NH2], bis ethanolamine [HN(C 2 H 5 OH) 2 ] or tris
  • the reactant being selected from the group consisting of (SiH 3 ) 3 N,
  • hydridosilanes such as SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 0 , Si 5 H 0 , Si 6 H 2 ),
  • chlorosilanes and chloropolysilanes such as SiHCI 3 , SiH 2 CI 2 , SiH 3 CI, Si 2 Cl6, S12HCI5, Si 3 CI 8 ), alkylsilanes (such as Me 2 SiH 2 , Et 2 SiH 2 , MeSiH 3 , EtSiH 3 ), and aminosilanes (such as tris-dimethylaminosilane, bis-diethylaminosilane, di-isopropylaminosilane and other mono, dis or tris aminosilanes);
  • the reactant being selected from the group consisting of NH 3 , N(SiH 3)3 , aminosilanes, and mixtures thereof;
  • the reactant being selected from trialkylaluminum, dialkylaluminum halide, alkylamino and alkoxy derivatives of aluminum, and mixtures thereof;
  • the reactant being selected from the group consisting of: 0 2 , 0 3 , H 2 0, H 2 0 2, NO, N 2 O, NO 2 , an alcohol, a diol (such as ethylene glycol), oxygen radicals thereof, and mixtures thereof;
  • the reactor being configured for plasma enhanced atomic layer deposition
  • the Group 4 transition metal-containing film being a Group 4 transition metal oxide (MnOm, wherein M is the Group 4 transition metal and each of n and m is an integer which inclusively range from 1 to 6);
  • the Group 4 transition metal-containing film being ⁇ 2 , Zr0 2 or Hf0 2 ;
  • the Group 4 transition metal-containing film being MM , ⁇ ⁇ , wherein i ranges from 0 to 1 ; x ranges from 1 to 6; and M is selected from a Group 3 element, a different Group 4 element (i.e., M ⁇ M'), a Group 5 element, a lanthanide, Si, Al, B, P or Ge; and
  • the Group 4 transition metal-containing film being MM jN y O x , wherein i ranges from 0 to 1 ; x and y range from 1 to 6; and M is selected from a Group 3 element, a different Group 4 element (i.e., M ⁇ M'), a Group 5 element, a lanthanide, Si, Al, B, P or Ge.
  • R groups independently selected relative to other R groups bearing the same or different subscripts or superscripts, but is also independently selected relative to any additional species of that same R group.
  • R groups may, but need not be identical to each other or to R 2 or to R 3 .
  • values of R groups are independent of each other when used in different formulas.
  • hydrocarbyl group refers to a functional group containing carbon and hydrogen; the term “alkyl group” refers to saturated functional groups containing exclusively carbon and hydrogen atoms.
  • the hydrocarbyl group may be saturated or unsaturated.
  • Either term refers to linear, branched, or cyclic groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, propyl groups, butyl groups, etc. Examples of branched alkyls groups include without limitation, t-butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.
  • the abbreviation "Me” refers to a methyl group
  • the abbreviation “Et” refers to an ethyl group
  • the abbreviation “Pr” refers to a propyl group
  • the abbreviation “nPr” refers to a "normal” or linear propyl group
  • the abbreviation “iPr” refers to an isopropyl group
  • the abbreviation “Bu” refers to a butyl group
  • the abbreviation “nBu” refers to a "normal” or linear butyl group
  • the abbreviation “tBu” refers to a tert-butyl group, also known as 1 , 1 -dimethylethyl
  • the abbreviation “sBu” refers to a sec-butyl group, also known as 1 -methylpropyl
  • the abbreviation “iBu” refers to an iso-butyl group, also known as 2-methylpropy
  • amidinate, formidinate and guanidinate ligands do not contain a fixed double bond. Instead, one electron is delocalized amon st the N-C-N chain.
  • M is selected from Group 4 transition metals consisting of Ti, Zr, or Hf; R is a halide, Cp, alkyl or silyl substituted Cp, alkoxy, alkyl, dialkylamino, disilylamino, trialkylsiloxy, amidine, or DAD; and each R 1 is independently H or a C1 to C6 hydrocarbyl group.
  • Group 3 refers to Group 3 of the Periodic Table (i.e. , Sc, Y, La, or Ac).
  • Group 4 refers to Group 4 of the Periodic Table (i.e. , Ti, Zr, or Hf) and Group 5 refers to Group 5 of the Periodic Table (i.e. , V, Nb, or Ta).
  • the films or layers deposited such as silicon oxide or silicon nitride, may be listed throughout the specification and claims without reference to their proper stoichiometry (i.e., Si0 2 , Si 3 N 4 ).
  • the layers may include pure (Si) layers, carbide (Si 0 C p ) layers, nitride (Si k Ni) layers, oxide (Si n O m ) layers, or mixtures thereof, wherein k, I, m, n, o, and p inclusively range from 1 to 6.
  • silicon oxide is Si n O m , wherein n ranges from 0.5 to 1 .5 and m ranges from 1 .5 to 3.5. More preferably, the silicon oxide layer is Si0 2 or Si0 3 .
  • These films may also contain Hydrogen, typically from 0 at% to 15 at%. However, since not routinely measured, any film compositions given ignore their H content, unless explicitly stated otherwise.
  • FIG. 1 is a side view of one embodiment of a liquid Group 4 transition metal- containing film forming composition delivery device 1 ;
  • FIG. 2 is a side view of a second embodiment of the Group 4 transition metal-containing film forming composition delivery device 1 ;
  • FIG 3 is an exemplary embodiment of a solid precursor sublimator 100 for subliming solid Group 4 transition metal-containing film forming compositions
  • FIG 4 is the 1 H NMR spectrum of Me 5 CpZr((-0-CH2-CH2-) 3 N);
  • FIG 5 is a ThermoGravimetric Analysis/Differential Thermal Analysis (TGA/DTA) graph illustrating the percentage of weight loss (TGA) or the differential temperature (DTA) of Me 5 CpZr((-0-CH2-CH 2 -)3N) upon temperature increase;
  • FIG 6 is the 1 H NMR spectrum of Me 5 CpTi((-0-CH2-CH2-) 3 N);
  • FIG 7 is a TGA/DTA graph illustrating the percentage of weight loss (TGA) or the differential temperature (DTA) of Me 5 CpTi((-0-CH 2 -CH 2 -)3N) upon temperature increase;
  • FIG 8 is the 1 H NMR spectrum of Me 5 CpTi((-0-CH(Me)-CH 2 -) 3 N);
  • FIG 9 is a TGA/DTA graph illustrating the percentage of weight loss (TGA) or the differential temperature (DTA) of Me 5 CpTi((-0-CH(Me)-CH 2 -) 3 N) upon temperature increase (TGA) and ;
  • FIG 10 is a graph showing the zirconium oxide film growth rate as a function of the chamber temperature using Me 5 CpZr((-0-CH2-CH 2 -)3N);
  • FIG 11 is a graph showing the zirconium oxide film thickness per number of ALD cycles using Me 5 CpZr((-0-CH2-CH2-) 3 N).
  • Group 4 transition metal-containing film forming compositions are disclosed.
  • the Group 4 transition metal-containing film forming compositions comprise Group 4 transition metal atrane precursors having the following formula:
  • M is selected from Group 4 transition metals consisting of Ti, Zr, or Hf; R is a halide, Cp, alkyl or silyl substituted Cp, alkoxy, alkyl, dialkylamino, disilylamino, amidinate, trialkylsiloxy, or DAD; and each R 1 is independently H or a C1 to C6 hydrocarbyl group.
  • Ti-containing atrane precursors include but are not limited to Cl- Ti((-0-CH 2 -CH 2 -) 3 N), CI-Ti((-0-CHMe-CH 2 -) 3 N), Cp-Ti((-0-CH 2 -CH 2 -) 3 N), Cp-Ti((- 0-CHMe-CH 2 -) 3 N), MeCp-Ti((-0-CH 2 -CH 2 -) 3 N), MeCp-Ti((-0-CHMe-CH 2 -) 3 N), EtCp-Ti((-0-CH 2 -CH 2 -) 3 N), EtCp-Ti((-0-CHMe-CH 2 -) 3 N), iPrCp-Ti((-0-CH 2 -CH 2 - ) 3 N), iPrCp-Ti((-0-CH 2 -CH 2 - ) 3 N), iPrCp-Ti((-0-CHMe-
  • Exemplary Zr-containing atrane precursors include but are not limited to Cl- Zr((-0-CH 2 -CH 2 -) 3 N), CI-Zr((-0-CHMe-CH 2 -) 3 N), Cp-Zr((-0-CH 2 -CH 2 -) 3 N), Cp-Zr((- 0-CHMe-CH 2 -) 3 N), MeCp-Zr((-0-CH 2 -CH 2 -) 3 N), MeCp-Zr((-0-CHMe-CH 2 -) 3 N), EtCp-Zr((-0-CH 2 -CH 2 -) 3 N), EtCp-Zr((-0-CHMe-CH 2 -) 3 N), iPrCp-Zr((-0-CH 2 -CH 2 - ) 3 N), iPrCp-Zr((-0-CHMe-CH 2 -) 3 N), Me 5 Cp-Zr((-0-CH 2
  • Hf-containing atrane precursors include but are not limited to Cl- Hf((-0-CH 2 -CH 2 -) 3 N), CI-Hf((-0-CHMe-CH 2 -) 3 N), Cp-Hf((-0-CH 2 -CH 2 -) 3 N), Cp-Hf((- 0-CHMe-CH 2 -) 3 N), MeCp-Hf((-0-CH 2 -CH 2 -) 3 N), MeCp-Hf((-0-CHMe-CH 2 -) 3 N), EtCp-Hf((-0-CH 2 -CH 2 -) 3 N), EtCp-Hf((-0-CHMe-CH 2 -) 3 N), iPrCp-Hf((-0-CH 2 -CH 2 - ) 3 N), iPrCp-Hf((-0-CHMe-CH 2 -) 3 N), Me 5 Cp-Hf((-0-CH 2 -)
  • the inventors recognize that using these asymmetric substituted triethanolamine ligands may help reduce the melting points of the Group 4 transition metal atrane precursors obtained from them, in the same way as metallocene having substituted Cp rings tend to have lower melting points.
  • the Group 4 transition metal atrane precursors may exhibit (i) sufficient volatility to provide a rapid and reproducible delivery into the reaction chamber from the vessel in which they are stored, (ii) high thermal stability to avoid decomposition during the storage in the canister and to enable self limiting growth in ALD mode at high temperature, typically > 275°C, (iii) appropriate reactivity toward the substrate terminal functions and with the reacting gas to an easy conversion into the desired film, and (iv) high purity to obtain a film with low impurities.
  • precursors are ideally liquids and vaporized in bubblers or direct liquid injection systems
  • solid precursors for ALD and CVD precursor vaporization is also possible using sublimators such as ones disclosed in PCT Publication WO2009/087609 to Xu et al.
  • solid precursors may be mixed or dissolved in a solvent to reach a usable melting point and viscosity for usage by Direct Liquid Injection systems.
  • the R in the Group 4 transition metal-containing atrane precursors is Cp * and R 1 is H or Me because of their excellent vaporization results in atmospheric thermogravimetric analysis, leaving a small amount of final residue.
  • the disclosed Group 4 transition metal-containing atrane precursors may be synthesized by reacting at low temperature the corresponding halogenated Group 4 transition metal-containing R group compound (i.e., RMX 3 , wherein R and M are defined above and X is CI, Br, or I) with the corresponding alkanolamine and alkylamine in a suitable solvent, such as dichloromethane, THF or ether.
  • a suitable solvent such as dichloromethane, THF or ether.
  • the RMX 3 , alkanolamine, and alkylamine are commercially available.
  • the disclosed Group 4 transition metal-containing atrane precursors may be synthesized by reacting at low temperature the corresponding Group 4 transition metal-containing alkoxy and R group compound (i.e., RM(OR")3), wherein R and M are defined above and R" is a C1 -C6 alkyl group) with the corresponding alkanolamine in a suitable solvent, such as heptanes, dichloromethane, THF or ether.
  • a suitable solvent such as heptanes, dichloromethane, THF or ether.
  • the RM(OR")3 and alkanolamine are commercially available. After completion of the addition, the mixture warms to room temperature with stirring. The solvent is removed under vacuum to produce the crude Group 4 transition metal-containing atrane precursor.
  • Exemplary synthesis methods containing further details are provided in the Examples that follow.
  • the disclosed Group 4 transition metal-containing atrane precursors may be synthesized by reacting at low temperature the corresponding Group 4 transition metal-containing amide and R group compound (i.e., RM(NR"' 2 )3), wherein R and M are defined above and R"' is a C1 -C6 alkyl group) with the corresponding alkanolamine in a suitable solvent, such as heptanes, dichloromethane, THF or ether.
  • a suitable solvent such as heptanes, dichloromethane, THF or ether.
  • the RM(NR'" 2 )3 and alkanolamine are commercially available. After completion of the addition, the mixture warms to room temperature with stirring. The solvent is removed under vacuum to produce the crude Group 4 transition metal-containing atrane precursor.
  • the Group 4 transition metal-containing film forming compositions may be purified by continuous or fractional batch distillation or sublimation prior to use to a purity ranging from approximately 93% w/w to approximately 100% w/w, preferably ranging from approximately 99% w/w to approximately 100% w/w.
  • the Group 4 transition metal-containing film forming compositions may contain any of the following impurities: undesired congeneric species; solvents; chlorinated metal compounds; or other reaction products. In one alternative, the total quantity of these impurities is below 0.1 % w/w.
  • the concentration of each of hexane, pentane, dimethyl ether, or anisole in the purified Group 4 transition metal-containing film forming compositions may range from approximately 0% w/w to approximately 5% w/w, preferably from approximately 0% w/w to approximately 0.1 % w/w.
  • Solvents may be used in the composition's synthesis. Separation of the solvents from the precursor may be difficult if both have similar boiling points. Cooling the mixture may produce solid precursor in liquid solvent, which may be separated by filtration. Vacuum distillation may also be used, provided the precursor product is not heated above
  • the disclosed Group 4 transition metal-containing film forming compositions contain less than 5% v/v, preferably less than 1 % v/v, more preferably less than 0.1 % v/v, and even more preferably less than 0.01 % v/v of any of its undesired congeneric species, reactants, or other reaction products.
  • This alternative may provide better process repeatability.
  • This alternative may be produced by distillation of the Group 4 transition metal-containing atrane
  • the disclosed Group 4 transition metal-containing film forming compositions may contain between 5% v/v and 50% v/v of one or more of cogeneric Group 4 transition metal-containing atrane precursors, reactants, or other reaction products, particularly when the mixture provides improved process parameters or isolation of the target compound is too difficult or expensive.
  • a mixture of two Group 4 transition metal atrane precursors may produce a stable, liquid mixture suitable for vapor deposition.
  • the concentration of trace metals and metalloids in the purified Group 4 transition metal-containing film forming compositions may each range from approximately 0 ppb to approximately 100 ppb, and more preferably from
  • metal impurities include, but are not limited to, Aluminum (Al), Arsenic (As), Barium (Ba), Beryllium (Be),
  • the method may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices.
  • compositions may be used to deposit thin Group 4 transition metal-containing films using any deposition methods known to those of skill in the art.
  • suitable vapor deposition methods include chemical vapor deposition (CVD) or atomic layer deposition (ALD).
  • exemplary CVD methods include thermal CVD, plasma enhanced CVD (PECVD), pulsed CVD (PCVD), low pressure CVD (LPCVD), sub-atmospheric CVD (SACVD) or atmospheric pressure CVD (APCVD), hot-wire CVD (HWCVD, also known as cat-CVD, in which a hot wire serves as an energy source for the deposition process), radicals incorporated CVD, and combinations thereof.
  • PECVD plasma enhanced CVD
  • PCVD pulsed CVD
  • LPCVD low pressure CVD
  • SACVD sub-atmospheric CVD
  • APCVD atmospheric pressure CVD
  • HWCVD hot-wire CVD
  • cat-CVD also known as cat-CVD, in which a hot wire serves as an energy
  • Exemplary ALD methods include thermal ALD, plasma enhanced ALD (PEALD), spatial isolation ALD, hot-wire ALD (HWALD), radicals incorporated ALD, and combinations thereof.
  • Super critical fluid deposition may also be used.
  • the deposition method is preferably ALD, spatial ALD, or PE-ALD to provide suitable step coverage and film thickness control.
  • the disclosed Group 4 transition metal-containing film forming compositions are particularly suitable for ALD processes because their thermal stability enables perfect self-limited growth.
  • N-M bond may stabilize the precursor making it thermally robust, which may help during conformal ALD deposition in high aspect ratio structures.
  • the O-M bond may provide good reactivity to any hydroxyl groups on the substrate surface, permitting the required physi- or chemi-sorption desired in ALD deposition.
  • R is Cp (substituted or not)
  • the disclosed Group 4 transition metal-containing film forming composition may be supplied either neat or may further comprise a suitable solvent, such as ethyl benzene, xylene, mesitylene, decane, and/or dodecane.
  • a suitable solvent such as ethyl benzene, xylene, mesitylene, decane, and/or dodecane.
  • the disclosed Group 4 transition metal atrane precursors may be present in varying concentrations in the solvent.
  • the neat or blended Group 4 transition metal-containing film forming compositions are introduced into a reactor in vapor form by conventional means, such as tubing and/or flow meters.
  • the vapor form may be produced by vaporizing the neat or blended composition through a conventional vaporization step such as direct vaporization, distillation, or by bubbling, or by using a sublimator such as the one disclosed in PCT Publication WO2009/087609 to Xu et al.
  • the composition may be fed in a liquid state to a vaporizer where it is vaporized before it is introduced into the reactor.
  • the composition may be vaporized by passing a carrier gas into a container containing the compound or by bubbling the carrier gas into the compound.
  • the carrier gas may include, but is not limited to, Ar, He, N 2 ,and mixtures thereof. Bubbling with a carrier gas may also remove any dissolved oxygen present in the neat or blended compound solution. The carrier gas and vapor form of the composition are then introduced into the reactor as a vapor.
  • the container may be heated to a temperature that permits the composition to be in its liquid phase and to have a sufficient vapor pressure.
  • the container may be maintained at temperatures in the range of, for example, approximately 50°C to approximately 180°C. Those skilled in the art recognize that the temperature of the container may be adjusted in a known manner to control the amount of composition vaporized.
  • the Group 4 transition metal-containing film forming compositions may be delivered to a semiconductor processing tool by the disclosed Group 4 transition metal-containing film forming composition delivery devices.
  • FIGS. 1 and 2 show two embodiments of the disclosed delivery devices 1.
  • FIG. 1 is a side view of one embodiment of the Group 4 transition metal- containing film forming composition delivery device 1.
  • the disclosed Group 4 transition metal-containing film forming composition 11 is contained within a container 2 having at least two conduits, an inlet conduit 3 and an outlet conduit 4.
  • a container 2 having at least two conduits, an inlet conduit 3 and an outlet conduit 4.
  • the container 2, inlet conduit 3, and outlet conduit 4 are manufactured to prevent the escape of the gaseous form of the Group 4 transition metal-containing film forming composition 11 , even at elevated temperature and pressure.
  • Suitable valves include spring-loaded or tied diaphragm valves.
  • the valve may further comprise a restrictive flow orifice (RFO).
  • RFO restrictive flow orifice
  • the delivery device 1 should be connected to a gas manifold and in an enclosure.
  • the gas manifold should permit the safe evacuation and purging of the piping that may be exposed to air when the delivery device 1 is replaced so that any residual amount of the material does not react.
  • the delivery device 1 must be leak tight and be equipped with valves that do not permit escape of even minute amounts of the material when closed.
  • the delivery device 1 fluidly connects to other components of the semiconductor processing tool, such as the gas cabinet disclosed above, via valves 6 and 7.
  • the container 2, inlet conduit 3, valve 6, outlet conduit 4, and valve 7 are typically made of 316L EP stainless steel.
  • the end 8 of inlet conduit 3 is located above the surface of the Group 4 transition metal-containing film forming composition 11
  • the end 9 of the outlet conduit 4 is located below the surface of the Group 4 transition metal- containing film forming composition 11.
  • the Group 4 transition metal-containing film forming composition 11 is preferably in liquid form.
  • An inert gas including but not limited to nitrogen, argon, helium, and mixtures thereof, may be introduced into the inlet conduit 3. The inert gas pressurizes the container 2 so that the liquid Group 4 transition metal-containing film forming composition 11 is forced through the outlet conduit 4 and to components in the semiconductor processing tool (not shown).
  • the semiconductor processing tool may include a vaporizer which transforms the liquid Group 4 transition metal-containing film forming composition 11 into a vapor, with or without the use of a carrier gas such as helium, argon, nitrogen or mixtures thereof, in order to deliver the vapor to a chamber where a wafer to be repaired is located and treatment occurs in the vapor phase.
  • a carrier gas such as helium, argon, nitrogen or mixtures thereof.
  • the liquid Group 4 transition metal-containing film forming composition 11 may be delivered directly to the wafer surface as a jet or aerosol.
  • FIG. 2 is a side view of a second embodiment of the Group 4 transition metal-containing film forming composition delivery device 1.
  • the end 8 of inlet conduit 3 is located below the surface of the Group 4 transition metal- containing film forming composition 11
  • the end 9 of the outlet conduit 4 is located above the surface of the Group 4 transition metal-containing film forming composition 11.
  • FIG. 2 also includes an optional heating element 14, which may increase the temperature of the Group 4 transition metal-containing film forming composition 11.
  • the Group 4 transition metal-containing film forming composition 11 may be in solid or liquid form.
  • An inert gas including but not limited to nitrogen, argon, helium, and mixtures thereof, is introduced into the inlet conduit 3. The inert gas flows through the Group 4 transition metal-containing film forming composition 11 and carries a mixture of the inert gas and vaporized Group 4 transition metal- containing film forming composition 11 to the outlet conduit 4 and to the
  • FIGS 1 and 2 include valves 6 and 7.
  • valves 6 and 7 may be placed in an open or closed position to allow flow through conduits 3 and 4, respectively.
  • Either delivery device 1 in FIGS. 1 or 2, or a simpler delivery device having a single conduit terminating above the surface of any solid or liquid present, may be used if the Group 4 transition metal- containing film forming composition 11 is in vapor form or if sufficient vapor pressure is present above the solid/liquid phase.
  • the Group 4 transition metal-containing film forming composition 11 is delivered in vapor form through the conduit 3 or 4 simply by opening the valve 6 in FIG. 1 or 7 in FIG. 2, respectively.
  • the delivery device 1 may be maintained at a suitable temperature to provide sufficient vapor pressure for the Group 4 transition metal-containing film forming composition 11 to be delivered in vapor form, for example by the use of an optional heating element 14.
  • FIGS. 1 and 2 disclose two embodiments of the Group 4 transition metal-containing film forming composition delivery device 1
  • the inlet conduit 3 and outlet conduit 4 may both be located above the surface of the Group 4 transition metal-containing film forming composition 11 without departing from the disclosure herein.
  • inlet conduit 3 may be a filling port.
  • FIG 3 shows one embodiment of a suitable sublimator 100.
  • the sublimator 100 comprises a container 33.
  • Container 33 may be a cylindrical container, or alternatively, may be any shape, without limitation.
  • the container 33 is constructed of materials such as stainless steel, nickel and its alloys, quartz, glass, and other chemically compatible materials, without limitation.
  • the container 33 is constructed of another metal or metal alloy, without limitation.
  • the container 33 has an internal diameter from about 8 centimeters to about 55 centimeters and, alternatively, an internal diameter from about 8 centimeters to about 30
  • centimeters As understood by one skilled in the art, alternate configurations may have different dimensions.
  • Container 33 comprises a sealable top 15, sealing member 18, and gasket 20.
  • Sealable top 15 is configured to seal container 33 from the outer environment. Sealable top 15 is configured to allow access to the container 33. Additionally, sealable top 15 is configured for passage of conduits into container 33. Alternatively, sealable top 15 is configured to permit fluid flow into container 33. Sealable top 15 is configured to receive and pass through a conduit comprising a dip tube 92 to remain in fluid contact with container 33. Dip tube 92 having a control valve 90 and a fitting 95 is configured for flowing carrier gas into container 33. In certain instances, dip tube 92 extends down the center axis of container 33. Further, sealable top 15 is configured to receive and pass through a conduit comprising outlet tube 12.
  • Outlet tube 12 comprises a control valve 10 and fitting 5.
  • outlet tube 12 is fluidly coupled to a gas delivery manifold, for conducting carrier gas from the sublimator 100 to a film deposition chamber.
  • Container 33 and sealable top 15 are sealed by at least two sealing members 18; alternatively, by at least about four sealing members.
  • sealable top 15 is sealed to container 33 by at least about eight sealing members 18.
  • sealing member 18 releasably couples sealable top 15 to container 33, and forms a gas resistant seal with gasket 20.
  • Sealing member 18 may comprise any suitable means known to one skilled in the art for sealing container 33. In certain instances, sealing member 18 comprises a thumbscrew.
  • container 33 further comprises at least one disk disposed therein.
  • the disk comprises a shelf, or horizontal support, for solid material.
  • an interior disk 30 is disposed annularly within the container 33, such that the disk 30 includes an outer diameter or circumference that is less than the inner diameter or circumference of the container 33, forming an opening 31.
  • An exterior disk 86 is disposed circumferentially within the container 33, such that the disk 86 comprises an outer diameter or circumference that is the same, about the same, or generally coincides with the inner diameter of the container 33. Exterior disk 86 forms an opening 87 disposed at the center of the disk.
  • a plurality of disks is disposed within container 33.
  • the disks are stacked in an alternating fashion, wherein interior disks 30, 34, 36, 44 are vertically stacked within the container with alternating exterior disks 62, 78, 82, 86.
  • interior disks 30, 34, 36, 44 extend annularly outward, and exterior disks 62, 78, 82, 86 extend annularly toward the center of container 33.
  • interior disks 30, 34, 36, 44 are not in physical contact with exterior disks 62, 78, 82, 86.
  • the assembled sublimator 100 comprises interior disks 30, 34, 36, 44
  • the sublimator comprises exterior disks 62, 78, 82, 86. As illustrated in FIG 3, the exterior disks 62, 78, 82, 86 should be tightly fit into the container 33 for a good contact for conducting heat from the container 33 to the disks 62, 78, 82, 86.
  • the exterior disks 62, 78, 82, 86 are coupled to, or in physical contact with, the inner wall of the container 33.
  • exterior disks 62, 78, 82, 86 and interior disks 30, 34, 36, 44 are stacked inside the container 33.
  • the interior disks 30, 34, 36, 44 form outer gas passages 31 , 35, 37, 45 between the assembled exterior disks 62, 78, 82, 86.
  • exterior disks 62, 78, 82, 86 form inner gas passages 56, 79, 83, 87 with the support legs of the interior disks 30, 34, 36, 44.
  • the walls 40, 41 , 42 of interior disks 30, 34, 36, 44 form the grooved slots for holding solid precursors.
  • Exterior disks 62, 78, 82, 86 comprise walls 68, 69, 70 for holding solid precursors. During assembly, the solid precursors are loaded into the annular slots 47, 48, 49 of interior disks 30, 34, 36, 44 and annular slots 64, 65, 66 of exterior disks 62, 78, 82, 86.
  • FIG 3 discloses one embodiment of a sublimator capable of delivering the vapor of any solid Group 4 transition metal-containing film forming composition to the reactor, one of ordinary skill in the art will recognize that other sublimator designs may also be suitable, without departing from the teachings herein. Finally, one of ordinary skill in the art will recognize that the disclosed Group 4 transition metal-containing film forming composition 11 may be delivered to semiconductor processing tools using other delivery devices, such as the ampoules disclosed in WO 2006/059187 to Jurcik et al., without departing from the teachings herein.
  • the reaction chamber may be any enclosure or chamber of a device in which deposition methods take place, such as, without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, or other such types of deposition systems. All of these exemplary reaction chambers are capable of serving as an ALD reaction chamber.
  • the reaction chamber may be maintained at a pressure ranging from about 0.5 mTorr to about 20 Torr, preferably between about 0.1 Torr and about 5 Torr.
  • the temperature within the reaction chamber may range from about 50°C to about 600°C.
  • the optimal deposition temperature range for each Group IV transition metal-containing atrane precursors may be determined experimentally to achieve the desired result.
  • the reactor contains one or more substrates onto which the thin films will be deposited.
  • a substrate is generally defined as the material on which a process is conducted.
  • the substrates may be any suitable substrate used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing. Examples of suitable substrates include wafers, such as silicon, SiGe, silica, glass, or Ge. Plastic substrates, such as poly(3,4-ethylenedioxythiophene)poly (styrenesulfonte) [PEDOT:PSS], may also be used.
  • the substrate may also have one or more layers of differing materials already deposited upon it from a previous manufacturing step.
  • the wafers may include silicon layers (crystalline, amorphous, porous, etc.), silicon oxide layers, silicon nitride layers, silicon oxy nitride layers, carbon doped silicon oxide (SiCOH) layers, or combinations thereof. Additionally, the wafers may include copper, cobalt, ruthenium, tungsten and/or other metal layers (e.g. platinum, palladium, nickel, ruthenium, or gold). The wafers may include barrier layers or electrodes, such as tantalum, tantalum nitride, etc. Plastic layers, such as poly(3,4-ethylenedioxythiophene)poly (styrenesulfonate) [PEDOT: PSS] may also be used.
  • PEDOT poly(3,4-ethylenedioxythiophene)poly (styrenesulfonate)
  • the layers may be planar or patterned.
  • the substrate may be an organic patterened photoresist film.
  • the substrate may include layers of oxides which are used as dielectric materials in MIM, DRAM, or FeRam technologies (for example, ZrO 2 based materials, HfO 2 based materials, TiO 2 based materials, rare earth oxide based materials, ternary oxide based materials, etc.) or from nitride- based films (for example, TaN, TiN, NbN) that are used as electrodes.
  • the disclosed processes may deposit the Group IV-containing layer directly on the wafer or directly on one or more than one (when patterned layers form the substrate) of the layers on top of the wafer.
  • film refers to a thickness of some material laid on or spread over a surface and that the surface may be a trench or a line.
  • substrates the wafer and any associated layers thereon are referred to as substrates.
  • the actual substrate utilized may also depend upon the specific precursor embodiment utilized.
  • the preferred substrate utilized will be selected from TiN, NbN, Ru, Si, and SiGe type substrates, such as polysilicon or crystalline silicon substrates.
  • a Group 4 metal oxide film may be deposited onto a TiN substrate.
  • a TiN layer may be deposited on the Group 4 metal oxide layer, forming a TiN/Group 4 metal oxide/TiN stack used as DRAM capacitor.
  • the Metal Oxide layer itself may be made of a stack of several layers of various metal oxides, generally selected from Group 4 metal oxide, Group 5 metal oxide, AI 2 O 3 , SiO 2 , and MoO 2 .
  • the temperature and the pressure within the reactor are held at conditions suitable for vapor depositions.
  • conditions within the chamber are such that at least part of the vaporized Group IV transition metal-containing atrane precursor is deposited onto the substrate to form a Group 4 transition metal-containing film.
  • the pressure in the reactor may be held between about 1 Pa and about 10 5 Pa, more preferably between about 25 Pa and about 10 3 Pa, as required per the deposition parameters.
  • the temperature in the reactor may be held between about 100°C and about 500°C, preferably between about 200°C and about 450°C.
  • "at least part of the vaporized Group IV transition metal-containing atrane precursor is deposited" means that some or all of the precursor reacts with or adheres to the substrate.
  • the temperature of the reactor may be controlled by either controlling the temperature of the substrate holder or controlling the temperature of the reactor wall. Devices used to heat the substrate are known in the art.
  • the reactor wall is heated to a sufficient temperature to obtain the desired film at a sufficient growth rate and with desired physical state and composition.
  • a non-limiting exemplary temperature range to which the reactor wall may be heated includes from approximately 100°C to approximately 500°C.
  • the deposition temperature may range from approximately 50°C to approximately 400°C.
  • the deposition temperature may range from approximately 200°C to approximately 450°C.
  • a reactant may also be introduced into the reactor.
  • the reactant may be an oxidizing gas such as one of O2, O3, H 2 O, H2O2, NO, N 2 O, NO2, a diol (such as ethylene glycol or hydrated hexafluoroacetone), oxygen containing radicals such as O- or OH-, NO, NO 2 , carboxylic acids, formic acid, acetic acid, propionic acid, and mixtures thereof.
  • the oxidizing gas is selected from the group consisting of O2, O3, H 2 O, H2O2, oxygen containing radicals thereof such as O- or OH-, and mixtures thereof.
  • the reactant may be H 2 , NH 3 , hydrazines (such as N 2 H 4 , MeHNNH 2 , Me 2 NNH2, MeHNNHMe, phenyl hydrazine), organic amines (such as NMeH 2 , NEtH 2 , NMe 2 H, NEt 2 H, NMe 3 , NEt 3 , (SiMe 3 ) 2 NH, cyclic amines like pyrrolidine or pyrimidine), diamines (such as ethylene diamine, dimethylethylene diamine, tetramethylethylene diamine), aminoalcohols (such as ethanolamine [HO- CH 2 -CH 2 -NH 2 ], bis ethanolamine [HN(C 2 H 5 OH) 2 ] or tris ethanolamine[N(C2H 5 OH) 3 ]), pyrazoline, pyridine, radicals thereof, or mixtures thereof.
  • the reactant is H 2 , NH 3 ,
  • the reactant may be (SiH 3 ) 3 N, hydridosilanes (such as SiH 4 , Si 2 H 6 , Si 3 H 8 , S14H10, S15H10, or Si6Hi 2 ), chlorosilanes and chloropolysilanes (such as SiHCIs, SiH 2 CI 2 , SiH 3 CI, Si 2 CI 6 , Si 2 HCI 5 , or Si 3 CI 8 ), alkylsilanes (such as Me 2 SiH 2 , Et 2 SiH 2 , MeSiH 3 , EtSiH 3 , or phenyl silane), and aminosilanes (such as tris-dimethylaminosilane, bis-diethylaminosilane, di-isopropylaminosilane or other mono, dis or tris aminosilanes), radicals thereof, or mixtures thereof.
  • the reactant is (SiH 3 ) 3 N or an aminosilane
  • the reactant may be treated by a plasma, in order to decompose the reactant into its radical form.
  • N 2 may also be utilized as a reducing gas when treated with plasma.
  • the plasma may be generated with a power ranging from about 50 W to about 2500 W, preferably from about 100 W to about 400 W.
  • the plasma may be generated or present within the reactor itself. Alternatively, the plasma may generally be at a location removed from the reactor, for instance, in a remotely located plasma system.
  • One of skill in the art will recognize methods and apparatus suitable for such plasma treatment.
  • the reactant may be introduced into a direct plasma reactor, which generates plasma in the reaction chamber, to produce the plasma-treated reactant in the reaction chamber.
  • direct plasma reactors include the TitanTM PECVD System produced by Trion Technologies.
  • the reactant may be introduced and held in the reaction chamber prior to plasma processing. Alternatively, the plasma processing may occur simultaneously with the introduction of the reactant.
  • In-situ plasma is typically a 13.56 MHz RF inductively coupled plasma that is generated between the showerhead and the substrate holder.
  • the substrate or the showerhead may be the powered electrode depending on whether positive ion impact occurs.
  • Typical applied powers in in-situ plasma generators are from approximately 30 W to approximately 1000 W. Preferably, powers from approximately 30 W to approximately 600 W are used in the disclosed methods.
  • the powers range from approximately 100 W to approximately 500 W.
  • the disassociation of the reactant using in-situ plasma is typically less than achieved using a remote plasma source for the same power input and is therefore not as efficient in reactant disassociation as a remote plasma system, which may be beneficial for the deposition of Group 4 transition metal-containing films on substrates easily damaged by plasma.
  • the plasma-treated reactant may be produced outside of the reaction chamber.
  • the MKS Instruments' ASTRONi ® reactive gas generator may be used to treat the reactant prior to passage into the reaction chamber.
  • the reactant O 2 Operated at 2.45 GHz, 7kW plasma power, and a pressure ranging from approximately 0.5 Torr to approximately 10 Torr, the reactant O 2 may be decomposed into two O ' radicals.
  • the remote plasma may be generated with a power ranging from about 1 kW to about 10 kW, more preferably from about 2.5 kW to about 7.5 kW.
  • the vapor deposition conditions within the chamber allow the disclosed Group IV transition metal-containing film forming composition and the reactant to react and form a Group 4 transition metal-containing film on the substrate.
  • plasma-treating the reactant may provide the reactant with the energy needed to react with the disclosed composition.
  • an additional precursor compound may be introduced into the reactor.
  • the precursor may be used to provide additional elements to the Group 4 transition metal-containing film.
  • the additional elements may include lanthanides (e.g., Ytterbium, Erbium, Dysprosium, Gadolinium, Praseodymium, Cerium, Lanthanum, Yttrium), germanium, silicon, aluminum, boron, phosphorous, a Group 3 element (i.e., Sc, Y, La, or Ac), a different Group 4 element, or a Group 5 element (i.e., V, Nb, or Ta), or mixtures of these.
  • the resultant film deposited on the substrate contains the Group 4 transition metal in combination with at least one additional element.
  • the Group 4 transition metal-containing film forming compositions and reactants may be introduced into the reactor either simultaneously (chemical vapor deposition), sequentially (atomic layer deposition) or different combinations thereof.
  • the reactor may be purged with an inert gas between the introduction of the composition and the introduction of the reactant.
  • the reactant and the composition may be mixed together to form a reactant/compound mixture, and then introduced to the reactor in mixture form.
  • Another example is to introduce the reactant continuously and to introduce the Group 4 transition metal-containing film forming composition by pulse (pulsed chemical vapor deposition).
  • the vaporized composition and the reactant may be pulsed sequentially or simultaneously (e.g. pulsed CVD) into the reactor.
  • Each pulse of composition may last for a time period ranging from about 0.01 seconds to about 100 seconds, alternatively from about 0.3 seconds to about 30 seconds, alternatively from about 0.5 seconds to about 10 seconds.
  • the reactant may also be pulsed into the reactor.
  • the pulse of each gas may last from about 0.01 seconds to about 100 seconds, alternatively from about 0.3 seconds to about 30 seconds, alternatively from about 0.5 seconds to about 10 seconds.
  • the vaporized composition and one or more reactants may be simultaneously sprayed from a shower head under which a susceptor holding several wafers is spun (spatial ALD).
  • deposition may take place for a varying length of time. Generally, deposition may be allowed to continue as long as desired or necessary to produce a film with the necessary properties. Typical film thicknesses may vary from several angstroms to several hundreds of microns, depending on the specific deposition process. The deposition process may also be performed as many times as necessary to obtain the desired film.
  • the vapor phase of the disclosed Group 4 transition metal-containing film forming composition and a reactant are simultaneously introduced into the reactor.
  • the reactant in this exemplary CVD process is treated with a plasma, the exemplary CVD process becomes an exemplary PECVD process.
  • the reactant may be treated with plasma prior or subsequent to introduction into the chamber.
  • the vapor phase of the disclosed Group 4 transition metal-containing film forming composition is introduced into the reactor, where the Group 4 transition metal-containing atrane precursor physi- or chemisorbs on the substrate. Excess composition may then be removed from the reactor by purging and/or evacuating the reactor.
  • a desired gas (for example, 0 3 ) is introduced into the reactor where it reacts with the physi- or chemisorped precursor in a self-limiting manner. Any excess reducing gas is removed from the reactor by purging and/or evacuating the reactor. If the desired film is a Group 4 transition metal film, this two-step process may provide the desired film thickness or may be repeated until a film having the necessary thickness has been obtained.
  • the two-step process above may be followed by introduction of the vapor of an additional precursor compound into the reactor.
  • the additional precursor compound will be selected based on the nature of the Group 4 transition metal film being deposited.
  • the additional precursor compound is contacted with the substrate. Any excess precursor compound is removed from the reactor by purging and/or evacuating the reactor.
  • a desired gas may be introduced into the reactor to react with the precursor compound. Excess gas is removed from the reactor by purging and/or evacuating the reactor. If a desired film thickness has been achieved, the process may be terminated. However, if a thicker film is desired, the entire four-step process may be repeated. By alternating the provision of the Group 4 transition metal- containing compound, additional precursor compound, and reactant, a film of desired composition and thickness can be deposited.
  • the exemplary ALD process becomes an exemplary PEALD process.
  • the reactant may be treated with plasma prior or subsequent to introduction into the chamber.
  • the vapor phase of one of the disclosed Zr -containing atrane precursors is introduced into the reactor, where it is contacted with a TiN substrate.
  • Excess Zr-containing atrane precursor may then be removed from the reactor by purging and/or evacuating the reactor.
  • a desired gas for example, O3 is introduced into the reactor where it reacts with the absorbed Zr-containing precursor in a self-limiting manner to form a ZrO 2 film. Any excess oxidizing gas is removed from the reactor by purging and/or evacuating the reactor.
  • the resulting TiN/ZrO 2 /TiN stack may be used in DRAM capacitors.
  • the ZrO 2 metal oxide film may be included within a more complex stack containing a laminate of various metal oxides.
  • Zr0 2 AI 2 03/Zr0 2 stacks are used, but also Ti0 2 /Zr02/AI 2 03/Zr02, Zr02 Nb 2 0 3 Zr02, Zr02/Hf0 2 /Ti0 2 /Zr02, etc.
  • the Group 4 transition metal-containing films resulting from the processes discussed above may include a Group 4 transition metal oxide (MM , ⁇ ⁇ , wherein i ranges from 0 to 1 ; x ranges from 1 to 6; and M is selected from a Group 3 element, a different Group 4 element (i.e., M ⁇ M'), a Group 5 element, a lanthanide, Si, Al, B, P or Ge) or a Group 4 transition metal oxynitride (MM jN y O x , wherein i ranges from 0 to 1 ; x and y range from 1 to 6; and M is selected from a Group 3 element, a different Group 4 element (i.e., M ⁇ M'), a Group 5 element, a lanthanide, Si, Al, B, P or Ge).
  • the film may be subject to further processing, such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure.
  • further processing such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure.
  • the Group 4 transition metal-containing film may be exposed to a temperature ranging from approximately 200°C and approximately 1000°C for a time ranging from approximately 0.1 second to approximately 7200 seconds under an inert atmosphere, a H-containing atmosphere, a N-containing atmosphere, an O-containing atmosphere, or combinations thereof.
  • the temperature is 400°C for 3600 seconds under a H-containing atmosphere or an O- containing atmosphere.
  • the resulting film may contain fewer impurities and therefore may have an improved density resulting in improved leakage current.
  • the annealing step may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber, with the annealing/flash annealing process being performed in a separate apparatus. Any of the above post-treatment methods, but especially thermal annealing, has been found effective to reduce carbon and nitrogen contamination of the Group 4 transition metal-containing film. This in turn tends to improve the resistivity of the film. Examples
  • FIG 5 is a TGA graph illustrating the percentage of weight loss upon temperature increase for both atmospheric and vacuum open cup.
  • FIG 5 also includes the Differential Thermal Analysis (DTA) showing the differential temperature with increasing temperature, showing a melting point of approximately 247°C. These analyses were performed using a protective N 2 flow of 20 mL/minute. The atmospheric TGA/DTA also had a 200 mL/minute N 2 carrier flow. The low amount of residue demonstrated by the TGA results for Me 5 CpZr((-0-CH 2 -CH 2 -)3N) are promising for vapor deposition applications.
  • Example 2 Synthesis of CpZr((-0-CH(Me)-CH 2 -) 3 N)
  • TGA thermogravimetric analysis
  • FIG 7 is a TGA graph illustrating the percentage of weight loss upon temperature increase for both atmospheric and vacuum open cup.
  • FIG 7 also includes the DTA showing the differential temperature with increasing temperature, showing a melting point of approximately 223°C.
  • the analyses were performed using a protective N 2 flow of 20 mL/minute.
  • the atmospheric TGA/DTA also had a 200 mL/minute N 2 carrier flow.
  • Example 5 Synthesis of Me 5 CpTi((-0-CH(Me)-CH2-) 3 N)
  • FIG 9 is a TGA graph illustrating the percentage of weight loss upon temperature increase for both atmospheric and vacuum open cup.
  • FIG 9 also includes the DTA showing the differential temperature with increasing temperature, showing a melting point of approximately 187°C. These analyses were performed using a protective N 2 flow of 20 mL/minute. The atmospheric TGA/DTA also had a 200 mL/minute N 2 carrier flow. The low amount of residue demonstrated by the TGA results for Me 5 CpTi((- 0-CH(Me)-CH 2 -)3N) in Example 5 are also promising for vapor deposition applications.
  • Verkade discloses synthesis of Me 2 N-Ti((0-CH 2 - CH 2 -) 3 N) by reacting NEt 2 and Ti(NMe 2 ) 4 in THF, but that the yellow microcrystalline solid decomposed upon heating (col. 24).
  • Example 9 Atomic layer deposition (ALD) of Me 5 CpZr((-0-CH 2 -CH 2 -) 3 N)
  • ALD of Zr0 2 were performed using Me 5 CpZr((-0-CH 2 -CH 2 -) 3 N) prepared in Example 1 .
  • Me 5 CpZr((-0-CH 2 -CH 2 -) 3 N) was placed in a vessel heated and maintained at 130 °C. The reactor was maintained at 250, 300, 350, 375 and 400°C at 0.5 Torr.
  • Length of source (Me 5 CpZr(TEA)) introduction, argon purge, ozone (0 3 ) introduction and argon purge was 5 seconds, 60 seconds, 1 second and 30 seconds, respectively.
  • ALD cycle number was 200.
  • ALD behavior was observed between 300 to 400 °C with a growth rate of 0.2 A/cycle on silicon wafer.
  • FIG 10 is a graph demonstrating the saturated growth rates of Zr0 2 thin films using Me 5 CpZr((-0-CH 2 -CH 2 -)3N) / O3 as a function of the wafer temperature between 300 and 400 °C.
  • FIG 11 is a graph demonstrating the film thickness as a function of the number of ALD cycles at 300°C. Linear growth was observed without any incubation time.
  • the films obtained were analyzed by XPS( X-ray photoelectron spectroscopy).
  • the film (60nm) deposited at 300°C contained carbon (C), oxygen (O), silicon (Si) and Zirconium (Zr) by 1 .1 1 , 58.5, 6.84 and 33.56%, respectively.
  • the film deposited at 350°C contained C, O, Si and Zr by 0.94, 59.35, 7.91 and 31 .8%, respectively.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)

Abstract

L'invention concerne des compositions filmogènes contenant un métal de transition du groupe 4 comprenant des précurseurs d'atrane de métal de transition du groupe 4. L'invention porte également sur des procédés de synthèse et d'utilisation des précurseurs de l'invention pour déposer des films contenant un métal de transition du groupe 4 sur un ou plusieurs substrats par des procédés de dépôt en phase vapeur.
PCT/US2017/026811 2016-09-09 2017-04-10 Compositions filmogènes contenant un métal de transition du groupe 4 pour le dépôt en phase vapeur de films contenant un métal de transition du groupe 4 WO2018048480A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662385678P 2016-09-09 2016-09-09
US62/385,678 2016-09-09

Publications (1)

Publication Number Publication Date
WO2018048480A1 true WO2018048480A1 (fr) 2018-03-15

Family

ID=61562718

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2017/026811 WO2018048480A1 (fr) 2016-09-09 2017-04-10 Compositions filmogènes contenant un métal de transition du groupe 4 pour le dépôt en phase vapeur de films contenant un métal de transition du groupe 4

Country Status (1)

Country Link
WO (1) WO2018048480A1 (fr)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060157861A1 (en) * 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Ti precursor, method of preparing the same, method of preparing Ti-containing thin layer by employing the Ti precursor and Ti-containing thin layer
US20110275215A1 (en) * 2008-02-27 2011-11-10 Gatineau Satoko Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
WO2015095845A1 (fr) * 2013-12-22 2015-06-25 Entegris, Inc. Alcoxydes de cyclopentadiényl-titane présentant des ligands activés par l'ozone pour l'ald de tio2

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060157861A1 (en) * 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Ti precursor, method of preparing the same, method of preparing Ti-containing thin layer by employing the Ti precursor and Ti-containing thin layer
US20110275215A1 (en) * 2008-02-27 2011-11-10 Gatineau Satoko Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process
WO2015095845A1 (fr) * 2013-12-22 2015-06-25 Entegris, Inc. Alcoxydes de cyclopentadiényl-titane présentant des ligands activés par l'ozone pour l'ald de tio2

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
QIAN ET AL.: "Synthesis and polymerization behavior of various substituted half-sandwich titanium complexes Cp''TiC12(OR*) as catalysts for syndiotactic polystyrene", JOURNAL OF MOLECULAR CATALYSIS. A. CHEMICAL, vol. 208, no. 1 / 2, 2004, pages 45 - 54, XP055494369 *
XIE ET AL.: "Synthesis of high-molecular-weight elastomeric poly(propylene) with half-titanocene/MAO catalyst", MACROMOLECULAR RAPID COMMUNICATIONS, vol. 20, no. 3, March 1999 (1999-03-01), pages 167 - 169, XP000835001 *

Similar Documents

Publication Publication Date Title
US10364259B2 (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US20170044664A1 (en) Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US20160307708A1 (en) Tantalum-containing film forming compositions and vapor deposition of tantalum-containing films
US10895012B2 (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US20200149165A1 (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US10584039B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US11168099B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US20200032397A1 (en) Zirconium, hafnium, titanium precursors and deposition of group 4 containing films using the same
US20210221830A1 (en) Methods for vapor deposition of group 4 transition metal-containing films using group 4 transition metal-containing films forming compositions
WO2018048480A1 (fr) Compositions filmogènes contenant un métal de transition du groupe 4 pour le dépôt en phase vapeur de films contenant un métal de transition du groupe 4

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 17849231

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 17849231

Country of ref document: EP

Kind code of ref document: A1