WO2016155979A1 - Reflective optical element - Google Patents

Reflective optical element Download PDF

Info

Publication number
WO2016155979A1
WO2016155979A1 PCT/EP2016/054764 EP2016054764W WO2016155979A1 WO 2016155979 A1 WO2016155979 A1 WO 2016155979A1 EP 2016054764 W EP2016054764 W EP 2016054764W WO 2016155979 A1 WO2016155979 A1 WO 2016155979A1
Authority
WO
WIPO (PCT)
Prior art keywords
optical element
radiation
reflective surface
radiation beam
main body
Prior art date
Application number
PCT/EP2016/054764
Other languages
French (fr)
Inventor
Rilpho Ludovicus DONKER
Han-Kwang Nienhuys
Michael Jozef Mathijs Renkens
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2016155979A1 publication Critical patent/WO2016155979A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/0816Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light by means of one or more reflecting elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B26/00Optical devices or arrangements for the control of light using movable or deformable optical elements
    • G02B26/08Optical devices or arrangements for the control of light using movable or deformable optical elements for controlling the direction of light
    • G02B26/10Scanning systems
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/10Mirrors with curved faces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/702Reflective illumination, i.e. reflective optical elements other than folding mirrors, e.g. extreme ultraviolet [EUV] illumination systems

Definitions

  • the present invention relates to a reflective optical element. Particularly, but not exclusively, the present invention has application within, or in combination with, EUV radiation sources that incorporate free electron lasers.
  • a lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a lithographic apparatus may for example project a pattern from a patterning device (e.g. a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate.
  • a patterning device e.g. a mask
  • a layer of radiation-sensitive material resist
  • the wavelength of radiation used by a lithographic apparatus to project a pattern onto a substrate determines the minimum size of features which can be formed on that substrate.
  • a lithographic apparatus which uses EUV radiation being electromagnetic radiation having a wavelength within the range 4-20 nm, may be used to form smaller features on a substrate than a conventional lithographic apparatus (which may for example use electromagnetic radiation with a wavelength of 193 nm).
  • a lithographic apparatus may be provided with radiation from a radiation source which forms part of a lithographic system.
  • a plurality of lithographic apparatus may be supplied by a single radiation source.
  • the radiation source may comprise at least one free electron laser which emits EUV radiation.
  • an optical element comprising a body and at least one reflective surface provided on the body for receiving a radiation beam so as to form a beam spot region and a reflected radiation beam, wherein the body is generally disc-shaped and is configured to be moved by a movement mechanism such that the beam spot region and the reflective surface move relative to each other, for example periodically, and the at least one reflective surface comprises an at least partially paraboloid surface.
  • the paraboloid surface may comprise a truncated paraboloid surface.
  • the paraboloid surface may comprise an elliptic paraboloid surface
  • An axis of the paraboloid surface may be substantially aligned with an axis of rotation of the body.
  • An axis of the paraboloid shape may be substantially parallel to the received radiation beam in operation.
  • the reflected beam may have a focal point that is coincident with an axis of the paraboloid
  • the axis of the paraboloid may substantially coincide with the axis of rotation of the body.
  • the peak of the paraboloid may substantially coincide with a point on the axis of rotation of the body.
  • the at least partially paraboloid surface may be shaped to reduce or prevent astigmatism and/or a coma-like aberration.
  • the movement mechanism may be operable to move the body such that the beam spot region moves over the at least one reflective surface following a periodic path and a direction of the reflected radiation beam remains substantially constant.
  • the movement mechanism may be operable to rotate the body about a rotation axis.
  • the at least one reflective surface may be disposed on a radially facing surface of the body.
  • the at least one reflective surface may comprise a plurality of reflective surfaces.
  • Each of the plurality of reflective surfaces may comprise a respective at least partially paraboloid surface.
  • Each of the plurality of reflective surfaces may comprise a surface of a generally radially extending spoke.
  • the reflective surfaces may be arranged such that a first portion of the radiation beam is incident on, and reflected by, the reflective surfaces so as to form a first branch radiation beam and a second portion of the radiation beam passes through one or more gaps between the reflective surfaces so as to form a second branch radiation beam.
  • the body may comprise a main body portion that includes the at least one reflective surface
  • the optical element may comprise at least one bearing having a face separated by a gap from the main body portion and configured to rotate with the main body portion.
  • the bearing may comprise an axial bearing.
  • the at least one bearing may form part of the body and/or may be fixed to the main body portion.
  • the at least one bearing may have a smaller diameter than the main body portion, for example the at least one axial bearing may have a diameter that is less than 75%, less than 50%, less than 30% than the diameter of the main body portion.
  • the gap may be at least partially filled with gas.
  • the gas may comprise hydrogen and/or helium.
  • the gap may be at least partially sealed.
  • the optical element may comprise at least one seal arranged to seal the gap.
  • the at least one bearing may be formed of different material than the main body portion.
  • the at least one bearing may be formed of a first material and the main body portion may be formed of a further material.
  • the first material and the further material may have a different elastic modulus and/or a different elastic modulus over density ratio.
  • the first material may have a higher elastic modulus over density ratio than the further material.
  • the first material and the further material may have at least one different thermal property, for example a different thermal conductivity and/or a different thermal expansion coefficient.
  • the first material may have a lower thermal expansion coefficient than the further material.
  • the further material may comprise aluminium.
  • the at least one bearing may comprise silicon carbide.
  • the optical element may be configured so that in operation heat received at the at least one reflective surface from the radiation beam is conducted through at least part of the main body portion and then passed from the main body portion to the bearing face.
  • the heat may pass from the main body portion to the bearing face by convection and/or transmission.
  • the at least one bearing may comprise a gas bearing and/or a floating bearing.
  • the at least one axial bearing may comprise a disc.
  • the gas bearing may comprise a bearing that in operation, is at least partially supported in a rotation position by a pressure and/or flow of gas.
  • an optical element comprising a body and at least one reflective surface provided on the body for receiving a radiation beam so as to form a beam spot region and a reflected radiation beam, wherein the body is moveable by a movement mechanism such that the beam spot region moves over the reflective surface, the body comprises a main body portion that includes the at least one reflective surface, and the optical element comprises at least one bearing having a face separated by a gap from the main body portion and configured to rotate with the main body portion.
  • a radiation system comprising a radiation source operable to produce a radiation beam, and an optical element as claimed or described herein arranged so that the radiation beam is incident upon the beam spot region of the reflective surface.
  • the radiation source may comprises a free electron laser.
  • a lithographic system comprising a radiation source as claimed and/or described herein.
  • a method of reflecting a radiation beam comprising arranging an optical element to receive a radiation beam on at least one reflective surface provided on a generally disc-shaped body of the optical element, thereby to form a beam spot region and a reflected radiation beam, and moving the optical element such that the beam spot region periodically moves relative to the at least one reflective surface, wherein the at least one reflective surface comprises an at least partially paraboloid surface.
  • Figure 1 is a schematic illustration of a first embodiment of a lithographic system
  • FIG. 2 is a schematic illustration of a lithographic apparatus that forms part of the lithographic system of Figure 1 ;
  • FIG. 3 is a schematic illustration of a free electron laser (FEL) radiation source
  • FIG. 4 is a schematic illustration of a free electron laser (FEL) radiation source for providing radiation to two beam delivery systems;
  • FEL free electron laser
  • FIG. 5 is a schematic illustration of an optical element according to an embodiment
  • Figure 6A is a schematic illustration of an optical element according to a further embodiment
  • Figure 6B is a schematic illustration of part of the optical element of Figure 6A
  • Figure 7 is a schematic illustration of a movement mechanism and cooling arrangement for an optical element according to an embodiment
  • Figure 8 is a schematic illustration of a movement mechanism and cooling arrangement for an optical element according to a further embodiment.
  • Figure 1 shows a lithographic system LS comprising a radiation source SO, a first optical element 20 according to an embodiment, a beam delivery system 19 and eight lithographic apparatuses LA1 -LA8.
  • the radiation source SO is configured to generate an extreme ultraviolet (EUV) radiation beam B (which may be referred to as a main beam).
  • EUV extreme ultraviolet
  • the beam delivery system 19 comprises beam splitting optics.
  • the beam splitting optics splits the main radiation beam B into a plurality of radiation beams B a -B h (which may be referred to as branch beams), each of which is directed to a different one of the lithographic apparatuses LA1 -LA8.
  • the beam delivery system 19 may further comprise beam expanding optics.
  • the beam expanding optics may be arranged to increase the cross sectional area of the radiation beam B. This decreases the heat load on mirrors downstream of the beam expanding optics. This may allow the mirrors downstream of the beam expanding optics to be of a lower specification, with less cooling, and therefore less expensive. Additionally or alternatively, it may allow the downstream mirrors to be nearer to normal incidence.
  • the beam expanding optics may be disposed upstream of the beam splitting optics, such that the main radiation beam B passes through the beam expanding optics before the beam splitting optics.
  • beam splitting optics may be disposed upstream of beam expanding optics.
  • separate beam expanding optics may be provided for each branch radiation beam B a -B h .
  • the beam delivery system 19 may not comprise beam expanding optics.
  • the radiation source SO, first optical element 20, beam delivery system 19 and lithographic apparatuses LA1 -LA8 may all be constructed and arranged such that they can be isolated from the external environment.
  • a vacuum may be provided in at least part of the radiation source SO, first optical element 20, beam delivery system 19 and lithographic apparatuses LA1 -LA8 so as to minimise the absorption of EUV radiation.
  • Different parts of the lithographic system LS may be provided with vacuums at different pressures (i.e. held at different pressures which are below atmospheric pressure).
  • a lithographic apparatus LA1 comprises an illumination system IL, a support structure MT configured to support a patterning device MA (e.g.
  • the illumination system IL is configured to condition the branch radiation beam B a that is received by that lithographic apparatus LA1 before it is incident upon the patterning device MA.
  • the projection system PS is configured to project a radiation beam B a ' (now patterned by the mask MA) onto the substrate W.
  • the substrate W may include previously formed patterns. Where this is the case, the lithographic apparatus aligns the patterned radiation beam B a ' with a pattern previously formed on the substrate W.
  • the branch radiation beam B a that is received by the lithographic apparatus LA1 passes into the illumination system IL from the beam delivery system 19 though an opening 8 in an enclosing structure of the illumination system IL.
  • the branch radiation beam B a may be focused to form an intermediate focus at or near to the opening 8.
  • the illumination system IL may include a facetted field mirror device 10 and a facetted pupil mirror device 1 1 .
  • the faceted field mirror device 10 and faceted pupil mirror device 1 1 together provide the radiation beam B a with a desired cross-sectional shape and a desired angular distribution.
  • the radiation beam B a passes from the illumination system IL and is incident upon the patterning device MA held by the support structure MT.
  • the patterning device MA reflects and patterns the radiation beam to form the patterned beam B a '.
  • the illumination system IL may include other mirrors or devices in addition to or instead of the faceted field mirror device 10 and faceted pupil mirror device 1 1 .
  • the illumination system IL may for example include an array of independently moveable mirrors.
  • the independently moveable mirrors may for example measure less than 1 mm across.
  • the independently moveable mirrors may for example be microelectromechanical systems (MEMS) devices.
  • MEMS microelectromechanical systems
  • the projection system PS comprises a plurality of mirrors 13, 14 which are configured to project the radiation beam B a ' onto a substrate W held by the substrate table WT.
  • the projection system PS may apply a reduction factor to the radiation beam B a ', forming an image with features that are smaller than corresponding features on the patterning device MA.
  • a reduction factor of 4 may for example be applied.
  • the projection system PS has two mirrors in Figure 2, the projection system may include any number of mirrors (e.g. six mirrors).
  • the radiation source SO is configured to generate an EUV radiation beam B with sufficient power to supply each of the lithographic apparatuses LA1 -LA8.
  • the radiation source may comprise a free electron laser.
  • the radiation source may be implemented in any other way and may, for example, comprise a laser produced plasma (LPP) radiation source.
  • LPP laser produced plasma
  • a free electron laser comprises an electron source and accelerator, which are operable to produce a bunched relativistic electron beam, and a periodic magnetic field through which the bunches of relativistic electrons are directed.
  • the periodic magnetic field is produced by an undulator and causes the electrons to follow an oscillating path about a central axis.
  • the relativistic electrons interact with radiation within the undulator. Under certain conditions, this interaction causes the electrons to bunch together into microbunches, modulated at the wavelength of radiation within the undulator, and coherent emission of radiation along the central axis is stimulated.
  • the path followed by the electrons may be sinusoidal and planar, with the electrons periodically traversing the central axis, or may be helical, with the electrons rotating about the central axis.
  • the type of oscillating path may affect the polarization of radiation emitted by the free electron laser.
  • a free electron laser which causes the electrons to propagate along a helical path may emit elliptically polarized radiation, which may be preferred for exposure of a substrate W by some lithographic apparatuses.
  • Figure 3 is a schematic depiction of a free electron laser FEL comprising an injector 21 , a linear accelerator 22, a bunch compressor 23, an undulator 24, an electron decelerator 26 and a beam dump 100.
  • the injector 21 is arranged to produce a bunched electron beam E and may comprise: a thermionic cathode or photo-cathode arranged to periodically emit electrons; and an accelerating electric field. Electrons in the electron beam E are further accelerated by the linear accelerator 22.
  • the linear accelerator 22 may comprise a plurality of radio frequency cavities, which are axially spaced along a common axis, and one or more radio frequency power sources, which are operable to control the electromagnetic fields along the common axis as bunches of electrons pass between them so as to accelerate each bunch of electrons.
  • the cavities may be superconducting radio frequency cavities.
  • the cavities may be conventionally conducting (i.e. not superconducting), and may be formed from, for example, copper.
  • linear accelerators may also be used. For example, laser wake-field accelerators or inverse free electron laser accelerators.
  • the injector 21 and linear accelerator 22 together form an electron source that is operable to produce relativistic electrons.
  • the electron beam E passes through a bunch compressor 23, disposed between the linear accelerator 22 and the undulator 24.
  • the bunch compressor 23 is configured to bunch electrons in the electron beam E and spatially compress existing bunches of electrons in the electron beam E.
  • the electron beam E then passes through the undulator 24.
  • the undulator 24 comprises a plurality of modules.
  • Each module comprises a periodic magnet structure, which is operable to produce a periodic magnetic field and is arranged so as to guide the relativistic electron beam E produced by the injector 21 and linear accelerator 22 along a periodic path within that module.
  • the electrons radiate electromagnetic radiation generally in the direction of a central axis of their periodic path through that module.
  • X em is the wavelength of the radiation
  • X u is the undulator period for the undulator module that the electrons are propagating through
  • is the Lorentz factor of the electrons
  • K is the undulator parameter.
  • each bunch of electrons will have a spread of energies although this spread may be minimised as far as possible (by producing an electron beam E with low emittance).
  • the undulator parameter K is typically approximately 1 and is given by:
  • the resonant wavelength X em is equal to the first harmonic wavelength spontaneously radiated by electrons moving through each undulator module.
  • the free electron laser FEL may operate in self-amplified spontaneous emission (SASE) mode. Operation in SASE mode may require a low energy spread of the electron bunches in the electron beam E before it enters each undulator module.
  • the free electron laser FEL may comprise a seed radiation source, which may be amplified by stimulated emission within the undulator 24.
  • the free electron laser FEL may operate as a recirculating amplifier free electron laser (RAFEL), wherein a portion of the radiation generated by the free electron laser FEL is used to seed further generation of radiation.
  • RAFEL recirculating amplifier free electron laser
  • Electrons moving through the undulator 24 may cause the amplitude of radiation to increase, i.e. the free electron laser FEL may have a non-zero gain. Maximum gain may be achieved when the resonance condition is met or when conditions are close to but slightly off resonance.
  • a region around a central axis of each undulator module may be considered to be a "good field region".
  • the good field region may be a volume around the central axis wherein, for a given position along the central axis of the undulator module, the magnitude and direction of the magnetic field within the volume are substantially constant.
  • An electron bunch propagating within the good field region may satisfy the resonant condition of Eq. (1 ) and may therefore amplify radiation. Further, an electron beam E propagating within the good field region should not experience significant unexpected disruption due to uncompensated magnetic fields.
  • Each undulator module may have a range of acceptable initial trajectories. Electrons entering an undulator module with an initial trajectory within this range of acceptable initial trajectories may satisfy the resonant condition of Eq. (1 ) and interact with radiation in that undulator module to stimulate emission of coherent radiation. In contrast, electrons entering an undulator module with other trajectories may not stimulate significant emission of coherent radiation.
  • the electron beam E should be substantially aligned with a central axis of the undulator module.
  • a tilt or angle between the electron beam E and the central axis of the undulator module should generally not exceed 1/10p, where p is the Pierce parameter. Otherwise the conversion efficiency of the undulator module (i.e. the portion of the energy of the electron beam E which is converted to radiation in that module) may drop below a desired amount (or may drop almost to zero).
  • the Pierce parameter of an EUV helical undulator module may be of the order of 0.001 , indicating that the tilt of the electron beam E with respect to the central axis of the undulator module should be less than 100 ⁇ .
  • a greater range of initial trajectories may be acceptable.
  • the electron beam E remains substantially perpendicular to the magnetic field of a planar undulator module and remains within the good field region of the planar undulator module, coherent emission of radiation may be stimulated.
  • the undulator 24 further comprises a mechanism for refocusing the electron beam E in between one or more pairs of adjacent modules.
  • a quadrupole magnet may be provided between each pair of adjacent modules. The quadrupole magnets reduce the size of the electron bunches and keep the electron beam E within the good field region of the undulator 24. This improves the coupling between the electrons and the radiation within the next undulator module, increasing the stimulation of emission of radiation.
  • the undulator 24 may be tapered. That is, the amplitude of the periodic magnetic field and/or the undulator period X u may vary along the length of the undulator 24 in order to keep bunches of electrons at or close to resonance as they are guided though the undulator 24.
  • the tapering may be achieved by varying the amplitude of the periodic magnetic field and/or the undulator period X u within each undulator module and/or from module to module.
  • the interaction between the electrons and radiation within the undulator 24 produces a spread of energies within the electron bunches.
  • the tapering of the undulator 24 may be arranged to maximise the number of electrons at or close to resonance.
  • the electron bunches may have an energy distribution which peaks at a peak energy and the tapering may be arranged to keep electrons with this peak energy at or close to resonance as they are guided though the undulator 24.
  • tapering of the undulator 24 has the capacity to significantly increase conversion efficiency.
  • the use of a tapered undulator 24 may increase the conversion efficiency by a factor of more than 2. Tapering of the undulator 24 may be achieved by reducing the undulator parameter K along its length.
  • the undulator 24 of a free electron laser emits ionizing radiation R such as, for example, gamma radiation and neutrons.
  • ionizing radiation R such as, for example, gamma radiation and neutrons.
  • the lithographic system LS is provided with a reflective first optical element 20 arranged to deflect a portion of the EUV radiation beam B so as to form a reflected radiation beam B' and to either transmit of absorb the additional ionizing radiation R.
  • the free electron laser may be disposed within a bunker arranged to contain ionizing radiation and the first optical element 20 may also be disposed within the bunker.
  • the EUV radiation beam B may be directed towards the beam delivery system 1 9 via an aperture in the bunker which is not aligned with the axis of the undulator 24.
  • the additional ionizing radiation R will either be absorbed or will continue to propagate generally in the direction of the axis of the undulator 24 and will be contained by the bunker.
  • the optical element 20 may be disposed outside the bunker, with a concrete wall arranged behind the element 20 to capture the ionizing radiation R.
  • the radiation beam B output by the free electron laser FEL may have a substantially circular cross section and a Gaussian-like intensity profile.
  • the radiation beam B produced by an EUV free electron laser typically has a relatively small etendue.
  • the EUV radiation beam B produced by a free electron laser FEL has a significantly smaller etendue than an EUV radiation beam that would be generated by a laser produced plasma (LPP) source or a discharge produced plasma (DPP) source (both of which are known in the prior art).
  • LPP laser produced plasma
  • DPP discharge produced plasma
  • the radiation beam B may have a divergence less than 500 ⁇ , for example less than 1 00 ⁇ .
  • the radiation beam B may for example have a diameter of around 50 ⁇ to 1 00 ⁇ as it leaves the undulator 24.
  • the diameter of the radiation beam B is larger, around 1 mm, when it leaves the undulator 24.
  • the output power of the free electron laser FEL may be of the order of tens of kilowatts, for example around 30 kW. At such powers, since the initial diameter of the radiation beam B produced by the free electron laser is so small, the power density of the radiation beam B will be significant. Further, since the divergence of the radiation beam B produced by the free electron laser is so small, the power density of the radiation beam B will decrease very slowly with increasing distance.
  • the first optical element 20 may be disposed within the bunker that contains the free electron laser FEL but, for thermal reasons, as far away from the undulator 24 as possible, or may be disposed outside the bunker as mentioned above.
  • the first optical element may be disposed around 20 m to 40 m from the exit of the undulator 24.
  • the diameter of the radiation beam is approximately 2 mm.
  • the thermal load on the first optical element 20 may be reduced by arranging for the radiation beam B to be incident on the first optical element 20 at a small grazing incidence angle, for example a grazing incidence angle of around 2 degrees.
  • the first optical element 20 will be subject to a heat load of around 1500 W. At a distance of 20 m and with a small grazing incidence angle, this heat load may be spread over an area on the surface of the first optical element 20 of the order of 1 cm 2 . For example, for a circular radiation beam with a beam diameter of 2 mm incident on the first optical element 20 at a grazing incidence angle of 2 degrees, the heat load is spread over an elliptical area on the surface of the first optical element 20 of 0.9 cm 2 .
  • Figure 4 shows a further embodiment, in which two radiation sources S01 and S02 each supply radiation to respective beam delivery systems 19a, 19b, which in turn supply radiation to associated lithographic apparatuses (not shown in Figure 4).
  • the sources S01 and S02 and the beam delivery systems 19a, 19b are the same as those of the embodiment of Figure 1 , although in alternative embodiments any suitable sources and beam delivery systems can be used.
  • the system of Figure 4 in normal operation can be considered to comprise two lithographic systems of Figure 1 arranged side-by-side.
  • one of the radiation sources S02 is inoperative (for example, due to a fault or for maintenance) and the other of the radiation sources S01 is used to supply radiation to both of the beam delivery systems 19a, 19b and associated sets of lithographic apparatus (not shown).
  • additional optical components 30, 32, 34, 36, 38, 40, 42, 44, 46 and 48 are provided between the radiation source S01 and the beam delivery systems 19a, 19b.
  • the additional optical components 30, 32, 34, 36, 38, 40, 42, 44, 46 and 48 would not be present, or in use, during normal operation of the system with both radiation sources S01 , S02 operational.
  • the additional optical components comprise a rotating beam shaper 30, a two-split grating 32 or other splitting device, and an arrangement of mirrors and/or lenses 34, 36, 38, 40, 42, 44, 46, 48.
  • the radiation sources S01 , S02 are spaced from the beam delivery systems 19a, 19b such that the radiation beam provided by a source S01 , S02 has a diameter of 5 mm (or any other desired value in other embodiments) when it arrives at the corresponding beam delivery system 19a, 19b, due to divergence of the beam after leaving the source S01 , S02.
  • the additional optical components are positioned closer to the source S01 than the beam delivery systems 19a, 19b and the radiation beam from the source S01 has had less chance to diverge by the time it reaches the first of the additional components 30, than it would upon reaching the beam delivery system 19a in normal operation.
  • the radiation beam from the radiation source S01 has a diameter of 2 mm to 3 mm when it reaches the first optical component 30.
  • the first optical component 30 is a rotating beam shaper that operates to magnify the beam, such that the beam has a diameter of 5 mm when it reaches the next component, the two-split grating 32.
  • the two-split grating 32 splits the beam into two separate beams, which are directed to respective beam delivery systems 19a, 19b by the further optical components 34, 36, 38, 40 and 42, 44, 46, 48.
  • the further optical components are arranged so as to maintain the radiation beams at the same diameter, such that the split beams each have a diameter of 5 mm when they reach the beam delivery systems 19a, 19b.
  • the beams have the same diameter when they reach the beam delivery systems 19a, 19b as they would in normal operation.
  • the rotating beam shaper 30 is shown schematically in more detail in Figure 5. [0079]
  • the rotating beam shaper 30 comprises a generally disc-shaped body 61 and a reflective surface 62 provided on the body 61 for receiving the radiation beam B from the free electron laser FEL so as to form a beam spot region 63.
  • the beam shaper 30 is arranged such that the radiation beam B is incident on the reflective surface 62 at a small grazing incidence angle, for example, a grazing incidence angle of around 2 degrees (around 0.035 radians).
  • the beam shaper 30 further comprises a movement mechanism that is operable to rotate the body 61 about a rotation axis 64.
  • the movement mechanism comprises a shaft extending from the body 61 along the rotation axis 64 and an actuator 69 arranged to rotate the shaft about the rotation axis 64.
  • the shaft may be supported by one or more bearings (not shown), for example two bearings.
  • the bearings may be provided on opposite sides of the body 61 .
  • the actuator 69 may comprise any suitable mechanism such as a motor or engine.
  • the reflective surface 62 is disposed on a radially facing surface of the body 61 . As the movement mechanism rotates the body 61 about the rotation axis 64, the reflective surface 62 rotates, causing the beam spot region 63 to move over the reflective surface 62. The beam spot region 63 follows a periodic path over the reflective surface 62, which extends around the circumference of the body 61 .
  • a fraction of the power of the radiation beam B is absorbed by the beam shaper 30, causing the reflective surface 62 to heat up. Since the movement mechanism is operable to move the reflective surface 62 such that the beam spot region 63 moves over the reflective surface 62, the power absorbed by the beam shaper 30 is spread over a larger area, decreasing the density of the heat load.
  • this allows the beam shaper 30 to receive radiation beams with higher power densities, in contrast to static optical elements of the same or similar dimensions.
  • the reflective surface 62 is disposed on the radially facing surface of the body 61 . If the body 61 were cylindrical, with the reflective surface 62 being a cylindrical surface of the body the reflected beam would be expected to have a pronounced astigmatism as well as coma-like aberrations. Similarly, a toroidal shaped surface would be expected to produce astigmatism. Such astigmatism or coma-like errors can be corrected, but that usually requires the use of further mirrors or other optical components.
  • the reflective surface 62 is not cylindrical, but instead comprises a paraboloid surface, with the axis of the paraboloid coinciding with the rotation axis 64 and substantially parallel to the incident beam.
  • the dashed line in Figure 4 shows the path of a cross-sectional line through the paraboloid surface.
  • the paraboloid surface is truncated, and the reflective surface comprises a truncated paraboloid surface.
  • the truncated paraboloid surface is rotationally symmetric about the rotation axis 64.
  • the beam reflected from the paraboloid surface is divergent, but is round rather than elliptical and, due to the paraboloid shape of the surface, is substantially without astigmatism or coma-like errors.
  • the reflected beam appears to originate from a focal point 67 that is coincident with the axis of the paraboloid, and the rotation axis 64.
  • the optical element may be configured to perform other functions as well or instead of beam shaping.
  • An optical element according to an embodiment is illustrated schematically in Figure 6A, and part of the optical element is shown schematically in more detail in Figure 6B.
  • the optical element is configured to operate as a beam splitting apparatus and may, for example, be included in one or more of the beam delivery apparatus 19, 19a, 19b to split the beam between different ones of the lithographic apparatus LA1 to LA8.
  • the beam splitting apparatus of Figure 6A comprises a body 1 10 and a mechanism (not shown) operable to rotate said body 1 10 about a rotation axis 120.
  • the body 1 10 may comprise a shaft extending along the rotation axis 120.
  • the shaft may be supported by one or more bearings, for example two bearings.
  • the bearings may be passive bearings such as, for example, rolling element bearings or aerostatic bearings.
  • the bearings may be active bearings such as, for example, magnetic bearings.
  • the shaft may be driven to rotate by any suitable mechanism such as a motor or engine.
  • a direction along, or parallel to the rotation axis 120 may be referred to as an axial direction.
  • a direction running to or from the rotation axis 120 and perpendicular to said rotation axis 120 may be referred to as a radial direction.
  • the beam splitting apparatus 100 further comprises a plurality of radially extending spokes 140.
  • Each of the spokes 140 comprises two radially extending side walls 142, a radially facing upper surface 141 and an axially facing end wall 143.
  • the shape of the upper surface 141 of each spoke is therefore an annular sector.
  • the upper surface 141 of each spoke is formed from a reflective material.
  • the spokes 140 are separated from each other by respective gaps 150. As such the radially facing upper surfaces 141 of the plurality of spokes 140 form a plurality of discrete reflective elements.
  • Each of the spokes 140 is substantially the same size and shape and each of the gaps 150 is substantially the same size and shape.
  • the radially facing upper surfaces 141 of the plurality of spokes 140 form a periodic array of discrete reflective elements.
  • a pitch of the periodic array at a given radial point is given by the angular extent of one radially facing upper surface 141 and one gap 150.
  • the beam splitting apparatus comprises a beam spot region 130 arranged to receive a radiation beam B in .
  • the beam spot region 130 is disposed on a radially facing surface of the body 1 10, which is formed from the upper radial surfaces 141 of the spokes 140.
  • the radiation beam B in may be produced by a free electron laser FEL.
  • a radiation beam output by the undulator 24 of a free electron laser may have a diameter of the order of 100 ⁇ and a divergence of the order of 100 ⁇ .
  • the free electron laser is to provide radiation for of the order of ten lithographic apparatuses, then the radiation beam output by the undulator 24 may have a power of the order of tens of kilowatts.
  • the beam splitting apparatus 100 may be separated from the undulator 24 by a distance of the order of tens to hundreds of metres.
  • the radiation beam B in may have a diameter of the order of 5 mm.
  • the radiation beam B in may approach the beam spot region 130 at a small grazing incidence angle. This will spread the power over a greater area of the beam spot region and may also increase the reflectivity of the upper radial surfaces 141 of the spokes 140.
  • the grazing incidence angle may be around 1 .4 degrees.
  • the radiation beam B in As the radiation beam B in is incident upon the beam spot region 130, its propagation direction is generally in a (local) axial direction (e.g. substantially parallel to the rotation axis 120), with a small radial component (i.e. perpendicular to the rotation axis 120).
  • the size of the axial component is determined by the grazing incidence angle of the radiation beam B in .
  • the periodic array moves such that the plurality of reflective elements (formed by the upper surface 141 of the spokes 140) move through the beam spot region 130.
  • a first portion of the radiation beam is incident on, and reflected by, the upper surface 141 of the spokes 140 so as to form a first branch radiation beam B ⁇
  • a second portion of the radiation beam passes through the gaps 150 between the reflective elements so as to form a second branch radiation beam B 2 .
  • the beam splitting apparatus 100 therefore allows an incoming radiation beam B in to be split into outgoing first and second branch radiation beams B 1 ; B 2 .
  • the intensities of the first and second branch radiation beams B 1 ; B 2 will vary with time as a greater or lesser amount or the incoming radiation is reflected or transmitted at different times.
  • the variation in intensities is periodic.
  • the frequency of the periodic variation is determined by the speed and pitch of the periodic array. In turn, this will cause the dose of radiation delivered by each of the first and second branch radiation beams Bi , B 2 to vary with time. This variation in dose will average out over a time period equal to an integer number of periods.
  • the exposure time should be equal to an integer number of periods of the periodic variation. In practice, it may not be possible to meet this criterion. If the exposure time is not equal to an integer number of periods of the periodic variation, then the dose of radiation delivered by the first and second branch radiation beams B ; B 2 for a given exposure time will vary periodically with time. As the (non-integer) number periods of the periodic variation that occur during the exposure time increases, the ratio of the amplitude of this variation in dose to the average dose received during an exposure time decreases. Therefore, it may be desirable for the frequency of the periodic variation to be as high as possible so that a more stable dose may be achieved in a given exposure time period.
  • the first and second branch radiation beams Bi , B 2 may be supplied to one or more of the lithographic apparatuses LA1 -LA8 of the lithographic system LS shown in Figure 1 .
  • This exposure time may be of the order of 1 ms and it may therefore be desirable for the frequency of the periodic variation in the intensities to be greater than 1 kHz.
  • the frequency of the periodic variation in the intensities may be sufficiently high so that several periods of the periodic variation occur during the exposure time.
  • the frequency of the periodic variation in the intensities may be of the order of 16 kHz or above, resulting in 16 or more periods of the periodic variation during the exposure time, or of the order of 30 kHz or above, resulting in 30 or more periods of the periodic variation during the exposure time.
  • the reflective surface of the optical device of Figure 6A comprises a paraboloid surface, with the axis of the paraboloid coinciding with the rotation axis and substantially parallel to the incident beam.
  • the paraboloid surface is interrupted by the gaps between the spokes, but the radial reflective surface of each spoke comprises a truncated, substantially paraboloid surface.
  • the beam Bi reflected from the paraboloid surface is divergent, but is round rather than elliptical and, due to the paraboloid shape of the surface substantially no astigmatism or coma-like errors are introduced by reflection from the surface.
  • the paraboloid surface astigmatism or coma-like errors can be reduced or prevented, and the need for additional corrective optics can be avoided.
  • Figure 7 is a schematic illustration of a movement mechanism and cooling arrangement for an optical element according to an embodiment.
  • the movement mechanism and cooling arrangement of Figure 7 is particularly suitable for use with optical elements that are rotated at high frequencies, for example at hundreds or thousands of rotations per second, as it is able to provide for reduced distortions in the presence of significant centrifugal forces.
  • the movement mechanism and cooling arrangement of Figure 7 may be particularly suitable for use with a beam splitting apparatus such as that of Figures 6A and 6B, which may be rotated at frequencies of hundreds of Hz, for example 200 Hz to 220Hz, or higher frequencies.
  • the movement mechanism and cooling arrangement of Figure 7 can also be used to rotate optical elements at lower frequencies of rotation if so desired, and may for example be used to rotate the beam shaping optical element of Figure 5 if desired.
  • the movement mechanism is operable to rotate a body 200 of an optical element.
  • the body 200 includes a main body portion 204 and a radial reflective surface 202.
  • the body 200 may have a reflective surface 202 that is substantially paraboloid in shape or that may have any other suitable shape.
  • the body 200 also includes axial bearings 206a, 206b and a shaft 209 extending along axis 207.
  • Each axial bearing 206a, 206b has a substantially annular-shaped face separated by a gap 208 from the main body portion 204.
  • Flexible seals 210 are operable to seal the gaps 208, which are filled with gas.
  • the movement mechanism also includes bushings 214, 216.
  • the bushings form gas bearings, which in operation suspend the shaft 209.
  • the bearings are supplied with gas and water or other cooling fluid, for example via gas conduit 220 and fluid supply/return conduit(s) 224, with the gas acting to support and/or suspend the shaft 209 and the water or other cooling fluid acting to cool the bushing 214 and shaft.
  • a further gas conduit and further fluid supply/return conduit(s) can be provided for the other bushing 216 also, although not shown in Figure 7.
  • a further bushing 217 is also provided, which includes a gas supply conduit 222 that is operable to supply gas to the gaps 208 via a passage 21 1 through the shaft 209.
  • Heat load from the absorbed EUV radiation and viscous dissipation in the gas layers can be carried away, at least in part, by the cooling fluid through conduit 224.
  • the body 200 is rotatable about an axis 207.
  • the main body portion 204 and the axial bearings 206a, 206b are formed from a single piece of material. In operation, as the main body portion 204 rotates the axial bearings 206a, 206b rotate.
  • One of the bushings 216 is spring-suspended by spring arrangement 212 in a way that allows axial movement but not radial or rotational movement of the bushing 216, which can provide for some thermal expansion of the rotating body 200.
  • the shaft 209 is equipped with magnets (not shown) that are driven by stationary motor coils (not shown) to drive rotation of the shaft 209 and hence the body 200.
  • the main body portion 204 has a diameter of around 50cm and is rotated at a rotation frequency of around 200 HZ, although any suitable diameters and rotation frequencies can be used in alternative embodiments.
  • a frequency of pulsed radiation in the range 16 KHz to 30 KHz or may be even higher may be produced using such rotation speeds.
  • the rotating axial bearing surfaces of discs 206a, 206b are separated from the main body portion 204 that includes the reflective surface(s) 202. If the discs 206a, 206b were not present and bearings acted directly on the main body portion it may be expected that centrifugal forces might distort the axial surfaces of the rotating parts to an extent that prevents proper functioning of the gas bearings. Also, temperature gradients in radial bearings and corresponding shaft parts in that case might lead to a size mismatch. However, because of the presence of the smaller diameter bearing discs 206a, 206b deformations due to centrifugal forces occurring during rotation can be negligible.
  • the gaps 208 between the bearing discs 206a, 206b and the main body portion 204 are sealed by the flexible seals 210.
  • the gaps 208 are kept under a suitable gas pressure.
  • the gas in the gaps 208 insurers that heat can flow from the main body portion 204 into the bearing discs 206a, 206b with a relatively small temperature drop.
  • the heat transfer coefficient over the helium gas gap should be around 1 .5 kW/(m 2 K) or about 40 W/K per disc.
  • the radiative (e.g. EUV) heat load applied at the reflective surface 202 and subsequently conducted through the body portion 202 can be removed through the axial surfaces of the bearings 206a, 206b and the opposing portions of the axial surfaces of the main body portion 204.
  • the thermally conductive gas cap 208 the heat would have to be removed through the shaft 209 and the radial bearing surfaces. This could lead to a large temperature increase of the shaft 209, resulting in a thermal expansion that could cause the shaft diameter to exceed the inner diameter of one or more of the bushings 214, 216, 217.
  • helium is used as the gas filling the gap 208 in the embodiment of Figure 7, any other suitable gas could also be used.
  • hydrogen should be used as both have similar thermal conductivities.
  • hydrogen would require a higher pressure than helium due to its heat transfer properties at low pressures, and use of hydrogen would also involve additional safety issues.
  • the main body portion 204, the axial bearings 206a, 206b and the shaft 209 are made of a single piece of material. In this case aluminium is used, although any suitable other material could be used in other embodiments.
  • Figure 8 illustrates schematically an alternative embodiment in which the main body portion 204 is made of a different material to that of the axial bearings 206a, 206b and the shaft 209. Like reference numerals are used to indicate like components in Figures 8 and 9.
  • the shaft 209 and axial bearing discs 206a, 206b are connected to the main body portion 204 by bolts 230 and spacer plate 232.
  • any other suitable attachment mechanism can be used, for example the use of a single central bolt, the use of attachment devices or fixings other than bolts, and/or the omission of the spacer plate.
  • the shaft 209 and axial bearing discs 206a, 206b are made of silicon carbide (SiC), which has a lower coefficient of thermal expansion, and a higher elastic modulus over density ratio, than aluminium.
  • the main body portion 204, which carries the reflective surface 202, is made of aluminium, which is better suited for machining of complex shapes and has high tensile strength. Any other suitable combinations of materials can be used in other embodiments.
  • a radiation source SO Whilst embodiments of a radiation source SO have been described and depicted as comprising a free electron laser FEL, it should be appreciated that a radiation source may comprise any number of free electron lasers FEL. For example, a radiation source may comprise more than one free electron laser FEL. Alternatively, the radiation source SO may not comprise a free electron laser and may, for example, comprise a laser produced plasma (LPP) or a discharge produced plasma (DPP) radiation source.
  • LPP laser produced plasma
  • DPP discharge produced plasma
  • the radiation beam output by the radiation source may have any power, it may be incident upon the reflective optical element at any grazing incidence angle, the beam spot region may trace out any size area of the reflective surface, and the reflective surface may have any reflectivity.
  • the embodiments of the first optical element 20 described above comprise a generally disc shaped body that is arranged to rotate about a central rotation axis, movement of the reflective surface such that the beam spot region moves over the reflective surface following a periodic path may be achieved otherwise.
  • axial direction may represent a direction along, or parallel to a rotation axis.
  • radial direction may represent a direction running through a rotation axis and perpendicular to said rotation axis.
  • tangential direction may represent a direction perpendicular to an axial direction and a radial direction.
  • axially facing surface may represent a generally flat surface whose normal is generally in an axial direction.
  • radially facing surface may represent a generally curved surface whose normal is generally in a radial direction.
  • curvature of a surface in an axial direction at a given point on said surface may mean a curvature of the curve that is formed by the intersection of said surface and a plane containing the normal vector of the surface at that point and a vector in the axial direction. This may be referred to as "an axial curvature of the surface”.
  • curvature of a surface in a radial direction at a given point on said surface may mean a curvature of the curve that is formed by the intersection of said surface and a plane containing the normal vector of the surface at that point and a vector in the radial direction. This may be referred to as "a radial curvature of the surface”.
  • a lithographic system LS may comprise any number of lithographic apparatus.
  • the number of lithographic apparatus which form a lithographic system LS may, for example, depend on the amount of radiation which is output from a radiation source SO and on the amount of radiation which is lost in a beam delivery system 19.
  • the number of lithographic apparatus which form a lithographic system LS may additionally or alternatively depend on the layout of a lithographic system LS and/or the layout of a plurality of lithographic systems LS.
  • Embodiments of a lithographic system LS may also include one or more mask inspection apparatus MIA and/or one or more Aerial Inspection Measurement Systems (AIMS).
  • the lithographic system LS may comprise two mask inspection apparatuses to allow for some redundancy. This may allow one mask inspection apparatus to be used when the other mask inspection apparatus is being repaired or undergoing maintenance. Thus, one mask inspection apparatus is always available for use.
  • a mask inspection apparatus may use a lower power radiation beam than a lithographic apparatus. Further, it will be appreciated that radiation generated using a free electron laser FEL of the type described herein may be used for applications other than lithography or lithography related applications.
  • the term "relativistic electrons” should be interpreted to mean electrons which have relativistic energies.
  • An electron may be considered to have a relativistic energy when its kinetic energy is comparable to or greater than its rest mass energy (51 1 keV in natural units).
  • a particle accelerator which forms part of a free electron laser may accelerate electrons to energies which are much greater than its rest mass energy.
  • a particle accelerator may accelerate electrons to energies of >10 MeV, >100 MeV, >1 GeV or more.
  • Embodiments of the invention have been described in the context of a free electron laser FEL which outputs an EUV radiation beam.
  • a free electron laser FEL may be configured to output radiation having any wavelength.
  • Some embodiments of the invention may therefore comprise a free electron which outputs a radiation beam which is not an EUV radiation beam.
  • the term "grazing incidence angle” refers to the angle between the propagation direction of an incident radiation beam and a reflective surface that it is incident upon. This angle is complementary to the angle of incidence, i.e. the sum of the grazing incidence angle and the angle of incidence is a right angle.
  • the term "EUV radiation” may be considered to encompass electromagnetic radiation having a wavelength within the range of 4-20 nm, for example within the range of 13- 14 nm. EUV radiation may have a wavelength of less than 10 nm, for example within the range of 5-10 nm such as 6.7 nm or 6.8 nm.
  • the lithographic apparatuses LA1 to LA8 may be used in the manufacture of ICs.
  • the lithographic apparatuses LA1 to LA8 described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid- crystal displays (LCDs), thin-film magnetic heads, etc.
  • An optical element comprising:
  • At least one reflective surface provided on the body for receiving a radiation beam so as to form a beam spot region and a reflected radiation beam, wherein
  • the body is configured to be moved by a movement mechanism such that the beam spot region and the reflective surface move periodically relative to each other;
  • the at least one reflective surface comprises an at least partially paraboloid surface.
  • the paraboloid surface has an associated axis and at least one of:- the axis of the paraboloid surface is substantially aligned with an axis of rotation of the body;
  • the axis of the paraboloid surface is substantially parallel to the received radiation beam in operation
  • the reflected beam has a focal point that is coincident with the axis of the paraboloid surface.
  • An optical element according to any preceding clause wherein the at least partially paraboloid surface is shaped to reduce or prevent astigmatism and/or a coma-like aberration. 5. An optical element according to any preceding clause, wherein the movement mechanism is operable to move the body such that the beam spot region moves over the at least one reflective surface following a periodic path and a direction of the reflected radiation beam remains substantially constant.
  • An optical element comprising a plurality of reflective surfaces, wherein each of the reflective surfaces comprises a respective at least partially paraboloid surface.
  • each of the plurality of reflective surfaces comprises a surface of a generally radially extending spoke.
  • An optical element according to Clause 9 or 10 wherein the reflective surfaces are arranged such that a first portion of the radiation beam is incident on, and reflected by, the reflective surfaces so as to form a first branch radiation beam and a second portion of the radiation beam passes through one or more gaps between the reflective surfaces so as to form a second branch radiation beam.
  • the body comprises a main body portion that includes the at least one reflective surface
  • the optical element comprises at least one axial bearing having a face separated by a gap from the main body portion and configured to rotate with the main body portion.
  • An optical element according to any of Clauses 12 to 16 wherein the at least one bearing is formed of different material than the main body portion. 18. An optical element according to any of Clauses 12 to 17, wherein the at least one bearing comprises silicon carbide.
  • An optical element comprising:
  • At least one reflective surface provided on the body for receiving a radiation beam so as to form a beam spot region and a reflected radiation beam, wherein
  • the body is moveable by a movement mechanism such that the beam spot region moves over the reflective surface
  • the body comprises a main body portion that includes the at least one reflective surface
  • the optical element comprises at least one bearing having a face separated by a gap from the main body portion and configured to rotate with the main body portion.
  • An optical device comprising an optical element according to any of Clauses 1 to 22 and a movement mechanism arranged to operate such that the beam spot region moves over the reflective surface.
  • a radiation system comprising:
  • a radiation source operable to produce a radiation beam
  • a method of reflecting a radiation beam comprising:
  • an optical element to receive a radiation beam on at least one reflective surface provided on a body of the optical element, thereby to form a beam spot region and a reflected radiation beam; and moving the optical element such that the beam spot region periodically moves relative to the at least one reflective surface, wherein
  • the at least one reflective surface comprises an at least partially paraboloid surface.

Abstract

An optical element comprises a body and at least one reflective surface provided on the body for receiving a radiation beam so as to form a beam spot region and a reflected radiation beam, wherein the body is generally disc-shaped and is configured to be moved by a movement mechanism such that the beam spot region periodically moves over the reflective surface, and the at least one reflective surface comprises an at least partially paraboloid surface.

Description

Reflective optical element
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority of EP 15248018.2 which was filed on April 3, 2015 and which is incorporated herein in its entirety by reference.
FIELD
[0002] The present invention relates to a reflective optical element. Particularly, but not exclusively, the present invention has application within, or in combination with, EUV radiation sources that incorporate free electron lasers.
BACKGROUND
[0003] A lithographic apparatus is a machine constructed to apply a desired pattern onto a substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). A lithographic apparatus may for example project a pattern from a patterning device (e.g. a mask) onto a layer of radiation-sensitive material (resist) provided on a substrate.
[0004] The wavelength of radiation used by a lithographic apparatus to project a pattern onto a substrate determines the minimum size of features which can be formed on that substrate. A lithographic apparatus which uses EUV radiation, being electromagnetic radiation having a wavelength within the range 4-20 nm, may be used to form smaller features on a substrate than a conventional lithographic apparatus (which may for example use electromagnetic radiation with a wavelength of 193 nm).
[0005] A lithographic apparatus may be provided with radiation from a radiation source which forms part of a lithographic system. A plurality of lithographic apparatus may be supplied by a single radiation source. The radiation source may comprise at least one free electron laser which emits EUV radiation.
[0006] It is desirable to provide a reflective optical element that is suitable for receiving a high power radiation beam from a radiation source that obviates or mitigates one or more of the problems associated with known radiation sources.
SUMMARY
[0007] According to a first aspect of the invention there is a provided an optical element, comprising a body and at least one reflective surface provided on the body for receiving a radiation beam so as to form a beam spot region and a reflected radiation beam, wherein the body is generally disc-shaped and is configured to be moved by a movement mechanism such that the beam spot region and the reflective surface move relative to each other, for example periodically, and the at least one reflective surface comprises an at least partially paraboloid surface.
[0008] The paraboloid surface may comprise a truncated paraboloid surface. The paraboloid surface may comprise an elliptic paraboloid surface
[0009] An axis of the paraboloid surface may be substantially aligned with an axis of rotation of the body. An axis of the paraboloid shape may be substantially parallel to the received radiation beam in operation. The reflected beam may have a focal point that is coincident with an axis of the paraboloid
[0010] The axis of the paraboloid may substantially coincide with the axis of rotation of the body. The peak of the paraboloid may substantially coincide with a point on the axis of rotation of the body.
[0011] The at least partially paraboloid surface may be shaped to reduce or prevent astigmatism and/or a coma-like aberration.
[0012] The movement mechanism may be operable to move the body such that the beam spot region moves over the at least one reflective surface following a periodic path and a direction of the reflected radiation beam remains substantially constant.
[0013] The movement mechanism may be operable to rotate the body about a rotation axis.
[0014] The at least one reflective surface may be disposed on a radially facing surface of the body.
[0015] The at least one reflective surface may comprise a plurality of reflective surfaces.
[0016] Each of the plurality of reflective surfaces may comprise a respective at least partially paraboloid surface.
[0017] Each of the plurality of reflective surfaces may comprise a surface of a generally radially extending spoke.
[0018] The reflective surfaces may be arranged such that a first portion of the radiation beam is incident on, and reflected by, the reflective surfaces so as to form a first branch radiation beam and a second portion of the radiation beam passes through one or more gaps between the reflective surfaces so as to form a second branch radiation beam.
[0019] The body may comprise a main body portion that includes the at least one reflective surface, and the optical element may comprise at least one bearing having a face separated by a gap from the main body portion and configured to rotate with the main body portion. The bearing may comprise an axial bearing. [0020] The at least one bearing may form part of the body and/or may be fixed to the main body portion.
[0021] The at least one bearing may have a smaller diameter than the main body portion, for example the at least one axial bearing may have a diameter that is less than 75%, less than 50%, less than 30% than the diameter of the main body portion.
[0022] The gap may be at least partially filled with gas. The gas may comprise hydrogen and/or helium. The gap may be at least partially sealed. The optical element may comprise at least one seal arranged to seal the gap.
[0023] The at least one bearing may be formed of different material than the main body portion.
[0024] The at least one bearing may be formed of a first material and the main body portion may be formed of a further material. The first material and the further material may have a different elastic modulus and/or a different elastic modulus over density ratio. The first material may have a higher elastic modulus over density ratio than the further material. The first material and the further material may have at least one different thermal property, for example a different thermal conductivity and/or a different thermal expansion coefficient. The first material may have a lower thermal expansion coefficient than the further material. The further material may comprise aluminium.
[0025] The at least one bearing may comprise silicon carbide.
[0026] The optical element may be configured so that in operation heat received at the at least one reflective surface from the radiation beam is conducted through at least part of the main body portion and then passed from the main body portion to the bearing face.
[0027] The heat may pass from the main body portion to the bearing face by convection and/or transmission.
[0028] The at least one bearing may comprise a gas bearing and/or a floating bearing.
[0029] The at least one axial bearing may comprise a disc.
[0030] The gas bearing may comprise a bearing that in operation, is at least partially supported in a rotation position by a pressure and/or flow of gas.
[0031] In a further aspect of the invention that may be provided independently, there is provided an optical element, comprising a body and at least one reflective surface provided on the body for receiving a radiation beam so as to form a beam spot region and a reflected radiation beam, wherein the body is moveable by a movement mechanism such that the beam spot region moves over the reflective surface, the body comprises a main body portion that includes the at least one reflective surface, and the optical element comprises at least one bearing having a face separated by a gap from the main body portion and configured to rotate with the main body portion.
[0032] In another aspect of the invention that may be provided independently, there is provided a radiation system, comprising a radiation source operable to produce a radiation beam, and an optical element as claimed or described herein arranged so that the radiation beam is incident upon the beam spot region of the reflective surface.
[0033] The radiation source may comprises a free electron laser.
[0034] In another aspect of the invention, there is provided a lithographic system comprising a radiation source as claimed and/or described herein.
[0035] In another aspect of the invention, which may be provided periodically there is provided a method of reflecting a radiation beam comprising arranging an optical element to receive a radiation beam on at least one reflective surface provided on a generally disc-shaped body of the optical element, thereby to form a beam spot region and a reflected radiation beam, and moving the optical element such that the beam spot region periodically moves relative to the at least one reflective surface, wherein the at least one reflective surface comprises an at least partially paraboloid surface.
[0036] Various aspects and features of the invention set out above or below may be combined with various other aspects and features of the invention as will be readily apparent to the skilled person.
BRIEF DESCRIPTION OF THE DRAWINGS
[0037] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings, in which:
Figure 1 is a schematic illustration of a first embodiment of a lithographic system;
- Figure 2 is a schematic illustration of a lithographic apparatus that forms part of the lithographic system of Figure 1 ;
Figure 3 is a schematic illustration of a free electron laser (FEL) radiation source;
Figure 4 is a schematic illustration of a free electron laser (FEL) radiation source for providing radiation to two beam delivery systems;
- Figure 5 is a schematic illustration of an optical element according to an embodiment;
Figure 6A is a schematic illustration of an optical element according to a further embodiment;
Figure 6B is a schematic illustration of part of the optical element of Figure 6A; Figure 7 is a schematic illustration of a movement mechanism and cooling arrangement for an optical element according to an embodiment; and
Figure 8 is a schematic illustration of a movement mechanism and cooling arrangement for an optical element according to a further embodiment.
DETAILED DESCRIPTION
[0038] Figure 1 shows a lithographic system LS comprising a radiation source SO, a first optical element 20 according to an embodiment, a beam delivery system 19 and eight lithographic apparatuses LA1 -LA8. The radiation source SO is configured to generate an extreme ultraviolet (EUV) radiation beam B (which may be referred to as a main beam).
[0039] The beam delivery system 19 comprises beam splitting optics. The beam splitting optics splits the main radiation beam B into a plurality of radiation beams Ba-Bh (which may be referred to as branch beams), each of which is directed to a different one of the lithographic apparatuses LA1 -LA8.
[0040] The beam delivery system 19 may further comprise beam expanding optics. The beam expanding optics may be arranged to increase the cross sectional area of the radiation beam B. This decreases the heat load on mirrors downstream of the beam expanding optics. This may allow the mirrors downstream of the beam expanding optics to be of a lower specification, with less cooling, and therefore less expensive. Additionally or alternatively, it may allow the downstream mirrors to be nearer to normal incidence.
[0041] The beam expanding optics may be disposed upstream of the beam splitting optics, such that the main radiation beam B passes through the beam expanding optics before the beam splitting optics. In alternative embodiments, beam splitting optics may be disposed upstream of beam expanding optics. For such embodiments, separate beam expanding optics may be provided for each branch radiation beam Ba-Bh. In alternative embodiments, the beam delivery system 19 may not comprise beam expanding optics.
[0042] The radiation source SO, first optical element 20, beam delivery system 19 and lithographic apparatuses LA1 -LA8 may all be constructed and arranged such that they can be isolated from the external environment. A vacuum may be provided in at least part of the radiation source SO, first optical element 20, beam delivery system 19 and lithographic apparatuses LA1 -LA8 so as to minimise the absorption of EUV radiation. Different parts of the lithographic system LS may be provided with vacuums at different pressures (i.e. held at different pressures which are below atmospheric pressure). [0043] Referring to Figure 2, a lithographic apparatus LA1 comprises an illumination system IL, a support structure MT configured to support a patterning device MA (e.g. a mask), a projection system PS and a substrate table WT configured to support a substrate W. The illumination system IL is configured to condition the branch radiation beam Ba that is received by that lithographic apparatus LA1 before it is incident upon the patterning device MA. The projection system PS is configured to project a radiation beam Ba' (now patterned by the mask MA) onto the substrate W. The substrate W may include previously formed patterns. Where this is the case, the lithographic apparatus aligns the patterned radiation beam Ba' with a pattern previously formed on the substrate W.
[0044] The branch radiation beam Ba that is received by the lithographic apparatus LA1 passes into the illumination system IL from the beam delivery system 19 though an opening 8 in an enclosing structure of the illumination system IL. Optionally, the branch radiation beam Ba may be focused to form an intermediate focus at or near to the opening 8.
[0045] The illumination system IL may include a facetted field mirror device 10 and a facetted pupil mirror device 1 1 . The faceted field mirror device 10 and faceted pupil mirror device 1 1 together provide the radiation beam Ba with a desired cross-sectional shape and a desired angular distribution. The radiation beam Ba passes from the illumination system IL and is incident upon the patterning device MA held by the support structure MT. The patterning device MA reflects and patterns the radiation beam to form the patterned beam Ba'. The illumination system IL may include other mirrors or devices in addition to or instead of the faceted field mirror device 10 and faceted pupil mirror device 1 1 . The illumination system IL may for example include an array of independently moveable mirrors. The independently moveable mirrors may for example measure less than 1 mm across. The independently moveable mirrors may for example be microelectromechanical systems (MEMS) devices.
[0046] Following reflection from the patterning device MA the patterned radiation beam Ba' enters the projection system PS. The projection system PS comprises a plurality of mirrors 13, 14 which are configured to project the radiation beam Ba' onto a substrate W held by the substrate table WT. The projection system PS may apply a reduction factor to the radiation beam Ba', forming an image with features that are smaller than corresponding features on the patterning device MA. A reduction factor of 4 may for example be applied. Although the projection system PS has two mirrors in Figure 2, the projection system may include any number of mirrors (e.g. six mirrors).
[0047] The radiation source SO is configured to generate an EUV radiation beam B with sufficient power to supply each of the lithographic apparatuses LA1 -LA8. The radiation source may comprise a free electron laser. Alternatively, the radiation source may be implemented in any other way and may, for example, comprise a laser produced plasma (LPP) radiation source.
[0048] A free electron laser comprises an electron source and accelerator, which are operable to produce a bunched relativistic electron beam, and a periodic magnetic field through which the bunches of relativistic electrons are directed. The periodic magnetic field is produced by an undulator and causes the electrons to follow an oscillating path about a central axis. As a result of the acceleration caused by the magnetic structure the electrons spontaneously radiate electromagnetic radiation generally in the direction of the central axis. The relativistic electrons interact with radiation within the undulator. Under certain conditions, this interaction causes the electrons to bunch together into microbunches, modulated at the wavelength of radiation within the undulator, and coherent emission of radiation along the central axis is stimulated.
[0049] The path followed by the electrons may be sinusoidal and planar, with the electrons periodically traversing the central axis, or may be helical, with the electrons rotating about the central axis. The type of oscillating path may affect the polarization of radiation emitted by the free electron laser. For example, a free electron laser which causes the electrons to propagate along a helical path may emit elliptically polarized radiation, which may be preferred for exposure of a substrate W by some lithographic apparatuses.
[0050] Figure 3 is a schematic depiction of a free electron laser FEL comprising an injector 21 , a linear accelerator 22, a bunch compressor 23, an undulator 24, an electron decelerator 26 and a beam dump 100.
[0051] The injector 21 is arranged to produce a bunched electron beam E and may comprise: a thermionic cathode or photo-cathode arranged to periodically emit electrons; and an accelerating electric field. Electrons in the electron beam E are further accelerated by the linear accelerator 22. In an example, the linear accelerator 22 may comprise a plurality of radio frequency cavities, which are axially spaced along a common axis, and one or more radio frequency power sources, which are operable to control the electromagnetic fields along the common axis as bunches of electrons pass between them so as to accelerate each bunch of electrons. The cavities may be superconducting radio frequency cavities. Advantageously, this allows: relatively large electromagnetic fields to be applied at high duty cycles; larger beam apertures, resulting in fewer losses due to wakefields; and for the fraction of radio frequency energy that is transmitted to the beam (as opposed to dissipated through the cavity walls) to be increased. Alternatively, the cavities may be conventionally conducting (i.e. not superconducting), and may be formed from, for example, copper. Other types of linear accelerators may also be used. For example, laser wake-field accelerators or inverse free electron laser accelerators.
[0052] The injector 21 and linear accelerator 22 together form an electron source that is operable to produce relativistic electrons.
[0053] The electron beam E passes through a bunch compressor 23, disposed between the linear accelerator 22 and the undulator 24. The bunch compressor 23 is configured to bunch electrons in the electron beam E and spatially compress existing bunches of electrons in the electron beam E.
[0054] The electron beam E then passes through the undulator 24. Generally, the undulator 24 comprises a plurality of modules. Each module comprises a periodic magnet structure, which is operable to produce a periodic magnetic field and is arranged so as to guide the relativistic electron beam E produced by the injector 21 and linear accelerator 22 along a periodic path within that module. As a result, within each undulator module, the electrons radiate electromagnetic radiation generally in the direction of a central axis of their periodic path through that module.
[0055] As electrons move through each undulator module, they interact with the electric field of the radiation, exchanging energy with the radiation. In general the amount of energy exchanged between the electrons and the radiation will oscillate rapidly unless conditions are close to a resonance condition, giv
Figure imgf000009_0001
where Xem is the wavelength of the radiation, Xu is the undulator period for the undulator module that the electrons are propagating through, γ is the Lorentz factor of the electrons and K is the undulator parameter. A is dependent upon the geometry of the undulator 24: for a helical undulator that produces circularly polarized radiation A=1 , for a planar undulator A=2, and for a helical undulator which produces elliptically polarized radiation (that is neither circularly polarized nor linearly polarized) 1 <A<2. In practice, each bunch of electrons will have a spread of energies although this spread may be minimised as far as possible (by producing an electron beam E with low emittance). The undulator parameter K is typically approximately 1 and is given by:
Figure imgf000009_0002
where q and m are, respectively, the electric charge and mass of an electron, B0 is the amplitude of the periodic magnetic field, and c is the speed of light. [0056] The resonant wavelength Xem is equal to the first harmonic wavelength spontaneously radiated by electrons moving through each undulator module. The free electron laser FEL may operate in self-amplified spontaneous emission (SASE) mode. Operation in SASE mode may require a low energy spread of the electron bunches in the electron beam E before it enters each undulator module. Alternatively, the free electron laser FEL may comprise a seed radiation source, which may be amplified by stimulated emission within the undulator 24. The free electron laser FEL may operate as a recirculating amplifier free electron laser (RAFEL), wherein a portion of the radiation generated by the free electron laser FEL is used to seed further generation of radiation.
[0057] Electrons moving through the undulator 24 may cause the amplitude of radiation to increase, i.e. the free electron laser FEL may have a non-zero gain. Maximum gain may be achieved when the resonance condition is met or when conditions are close to but slightly off resonance.
[0058] A region around a central axis of each undulator module may be considered to be a "good field region". The good field region may be a volume around the central axis wherein, for a given position along the central axis of the undulator module, the magnitude and direction of the magnetic field within the volume are substantially constant. An electron bunch propagating within the good field region may satisfy the resonant condition of Eq. (1 ) and may therefore amplify radiation. Further, an electron beam E propagating within the good field region should not experience significant unexpected disruption due to uncompensated magnetic fields.
[0059] Each undulator module may have a range of acceptable initial trajectories. Electrons entering an undulator module with an initial trajectory within this range of acceptable initial trajectories may satisfy the resonant condition of Eq. (1 ) and interact with radiation in that undulator module to stimulate emission of coherent radiation. In contrast, electrons entering an undulator module with other trajectories may not stimulate significant emission of coherent radiation.
[0060] For example, generally, for helical undulator modules the electron beam E should be substantially aligned with a central axis of the undulator module. A tilt or angle between the electron beam E and the central axis of the undulator module should generally not exceed 1/10p, where p is the Pierce parameter. Otherwise the conversion efficiency of the undulator module (i.e. the portion of the energy of the electron beam E which is converted to radiation in that module) may drop below a desired amount (or may drop almost to zero). In an embodiment, the Pierce parameter of an EUV helical undulator module may be of the order of 0.001 , indicating that the tilt of the electron beam E with respect to the central axis of the undulator module should be less than 100 μ^.
[0061 ] For a planar undulator module, a greater range of initial trajectories may be acceptable. Provided the electron beam E remains substantially perpendicular to the magnetic field of a planar undulator module and remains within the good field region of the planar undulator module, coherent emission of radiation may be stimulated.
[0062] As electrons of the electron beam E move through a drift space between each undulator module, the electrons do not follow a periodic path. Therefore, in this drift space, although the electrons overlap spatially with the radiation, they do not exchange any significant energy with the radiation and are therefore effectively decoupled from the radiation.
[0063] The bunched electron beam E has a finite emittance and will therefore increase in diameter unless refocused. Therefore, the undulator 24 further comprises a mechanism for refocusing the electron beam E in between one or more pairs of adjacent modules. For example, a quadrupole magnet may be provided between each pair of adjacent modules. The quadrupole magnets reduce the size of the electron bunches and keep the electron beam E within the good field region of the undulator 24. This improves the coupling between the electrons and the radiation within the next undulator module, increasing the stimulation of emission of radiation.
[0064] An electron which meets the resonance condition as it enters the undulator 24 will lose (or gain) energy as it emits (or absorbs) radiation, so that the resonance condition is no longer satisfied. Therefore, in some embodiments the undulator 24 may be tapered. That is, the amplitude of the periodic magnetic field and/or the undulator period Xu may vary along the length of the undulator 24 in order to keep bunches of electrons at or close to resonance as they are guided though the undulator 24. The tapering may be achieved by varying the amplitude of the periodic magnetic field and/or the undulator period Xu within each undulator module and/or from module to module.
[0065] The interaction between the electrons and radiation within the undulator 24 produces a spread of energies within the electron bunches. The tapering of the undulator 24 may be arranged to maximise the number of electrons at or close to resonance. For example, the electron bunches may have an energy distribution which peaks at a peak energy and the tapering may be arranged to keep electrons with this peak energy at or close to resonance as they are guided though the undulator 24. Advantageously, tapering of the undulator 24 has the capacity to significantly increase conversion efficiency. For example, the use of a tapered undulator 24 may increase the conversion efficiency by a factor of more than 2. Tapering of the undulator 24 may be achieved by reducing the undulator parameter K along its length. This may be achieved by matching the undulator period Xu and/or the magnetic field strength B0 along the axis of the undulator to the electron bunch energy to ensure that they are at or close to the resonance condition. Meeting the resonance condition in this manner increases the bandwidth of the emitted radiation.
[0066] The following discussion relates to radiation generated by a free electron laser but it will be appreciated that a free electron laser is not essential to the invention. Embodiments of the invention may incorporate other high power radiation sources.
[0067] In addition to the main radiation beam B, the undulator 24 of a free electron laser (FEL) emits ionizing radiation R such as, for example, gamma radiation and neutrons. This additional ionizing radiation R is undesirable since it is a health hazard and may be damaging to susceptible materials, such as magnets in actuators and motors.
[0068] Therefore the lithographic system LS is provided with a reflective first optical element 20 arranged to deflect a portion of the EUV radiation beam B so as to form a reflected radiation beam B' and to either transmit of absorb the additional ionizing radiation R. The free electron laser may be disposed within a bunker arranged to contain ionizing radiation and the first optical element 20 may also be disposed within the bunker. In this way, the EUV radiation beam B may be directed towards the beam delivery system 1 9 via an aperture in the bunker which is not aligned with the axis of the undulator 24. The additional ionizing radiation R will either be absorbed or will continue to propagate generally in the direction of the axis of the undulator 24 and will be contained by the bunker. Alternatively, in other embodiments the optical element 20 may be disposed outside the bunker, with a concrete wall arranged behind the element 20 to capture the ionizing radiation R.
[0069] The radiation beam B output by the free electron laser FEL may have a substantially circular cross section and a Gaussian-like intensity profile. The radiation beam B produced by an EUV free electron laser typically has a relatively small etendue. In particular, the EUV radiation beam B produced by a free electron laser FEL has a significantly smaller etendue than an EUV radiation beam that would be generated by a laser produced plasma (LPP) source or a discharge produced plasma (DPP) source (both of which are known in the prior art). For example, the radiation beam B may have a divergence less than 500 μ^, for example less than 1 00 μ^. The radiation beam B may for example have a diameter of around 50 μηι to 1 00 μηι as it leaves the undulator 24. In some embodiments the diameter of the radiation beam B is larger, around 1 mm, when it leaves the undulator 24. [0070] In order to support high throughput for the eight EUV lithographic apparatus LA1 - LA8, the output power of the free electron laser FEL may be of the order of tens of kilowatts, for example around 30 kW. At such powers, since the initial diameter of the radiation beam B produced by the free electron laser is so small, the power density of the radiation beam B will be significant. Further, since the divergence of the radiation beam B produced by the free electron laser is so small, the power density of the radiation beam B will decrease very slowly with increasing distance.
[0071] The first optical element 20 may be disposed within the bunker that contains the free electron laser FEL but, for thermal reasons, as far away from the undulator 24 as possible, or may be disposed outside the bunker as mentioned above. For example, the first optical element may be disposed around 20 m to 40 m from the exit of the undulator 24. For a radiation beam with an initial diameter of the order of 100 μηι and a divergence of around 50 μ^, at a distance of 20 m from the undulator the diameter of the radiation beam is approximately 2 mm. The thermal load on the first optical element 20 may be reduced by arranging for the radiation beam B to be incident on the first optical element 20 at a small grazing incidence angle, for example a grazing incidence angle of around 2 degrees. This will spread the radiation over a larger beam spot area and will also increase the reflectivity of the first optical element 20. For a radiation beam B with a power of 30 kW, assuming that 5% of the energy of the beam is absorbed by the first optical element 20, the first optical element 20 will be subject to a heat load of around 1500 W. At a distance of 20 m and with a small grazing incidence angle, this heat load may be spread over an area on the surface of the first optical element 20 of the order of 1 cm2. For example, for a circular radiation beam with a beam diameter of 2 mm incident on the first optical element 20 at a grazing incidence angle of 2 degrees, the heat load is spread over an elliptical area on the surface of the first optical element 20 of 0.9 cm2.
[0072] Figure 4 shows a further embodiment, in which two radiation sources S01 and S02 each supply radiation to respective beam delivery systems 19a, 19b, which in turn supply radiation to associated lithographic apparatuses (not shown in Figure 4). In this case, the sources S01 and S02 and the beam delivery systems 19a, 19b are the same as those of the embodiment of Figure 1 , although in alternative embodiments any suitable sources and beam delivery systems can be used.
[0073] The system of Figure 4 in normal operation can be considered to comprise two lithographic systems of Figure 1 arranged side-by-side. In the situation illustrated in Figure 4, one of the radiation sources S02 is inoperative (for example, due to a fault or for maintenance) and the other of the radiation sources S01 is used to supply radiation to both of the beam delivery systems 19a, 19b and associated sets of lithographic apparatus (not shown).
[0074] In order to enable supply of the radiation from the single radiation source S01 to both of the beam delivery systems 19a, 19b additional optical components 30, 32, 34, 36, 38, 40, 42, 44, 46 and 48 are provided between the radiation source S01 and the beam delivery systems 19a, 19b. The additional optical components 30, 32, 34, 36, 38, 40, 42, 44, 46 and 48 would not be present, or in use, during normal operation of the system with both radiation sources S01 , S02 operational.
[0075] The additional optical components comprise a rotating beam shaper 30, a two-split grating 32 or other splitting device, and an arrangement of mirrors and/or lenses 34, 36, 38, 40, 42, 44, 46, 48.
[0076] In normal operation, when the additional optical components are not present and both radiation sources are operational, the radiation sources S01 , S02 are spaced from the beam delivery systems 19a, 19b such that the radiation beam provided by a source S01 , S02 has a diameter of 5 mm (or any other desired value in other embodiments) when it arrives at the corresponding beam delivery system 19a, 19b, due to divergence of the beam after leaving the source S01 , S02.
[0077] In the arrangement shown in Figure 4, the additional optical components are positioned closer to the source S01 than the beam delivery systems 19a, 19b and the radiation beam from the source S01 has had less chance to diverge by the time it reaches the first of the additional components 30, than it would upon reaching the beam delivery system 19a in normal operation. In the embodiment of Figure 4, the radiation beam from the radiation source S01 has a diameter of 2 mm to 3 mm when it reaches the first optical component 30. The first optical component 30 is a rotating beam shaper that operates to magnify the beam, such that the beam has a diameter of 5 mm when it reaches the next component, the two-split grating 32. The two-split grating 32 splits the beam into two separate beams, which are directed to respective beam delivery systems 19a, 19b by the further optical components 34, 36, 38, 40 and 42, 44, 46, 48. The further optical components are arranged so as to maintain the radiation beams at the same diameter, such that the split beams each have a diameter of 5 mm when they reach the beam delivery systems 19a, 19b. Thus the beams have the same diameter when they reach the beam delivery systems 19a, 19b as they would in normal operation.
[0078] The rotating beam shaper 30 is shown schematically in more detail in Figure 5. [0079] The rotating beam shaper 30 comprises a generally disc-shaped body 61 and a reflective surface 62 provided on the body 61 for receiving the radiation beam B from the free electron laser FEL so as to form a beam spot region 63.
[0080] The beam shaper 30 is arranged such that the radiation beam B is incident on the reflective surface 62 at a small grazing incidence angle, for example, a grazing incidence angle of around 2 degrees (around 0.035 radians).
[0081] The beam shaper 30 further comprises a movement mechanism that is operable to rotate the body 61 about a rotation axis 64. The movement mechanism comprises a shaft extending from the body 61 along the rotation axis 64 and an actuator 69 arranged to rotate the shaft about the rotation axis 64. The shaft may be supported by one or more bearings (not shown), for example two bearings. For embodiments comprising two bearings, the bearings may be provided on opposite sides of the body 61 . The actuator 69 may comprise any suitable mechanism such as a motor or engine.
[0082] The reflective surface 62 is disposed on a radially facing surface of the body 61 . As the movement mechanism rotates the body 61 about the rotation axis 64, the reflective surface 62 rotates, causing the beam spot region 63 to move over the reflective surface 62. The beam spot region 63 follows a periodic path over the reflective surface 62, which extends around the circumference of the body 61 .
[0083] A fraction of the power of the radiation beam B is absorbed by the beam shaper 30, causing the reflective surface 62 to heat up. Since the movement mechanism is operable to move the reflective surface 62 such that the beam spot region 63 moves over the reflective surface 62, the power absorbed by the beam shaper 30 is spread over a larger area, decreasing the density of the heat load. Advantageously, this allows the beam shaper 30 to receive radiation beams with higher power densities, in contrast to static optical elements of the same or similar dimensions.
[0084] The reflective surface 62 is disposed on the radially facing surface of the body 61 . If the body 61 were cylindrical, with the reflective surface 62 being a cylindrical surface of the body the reflected beam would be expected to have a pronounced astigmatism as well as coma-like aberrations. Similarly, a toroidal shaped surface would be expected to produce astigmatism. Such astigmatism or coma-like errors can be corrected, but that usually requires the use of further mirrors or other optical components.
[0085] In the embodiment of Figure 4 the reflective surface 62 is not cylindrical, but instead comprises a paraboloid surface, with the axis of the paraboloid coinciding with the rotation axis 64 and substantially parallel to the incident beam. The dashed line in Figure 4 shows the path of a cross-sectional line through the paraboloid surface. In this case the paraboloid surface is truncated, and the reflective surface comprises a truncated paraboloid surface. In the embodiment of Figure 4, the truncated paraboloid surface is rotationally symmetric about the rotation axis 64.
[0086] The beam reflected from the paraboloid surface is divergent, but is round rather than elliptical and, due to the paraboloid shape of the surface, is substantially without astigmatism or coma-like errors. Thus, by appropriate selection and arrangement of the paraboloid surface astigmatism or coma-like errors or aberrations can be reduced or prevented, and the need for additional corrective optics can be avoided. The reflected beam appears to originate from a focal point 67 that is coincident with the axis of the paraboloid, and the rotation axis 64.
[0087] In alternative embodiments, the optical element may be configured to perform other functions as well or instead of beam shaping. An optical element according to an embodiment is illustrated schematically in Figure 6A, and part of the optical element is shown schematically in more detail in Figure 6B. The optical element is configured to operate as a beam splitting apparatus and may, for example, be included in one or more of the beam delivery apparatus 19, 19a, 19b to split the beam between different ones of the lithographic apparatus LA1 to LA8.
[0088] The beam splitting apparatus of Figure 6A comprises a body 1 10 and a mechanism (not shown) operable to rotate said body 1 10 about a rotation axis 120. For example, the body 1 10 may comprise a shaft extending along the rotation axis 120. The shaft may be supported by one or more bearings, for example two bearings. The bearings may be passive bearings such as, for example, rolling element bearings or aerostatic bearings. Alternatively, the bearings may be active bearings such as, for example, magnetic bearings. The shaft may be driven to rotate by any suitable mechanism such as a motor or engine.
[0089] A direction along, or parallel to the rotation axis 120 may be referred to as an axial direction. A direction running to or from the rotation axis 120 and perpendicular to said rotation axis 120 may be referred to as a radial direction.
[0090] The beam splitting apparatus 100 further comprises a plurality of radially extending spokes 140. Each of the spokes 140 comprises two radially extending side walls 142, a radially facing upper surface 141 and an axially facing end wall 143. The shape of the upper surface 141 of each spoke is therefore an annular sector. The upper surface 141 of each spoke is formed from a reflective material. The spokes 140 are separated from each other by respective gaps 150. As such the radially facing upper surfaces 141 of the plurality of spokes 140 form a plurality of discrete reflective elements. Each of the spokes 140 is substantially the same size and shape and each of the gaps 150 is substantially the same size and shape. Therefore, the radially facing upper surfaces 141 of the plurality of spokes 140 form a periodic array of discrete reflective elements. A pitch of the periodic array at a given radial point is given by the angular extent of one radially facing upper surface 141 and one gap 150.
[0091] The beam splitting apparatus comprises a beam spot region 130 arranged to receive a radiation beam Bin. The beam spot region 130 is disposed on a radially facing surface of the body 1 10, which is formed from the upper radial surfaces 141 of the spokes 140.
[0092] The radiation beam Bin may be produced by a free electron laser FEL. A radiation beam output by the undulator 24 of a free electron laser may have a diameter of the order of 100 μηι and a divergence of the order of 100 μ^. Further, if the free electron laser is to provide radiation for of the order of ten lithographic apparatuses, then the radiation beam output by the undulator 24 may have a power of the order of tens of kilowatts. For thermal reasons therefore, the beam splitting apparatus 100 may be separated from the undulator 24 by a distance of the order of tens to hundreds of metres. For example, at the beam splitting apparatus 100, the radiation beam Bin may have a diameter of the order of 5 mm. Again for thermal reasons, the radiation beam Bin may approach the beam spot region 130 at a small grazing incidence angle. This will spread the power over a greater area of the beam spot region and may also increase the reflectivity of the upper radial surfaces 141 of the spokes 140. For example, the grazing incidence angle may be around 1 .4 degrees.
[0093] As the radiation beam Bin is incident upon the beam spot region 130, its propagation direction is generally in a (local) axial direction (e.g. substantially parallel to the rotation axis 120), with a small radial component (i.e. perpendicular to the rotation axis 120). The size of the axial component is determined by the grazing incidence angle of the radiation beam Bin.
[0094] As the body 1 10 rotates about the rotation axis 120, the periodic array moves such that the plurality of reflective elements (formed by the upper surface 141 of the spokes 140) move through the beam spot region 130. A first portion of the radiation beam is incident on, and reflected by, the upper surface 141 of the spokes 140 so as to form a first branch radiation beam B^ A second portion of the radiation beam passes through the gaps 150 between the reflective elements so as to form a second branch radiation beam B2.
[0095] The beam splitting apparatus 100 therefore allows an incoming radiation beam Bin to be split into outgoing first and second branch radiation beams B1 ; B2.
[0096] In general, as the upper surfaces 141 of the plurality of spokes 140 move through the beam spot region 130, the intensities of the first and second branch radiation beams B1 ; B2 will vary with time as a greater or lesser amount or the incoming radiation is reflected or transmitted at different times. The variation in intensities is periodic. Where the reflective elements are substantially equally reflective, the frequency of the periodic variation is determined by the speed and pitch of the periodic array. In turn, this will cause the dose of radiation delivered by each of the first and second branch radiation beams Bi , B2 to vary with time. This variation in dose will average out over a time period equal to an integer number of periods. Therefore, in order to ensure that a dose of radiation delivered by the first and second branch radiation beams B1 ; B2 for a given exposure time remains constant, the exposure time should be equal to an integer number of periods of the periodic variation. In practice, it may not be possible to meet this criterion. If the exposure time is not equal to an integer number of periods of the periodic variation, then the dose of radiation delivered by the first and second branch radiation beams B ; B2 for a given exposure time will vary periodically with time. As the (non-integer) number periods of the periodic variation that occur during the exposure time increases, the ratio of the amplitude of this variation in dose to the average dose received during an exposure time decreases. Therefore, it may be desirable for the frequency of the periodic variation to be as high as possible so that a more stable dose may be achieved in a given exposure time period.
[0097] The first and second branch radiation beams Bi , B2 may be supplied to one or more of the lithographic apparatuses LA1 -LA8 of the lithographic system LS shown in Figure 1 . For such an arrangement, it may be desirable for the frequency of the periodic variation in the intensities of the first and second branch radiation beams Bi , B2 to be sufficiently high that a stable dose may be achieved in a typical exposure time of the lithographic apparatuses LA1 - LA8. This exposure time may be of the order of 1 ms and it may therefore be desirable for the frequency of the periodic variation in the intensities to be greater than 1 kHz. As explained above, it may be desirable for the frequency of the periodic variation in the intensities to be sufficiently high so that several periods of the periodic variation occur during the exposure time. For example, the frequency of the periodic variation in the intensities may be of the order of 16 kHz or above, resulting in 16 or more periods of the periodic variation during the exposure time, or of the order of 30 kHz or above, resulting in 30 or more periods of the periodic variation during the exposure time.
[0098] As is case for the embodiment of Figure 4, the reflective surface of the optical device of Figure 6A comprises a paraboloid surface, with the axis of the paraboloid coinciding with the rotation axis and substantially parallel to the incident beam. In the embodiment of Figure 6A the paraboloid surface is interrupted by the gaps between the spokes, but the radial reflective surface of each spoke comprises a truncated, substantially paraboloid surface.
[0099] As is the case with the embodiment of Figure 4, the beam Bi reflected from the paraboloid surface is divergent, but is round rather than elliptical and, due to the paraboloid shape of the surface substantially no astigmatism or coma-like errors are introduced by reflection from the surface. Thus, by appropriate selection and arrangement of the paraboloid surface astigmatism or coma-like errors can be reduced or prevented, and the need for additional corrective optics can be avoided.
[00100] Figure 7 is a schematic illustration of a movement mechanism and cooling arrangement for an optical element according to an embodiment. The movement mechanism and cooling arrangement of Figure 7 is particularly suitable for use with optical elements that are rotated at high frequencies, for example at hundreds or thousands of rotations per second, as it is able to provide for reduced distortions in the presence of significant centrifugal forces. Thus, the movement mechanism and cooling arrangement of Figure 7 may be particularly suitable for use with a beam splitting apparatus such as that of Figures 6A and 6B, which may be rotated at frequencies of hundreds of Hz, for example 200 Hz to 220Hz, or higher frequencies. However, the movement mechanism and cooling arrangement of Figure 7 can also be used to rotate optical elements at lower frequencies of rotation if so desired, and may for example be used to rotate the beam shaping optical element of Figure 5 if desired.
[00101 ] The movement mechanism is operable to rotate a body 200 of an optical element. The body 200 includes a main body portion 204 and a radial reflective surface 202. The body 200 may have a reflective surface 202 that is substantially paraboloid in shape or that may have any other suitable shape.
[00102] The body 200 also includes axial bearings 206a, 206b and a shaft 209 extending along axis 207. Each axial bearing 206a, 206b has a substantially annular-shaped face separated by a gap 208 from the main body portion 204. Flexible seals 210 are operable to seal the gaps 208, which are filled with gas.
[00103] The movement mechanism also includes bushings 214, 216. The bushings form gas bearings, which in operation suspend the shaft 209. The bearings are supplied with gas and water or other cooling fluid, for example via gas conduit 220 and fluid supply/return conduit(s) 224, with the gas acting to support and/or suspend the shaft 209 and the water or other cooling fluid acting to cool the bushing 214 and shaft. A further gas conduit and further fluid supply/return conduit(s) can be provided for the other bushing 216 also, although not shown in Figure 7. A further bushing 217 is also provided, which includes a gas supply conduit 222 that is operable to supply gas to the gaps 208 via a passage 21 1 through the shaft 209.
[00104] Heat load from the absorbed EUV radiation and viscous dissipation in the gas layers can be carried away, at least in part, by the cooling fluid through conduit 224. [00105] The body 200 is rotatable about an axis 207. In the case of the embodiment of Figure 6, the main body portion 204 and the axial bearings 206a, 206b are formed from a single piece of material. In operation, as the main body portion 204 rotates the axial bearings 206a, 206b rotate.
[00106] One of the bushings 216 is spring-suspended by spring arrangement 212 in a way that allows axial movement but not radial or rotational movement of the bushing 216, which can provide for some thermal expansion of the rotating body 200.
[00107] The shaft 209 is equipped with magnets (not shown) that are driven by stationary motor coils (not shown) to drive rotation of the shaft 209 and hence the body 200. In one embodiment the main body portion 204 has a diameter of around 50cm and is rotated at a rotation frequency of around 200 HZ, although any suitable diameters and rotation frequencies can be used in alternative embodiments. Depending on the number of reflective surfaces 202 on the body, a frequency of pulsed radiation in the range 16 KHz to 30 KHz or may be even higher may be produced using such rotation speeds.
[00108] It is a feature of the embodiment of Figure 7 that the rotating axial bearing surfaces of discs 206a, 206b are separated from the main body portion 204 that includes the reflective surface(s) 202. If the discs 206a, 206b were not present and bearings acted directly on the main body portion it may be expected that centrifugal forces might distort the axial surfaces of the rotating parts to an extent that prevents proper functioning of the gas bearings. Also, temperature gradients in radial bearings and corresponding shaft parts in that case might lead to a size mismatch. However, because of the presence of the smaller diameter bearing discs 206a, 206b deformations due to centrifugal forces occurring during rotation can be negligible.
[00109] The gaps 208 between the bearing discs 206a, 206b and the main body portion 204 are sealed by the flexible seals 210. The gaps 208 are kept under a suitable gas pressure. The gas in the gaps 208 insurers that heat can flow from the main body portion 204 into the bearing discs 206a, 206b with a relatively small temperature drop.
[00110] For example, if the gap is 0.1 mm filled with helium (thermal conductivity 0.15 W/(m.K)) at 500 Pa pressure, the heat transfer coefficient over the helium gas gap should be around 1 .5 kW/(m2K) or about 40 W/K per disc.
[00111 ] It can be important that the radiative (e.g. EUV) heat load applied at the reflective surface 202 and subsequently conducted through the body portion 202 can be removed through the axial surfaces of the bearings 206a, 206b and the opposing portions of the axial surfaces of the main body portion 204. Without the thermally conductive gas cap 208, the heat would have to be removed through the shaft 209 and the radial bearing surfaces. This could lead to a large temperature increase of the shaft 209, resulting in a thermal expansion that could cause the shaft diameter to exceed the inner diameter of one or more of the bushings 214, 216, 217.
[00112] Although helium is used as the gas filling the gap 208 in the embodiment of Figure 7, any other suitable gas could also be used. For example instead of helium, hydrogen should be used as both have similar thermal conductivities. However, hydrogen would require a higher pressure than helium due to its heat transfer properties at low pressures, and use of hydrogen would also involve additional safety issues.
[00113] In the embodiment of Figure 7, the main body portion 204, the axial bearings 206a, 206b and the shaft 209 are made of a single piece of material. In this case aluminium is used, although any suitable other material could be used in other embodiments. Figure 8 illustrates schematically an alternative embodiment in which the main body portion 204 is made of a different material to that of the axial bearings 206a, 206b and the shaft 209. Like reference numerals are used to indicate like components in Figures 8 and 9.
[00114] The shaft 209 and axial bearing discs 206a, 206b are connected to the main body portion 204 by bolts 230 and spacer plate 232. In alternative embodiments, any other suitable attachment mechanism can be used, for example the use of a single central bolt, the use of attachment devices or fixings other than bolts, and/or the omission of the spacer plate.
[00115] In the embodiment of Figure 8, the shaft 209 and axial bearing discs 206a, 206b are made of silicon carbide (SiC), which has a lower coefficient of thermal expansion, and a higher elastic modulus over density ratio, than aluminium. The main body portion 204, which carries the reflective surface 202, is made of aluminium, which is better suited for machining of complex shapes and has high tensile strength. Any other suitable combinations of materials can be used in other embodiments.
[00116] Some components of the embodiment of Figure 8, for example gas conduits and water supply/return conduits, are not shown in the figure for clarity. However, the nature, identity and structure of the various components, apart from the connection of the shaft and axial bearing discs to the main body, are the same or similar for the embodiment of Figure 8 as for the embodiment of Figure 7. Although axial bearings have been described in relation to Figures 7 and 8, radial or conical bearings may be provided in place of, or in addition to, axial bearings in alternative embodiments.
[00117] Although embodiments have been described in which paraboloid reflective surfaces are provided on, or at least partly form, radial surfaces of a rotatable optical element, such paraboloid reflective surfaces can be provided on, or at least partly form, at least one axial surface of a rotatable optical element in alternative embodiments. [00118] Whilst embodiments of a radiation source SO have been described and depicted as comprising a free electron laser FEL, it should be appreciated that a radiation source may comprise any number of free electron lasers FEL. For example, a radiation source may comprise more than one free electron laser FEL. Alternatively, the radiation source SO may not comprise a free electron laser and may, for example, comprise a laser produced plasma (LPP) or a discharge produced plasma (DPP) radiation source.
[00119] It will be understood that the heat loads and the area over which these are applied described above are by way of example only and that the invention is not limited to the above described values. For example, the radiation beam output by the radiation source may have any power, it may be incident upon the reflective optical element at any grazing incidence angle, the beam spot region may trace out any size area of the reflective surface, and the reflective surface may have any reflectivity.
[00120] Although the embodiments of the first optical element 20 described above comprise a generally disc shaped body that is arranged to rotate about a central rotation axis, movement of the reflective surface such that the beam spot region moves over the reflective surface following a periodic path may be achieved otherwise.
[00121 ] It will be appreciated that the term "axial direction" may represent a direction along, or parallel to a rotation axis. It will be appreciated that the term "radial direction" may represent a direction running through a rotation axis and perpendicular to said rotation axis. It will be appreciated that the term "tangential direction" may represent a direction perpendicular to an axial direction and a radial direction.
[00122] It will be appreciated that the term "axially facing surface" may represent a generally flat surface whose normal is generally in an axial direction. It will be appreciated that the term "radially facing surface" may represent a generally curved surface whose normal is generally in a radial direction.
[00123] It will be appreciated that "curvature of a surface in an axial direction at a given point on said surface" may mean a curvature of the curve that is formed by the intersection of said surface and a plane containing the normal vector of the surface at that point and a vector in the axial direction. This may be referred to as "an axial curvature of the surface". Similarly, it will be appreciated that "curvature of a surface in a radial direction at a given point on said surface" may mean a curvature of the curve that is formed by the intersection of said surface and a plane containing the normal vector of the surface at that point and a vector in the radial direction. This may be referred to as "a radial curvature of the surface". [00124] Although the described embodiments of a lithographic system LS comprise eight lithographic apparatuses LA1 -LA8, a lithographic system LS may comprise any number of lithographic apparatus. The number of lithographic apparatus which form a lithographic system LS may, for example, depend on the amount of radiation which is output from a radiation source SO and on the amount of radiation which is lost in a beam delivery system 19. The number of lithographic apparatus which form a lithographic system LS may additionally or alternatively depend on the layout of a lithographic system LS and/or the layout of a plurality of lithographic systems LS.
[00125] Embodiments of a lithographic system LS may also include one or more mask inspection apparatus MIA and/or one or more Aerial Inspection Measurement Systems (AIMS). In some embodiments, the lithographic system LS may comprise two mask inspection apparatuses to allow for some redundancy. This may allow one mask inspection apparatus to be used when the other mask inspection apparatus is being repaired or undergoing maintenance. Thus, one mask inspection apparatus is always available for use. A mask inspection apparatus may use a lower power radiation beam than a lithographic apparatus. Further, it will be appreciated that radiation generated using a free electron laser FEL of the type described herein may be used for applications other than lithography or lithography related applications.
[00126] The term "relativistic electrons" should be interpreted to mean electrons which have relativistic energies. An electron may be considered to have a relativistic energy when its kinetic energy is comparable to or greater than its rest mass energy (51 1 keV in natural units). In practice a particle accelerator which forms part of a free electron laser may accelerate electrons to energies which are much greater than its rest mass energy. For example a particle accelerator may accelerate electrons to energies of >10 MeV, >100 MeV, >1 GeV or more.
[00127] Embodiments of the invention have been described in the context of a free electron laser FEL which outputs an EUV radiation beam. However a free electron laser FEL may be configured to output radiation having any wavelength. Some embodiments of the invention may therefore comprise a free electron which outputs a radiation beam which is not an EUV radiation beam.
[00128] It will be appreciated that the term "grazing incidence angle" refers to the angle between the propagation direction of an incident radiation beam and a reflective surface that it is incident upon. This angle is complementary to the angle of incidence, i.e. the sum of the grazing incidence angle and the angle of incidence is a right angle. [00129] The term "EUV radiation" may be considered to encompass electromagnetic radiation having a wavelength within the range of 4-20 nm, for example within the range of 13- 14 nm. EUV radiation may have a wavelength of less than 10 nm, for example within the range of 5-10 nm such as 6.7 nm or 6.8 nm.
[00130] The lithographic apparatuses LA1 to LA8 may be used in the manufacture of ICs. Alternatively, the lithographic apparatuses LA1 to LA8 described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat-panel displays, liquid- crystal displays (LCDs), thin-film magnetic heads, etc.
[00131 ] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The descriptions above are intended to be illustrative, not limiting. Thus it will be apparent to one skilled in the art that modifications may be made to the invention as described without departing from the scope of the clauses set out below. Other aspects of the invention are set-out as in the following numbered clauses.
1 . An optical element, comprising:
a body; and
at least one reflective surface provided on the body for receiving a radiation beam so as to form a beam spot region and a reflected radiation beam, wherein
the body is configured to be moved by a movement mechanism such that the beam spot region and the reflective surface move periodically relative to each other; and
the at least one reflective surface comprises an at least partially paraboloid surface.
2. An optical element according to Clause 1 , wherein the paraboloid surface comprises a truncated paraboloid surface.
3. An optical element according to Clause 1 or 2, the paraboloid surface has an associated axis and at least one of:- the axis of the paraboloid surface is substantially aligned with an axis of rotation of the body;
the axis of the paraboloid surface is substantially parallel to the received radiation beam in operation
the reflected beam has a focal point that is coincident with the axis of the paraboloid surface.
4. An optical element according to any preceding clause, wherein the at least partially paraboloid surface is shaped to reduce or prevent astigmatism and/or a coma-like aberration. 5. An optical element according to any preceding clause, wherein the movement mechanism is operable to move the body such that the beam spot region moves over the at least one reflective surface following a periodic path and a direction of the reflected radiation beam remains substantially constant.
6. An optical element according to any preceding clause, wherein the movement mechanism is operable to rotate the body about a rotation axis.
7. An optical element according to any preceding clause, wherein the body is generally disc-shaped.
8. An optical element according to any preceding clause, wherein the at least one reflective surface is disposed on a radially facing surface of the body.
9. An optical element according to any preceding clause, comprising a plurality of reflective surfaces, wherein each of the reflective surfaces comprises a respective at least partially paraboloid surface.
10. An optical element according to Clause 9, wherein each of the plurality of reflective surfaces comprises a surface of a generally radially extending spoke.
1 1 . An optical element according to Clause 9 or 10, wherein the reflective surfaces are arranged such that a first portion of the radiation beam is incident on, and reflected by, the reflective surfaces so as to form a first branch radiation beam and a second portion of the radiation beam passes through one or more gaps between the reflective surfaces so as to form a second branch radiation beam.
12. An optical element according to any preceding clause, wherein the body comprises a main body portion that includes the at least one reflective surface; and
the optical element comprises at least one axial bearing having a face separated by a gap from the main body portion and configured to rotate with the main body portion.
13. An optical element according to Clause 12, wherein the at least one axial bearing forms part of the body and/or is fixed to the main body portion.
14. An optical element according to Clause 12 or 13, wherein the at least one axial bearing has a smaller diameter than the main body portion.
15. An optical element according to any of Clauses 12 to 14, wherein the gap is at least partially filled with gas.
16. An optical element according to any of Clauses 12 to 15, wherein the gap is at least partially sealed.
17. An optical element according to any of Clauses 12 to 16, wherein the at least one bearing is formed of different material than the main body portion. 18. An optical element according to any of Clauses 12 to 17, wherein the at least one bearing comprises silicon carbide.
19. An optical element according to any of Clauses 12 to 18, configured so that in operation heat received at the at least one reflective surface from the radiation beam is conducted through at least part of the main body portion and then passed from the main body portion to the bearing face.
20. An optical element according to any of Clauses 12 to 19, wherein the at least one bearing comprises a gas bearing and/or a floating bearing.
21 . An optical element according to any of Clauses 12 to 20, wherein the at least one axial bearing comprises a disc.
22. An optical element, comprising:
a body; and
at least one reflective surface provided on the body for receiving a radiation beam so as to form a beam spot region and a reflected radiation beam, wherein
the body is moveable by a movement mechanism such that the beam spot region moves over the reflective surface;
the body comprises a main body portion that includes the at least one reflective surface; and
the optical element comprises at least one bearing having a face separated by a gap from the main body portion and configured to rotate with the main body portion.
23. An optical device comprising an optical element according to any of Clauses 1 to 22 and a movement mechanism arranged to operate such that the beam spot region moves over the reflective surface.
24. A radiation system, comprising:
a radiation source operable to produce a radiation beam; and
an optical element according to any preceding clause arranged so that the radiation beam is incident upon the beam spot region of the reflective surface.
25. A radiation system according to Clause 24, wherein the radiation source comprises a free electron laser.
26. A method of reflecting a radiation beam comprising:
arranging an optical element to receive a radiation beam on at least one reflective surface provided on a body of the optical element, thereby to form a beam spot region and a reflected radiation beam; and moving the optical element such that the beam spot region periodically moves relative to the at least one reflective surface, wherein
the at least one reflective surface comprises an at least partially paraboloid surface.

Claims

CLAIMS:
1 . An optical element, comprising:
a body; and
at least one reflective surface provided on the body for receiving a radiation beam so as to form a beam spot region and a reflected radiation beam, wherein
the body is generally disc-shaped and is configured to be moved by a movement mechanism such that the beam spot region and the reflective surface move periodically relative to each other; and
the at least one reflective surface comprises an at least partially paraboloid surface.
2. An optical element according to Claim 1 , wherein the at least partially paraboloid surface is shaped to reduce or prevent astigmatism and/or a coma-like aberration.
3. An optical element according to Claim 1 or 2, wherein the at least one reflective surface is disposed on a radially facing surface of the body.
4. An optical element according to any preceding claim, comprising a plurality of reflective surfaces, wherein each of the reflective surfaces comprises a respective at least partially paraboloid surface and wherein each of the plurality of reflective surfaces comprises a surface of a generally radially extending spoke.
5. An optical element according to Claim 4, wherein the reflective surfaces are arranged such that a first portion of the radiation beam is incident on, and reflected by, the reflective surfaces so as to form a first branch radiation beam and a second portion of the radiation beam passes through one or more gaps between the reflective surfaces so as to form a second branch radiation beam.
6. An optical element according to any preceding claim, wherein the body comprises a main body portion that includes the at least one reflective surface; and
the optical element comprises at least one axial bearing having a face separated by a gap from the main body portion and configured to rotate with the main body portion.
7. An optical element according to Claim 6, wherein the at least one axial bearing forms part of the body and/or is fixed to the main body portion.
8. An optical element according to Claim 6 or 7, wherein the at least one bearing comprises silicon carbide.
9. An optical element according to any of Claims 6 to 8, configured so that in operation heat received at the at least one reflective surface from the radiation beam is conducted through at least part of the main body portion and then passed from the main body portion to the bearing face.
10. An optical element according to any of Claims 6 to 9, wherein the at least one bearing comprises a gas bearing and/or a floating bearing.
1 1 . An optical element, comprising:
a body; and
at least one reflective surface provided on the body for receiving a radiation beam so as to form a beam spot region and a reflected radiation beam, wherein
the body is moveable by a movement mechanism such that the beam spot region moves over the reflective surface;
the body comprises a main body portion that includes the at least one reflective surface; and
the optical element comprises at least one bearing having a face separated by a gap from the main body portion and configured to rotate with the main body portion.
12. An optical device comprising an optical element according to any of Claims 1 to 1 1 and a movement mechanism arranged to operate such that the beam spot region moves over the reflective surface.
13. A radiation system, comprising:
a radiation source operable to produce a radiation beam; and
an optical element according to any preceding claim arranged so that the radiation beam is incident upon the beam spot region of the reflective surface.
14. A radiation system according to Claim 13, wherein the radiation source comprises a free electron laser.
15. A method of reflecting a radiation beam comprising:
arranging an optical element to receive a radiation beam on at least one reflective surface provided on a generally disc-shaped body of the optical element, thereby to form a beam spot region and a reflected radiation beam; and
moving the optical element such that the beam spot region periodically moves relative to the at least one reflective surface, wherein
the at least one reflective surface comprises an at least partially paraboloid surface.
PCT/EP2016/054764 2015-04-03 2016-03-07 Reflective optical element WO2016155979A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP15248018 2015-04-03
EP15248018.2 2015-04-03

Publications (1)

Publication Number Publication Date
WO2016155979A1 true WO2016155979A1 (en) 2016-10-06

Family

ID=52814028

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2016/054764 WO2016155979A1 (en) 2015-04-03 2016-03-07 Reflective optical element

Country Status (2)

Country Link
NL (1) NL2016370A (en)
WO (1) WO2016155979A1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5604782A (en) * 1994-05-11 1997-02-18 The Regents Of The University Of Colorado Spherical mirror grazing incidence x-ray optics
US7229178B1 (en) * 2004-12-20 2007-06-12 Sandia Corporation Variable focal length deformable mirror
WO2015044182A2 (en) * 2013-09-25 2015-04-02 Asml Netherlands B.V. Beam delivery apparatus and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5604782A (en) * 1994-05-11 1997-02-18 The Regents Of The University Of Colorado Spherical mirror grazing incidence x-ray optics
US7229178B1 (en) * 2004-12-20 2007-06-12 Sandia Corporation Variable focal length deformable mirror
WO2015044182A2 (en) * 2013-09-25 2015-04-02 Asml Netherlands B.V. Beam delivery apparatus and method

Also Published As

Publication number Publication date
NL2016370A (en) 2016-10-10

Similar Documents

Publication Publication Date Title
KR102275466B1 (en) Beam delivery apparatus and method
US10216101B2 (en) Reflector
US20200152345A1 (en) Radiation system
CN107003447B (en) Radiation beam apparatus
NL2013663A (en) Free electron laser.
WO2016155979A1 (en) Reflective optical element
WO2016150612A2 (en) Radiation beam expander
WO2015135912A2 (en) Radiation source
US10736205B2 (en) Electron beam transport system
NL2017695A (en) Free electron laser
NL2017475A (en) Electron Source
NL2017579A (en) Optical Element
WO2017076696A1 (en) Electron beam chopper
WO2017076695A1 (en) Optical system
EP2896995A1 (en) A radiation source
WO2016096239A1 (en) An undulator

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16709002

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 16709002

Country of ref document: EP

Kind code of ref document: A1