WO2016103092A1 - Run-time code parallelization with continuous monitoring of repetitive instruction sequences - Google Patents

Run-time code parallelization with continuous monitoring of repetitive instruction sequences Download PDF

Info

Publication number
WO2016103092A1
WO2016103092A1 PCT/IB2015/059470 IB2015059470W WO2016103092A1 WO 2016103092 A1 WO2016103092 A1 WO 2016103092A1 IB 2015059470 W IB2015059470 W IB 2015059470W WO 2016103092 A1 WO2016103092 A1 WO 2016103092A1
Authority
WO
WIPO (PCT)
Prior art keywords
instructions
monitoring
registers
processor
monitored
Prior art date
Application number
PCT/IB2015/059470
Other languages
French (fr)
Inventor
Noam Mizrahi
Alberto Mandler
Shay Koren
Jonathan Friedmann
Original Assignee
Centipede Semi Ltd.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/578,516 external-priority patent/US9348595B1/en
Application filed by Centipede Semi Ltd. filed Critical Centipede Semi Ltd.
Priority to CN201580063897.5A priority Critical patent/CN107003859A/en
Priority to EP15872056.5A priority patent/EP3238040A4/en
Publication of WO2016103092A1 publication Critical patent/WO2016103092A1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3851Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution from multiple instruction streams, e.g. multistreaming
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/30Monitoring
    • G06F11/34Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation ; Recording or statistical evaluation of user activity, e.g. usability assessment
    • G06F11/3466Performance evaluation by tracing or monitoring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/36Preventing errors by testing or debugging software
    • G06F11/362Software debugging
    • G06F11/3644Software debugging by instrumenting at runtime
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3808Instruction prefetching for instruction reuse, e.g. trace cache, branch target cache
    • G06F9/381Loop buffering
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline or look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3838Dependency mechanisms, e.g. register scoreboarding

Definitions

  • the present invention relates generally to processor design, and particularly to methods and systems for run-time code parallelization.
  • DSMT Dynamic Simultaneous Multithreading
  • An embodiment of the present invention that is described herein provides a method including, in a processor that executes instructions of program code, monitoring instructions of a repetitive sequence of the instructions that traverses a flow-control trace so as to construct a specification of register access by the monitored instructions. Based on the specification, multiple hardware threads are invoked to execute respective segments of the repetitive instruction sequence at least partially in parallel. Monitoring of the instructions continues in at least one of the segments during execution.
  • continuing to monitor the instructions includes, in response to detecting in a given segment a change to a different flow-control trace, creating and constructing a different specification for the different flow-control trace, by monitoring the instructions along the different flow-control trace.
  • the method may include, after monitoring the different flow-control trace, saving the different specification or the different flow control trace.
  • the repetitive sequence includes a loop or a function.
  • continuing to monitor the instructions includes continuing to monitor all the segments.
  • continuing to monitor the instructions may include continuing to monitor at least a subset of the segments that follow the flow-control trace.
  • continuing to monitor the instructions may include selecting a partial subset of the segments, and continuing to monitor the segments in the selected subset. Selecting the subset may include selecting for continued monitoring every N th segment being created, selecting the segments for continued monitoring in accordance with a predefined periodic pattern, and/or selecting the segments for continued monitoring at random.
  • the method includes terminating monitoring of the instructions in a given segment a given number of cycles, instructions or micro-ops after aborting of the repetitive sequence.
  • the given number for the given segment is set based on the given number that was set for a different segment having a different control-flow trace.
  • a processor including an execution pipeline and a monitoring unit.
  • the execution pipeline is configured to execute instructions of program code.
  • the monitoring unit is configured to monitor the instructions of an identified repetitive sequence of the instructions that traverses a flow-control trace so as to construct a specification of register access by the monitored instructions, to invoke, based on the specification, multiple hardware threads in the execution pipeline to execute respective segments of the repetitive instruction sequence at least partially in parallel and to continue to monitor the instructions in at least one of the segments during execution.
  • a method including, in a processor that executes instructions of program code, monitoring instructions of a repetitive sequence of the instructions so as to construct a specification of register access by the monitored instructions.
  • a termination criterion is evaluated based on the monitored instructions. If the termination criterion is met, monitoring of the instructions is terminated. If the monitoring of the instructions ends without meeting the termination criterion, execution of multiple segments of the repetitive instruction sequence is parallelized based on the specification.
  • the termination criterion depends on a position of a last write to a register, a number of registers that were written to, a count of instructions or micro-ops, a count of execution cycles and/or a number of branch instructions exceeds a threshold. Additionally or alternatively, the termination criterion may depends on the monitoring reaching a location in the program code that was monitored previously, the monitoring reaching a location in the program code that is identified as repetitive, a branch mis-prediction occurring during or preceding the monitoring, and/or on a classification of one or more flags of the processor as global or global-local.
  • the specification is uniquely associated with a flow-control trace traversed by the monitored instructions. In another embodiment, the specification is associated with two or more flow-control traces traversed by the monitored instructions.
  • monitoring of the instructions is performed immediately following decoding of the instructions in an execution pipeline of the processor. In another embodiment, monitoring of the instructions is performed before execution of the instructions in an execution pipeline of the processor, including monitoring speculative instructions that will be subsequently flushed. In some embodiments, the method includes retaining respective names of the registers throughout the monitoring.
  • a method including, in a processor that executes instructions of program code, monitoring a repetitive sequence of the instructions and classifying registers accessed by the monitored instructions depending on a respective order in which each register is used as an operand or as a destination by the instructions. Execution of multiple segments of the repetitive sequence is parallelized based on classification of the registers.
  • classifying the registers includes classifying at least some of the registers as one of: a local register whose first occurrence in the monitored sequence is as a destination; a global register that is used in the monitored sequence only as an operand; and a global-local register whose first occurrence in the monitored sequence is as an operand, and is subsequently used in the monitored sequence as a destination.
  • classifying the registers includes classifying a given register as global-local if the given register first appears in the monitored sequence as a destination in a conditional instruction. In an embodiment, classifying the registers includes classifying a given register as global-local if the given register first appears in the monitored sequence as a destination in a conditional instruction, and otherwise classifying the given register as local provided that a condition of the conditional instruction is met.
  • classifying the registers includes classifying a given register as global-local if the given register first appears in the monitored sequence as both a destination and an operand in the same instruction.
  • classifying the registers further includes identifying, for at least a subset of the registers, respective locations in the monitored sequence of last write operations to the registers.
  • identifying the locations of the last write operations includes counting the writes to at least a subset of the registers.
  • identifying the locations of the last write operations may include recording addresses of the last write operations.
  • identification of the locations of the last write operations is performed for one or more flags of the processor in addition to the registers.
  • the subset of the registers includes at least the registers classified as local. In yet another embodiment, the subset of the registers includes at least the registers classified as global -local.
  • identification of the locations of the last write operations includes conditional write operations to the respective registers.
  • classification depending on the order of use as operand or destination, is performed for one or more flags of the processor in addition to the registers.
  • a processor including an execution pipeline and a monitoring unit.
  • the execution pipeline is configured to execute instructions of program code.
  • the monitoring unit is configured to monitor the instructions in a repetitive sequence of the instructions so as to construct a specification of register access by the monitored instructions, to evaluate a termination criterion based on the monitored instructions, to terminate monitoring of the instructions if the termination criterion is met, and, if the monitoring of the instructions ends without meeting the termination criterion, to parallelize execution of multiple segments of the repetitive instruction sequence based on the specification.
  • a processor including an execution pipeline and a monitoring unit.
  • the execution pipeline is configured to execute instructions of program code.
  • the monitoring unit is configured to monitor a repetitive sequence of the instructions, to classify registers accessed by the monitored instructions depending on a respective order in which each register is used as an operand or as a destination by the instructions, and to parallelize execution of multiple segments of the repetitive sequence based on classification of the registers.
  • Fig. 1 is a block diagram that schematically illustrates a processor that performs runtime code parallelization, in accordance with an embodiment of the present invention
  • Fig. 2 is a diagram that schematically illustrates run-time parallelization of a program loop, in accordance with an embodiment of the present invention
  • Fig. 3 is a diagram that schematically illustrates a program loop having multiple traces and respective scoreboards, in accordance with an embodiment of the present invention.
  • Fig. 4 is a flow chart that schematically illustrates a method for continuous monitoring of repetitive instruction sequences, in accordance with an embodiment of the present invention.
  • Embodiments of the present invention that are described herein provide improved methods and devices for run-time parallelization of code in a processor.
  • the processor identifies a repetitive sequence of instructions, and creates and executes multiple parallel code sequences referred to as segments, which carry out different occurrences of the sequence.
  • the segments are scheduled for parallel execution by multiple hardware threads.
  • the repetitive sequence may comprise a loop, in which case the segments comprise multiple loop iterations, parts of an iteration or the continuation of a loop.
  • the repetitive sequence may comprise a function, in which case the segments comprise multiple function calls, parts of a function or function continuation.
  • the parallelization is carried out at run-time, on pre-compiled code.
  • the term "repetitive sequence" generally referred to any instruction sequence that is revisited and executed multiple times.
  • the processor upon identifying a repetitive sequence, monitors the instructions in the sequence and constructs a "scoreboard" - a specification of access to registers by the monitored instructions.
  • the scoreboard is associated with the specific flow- control trace traversed by the monitored sequence.
  • the processor decides how and when to create and execute the multiple segments based on the information collected in the scoreboard and the trace.
  • the scoreboard comprises a classification of the registers accessed by the monitored instructions.
  • the classification of a register depends on the order in which the register is used as an operand or as a destination in the monitored instructions.
  • micro-ops although distinct from instructions, are monitored in a similar manner to monitoring of instructions, as well.
  • the monitoring is performed and the scoreboard is produced with micro-op granularity rather than instruction granularity.
  • the classification may distinguish, for example, between local (L) registers whose first occurrence is as a destination, global (G) registers that are used only as operands, and global- local (GL) registers whose first occurrence is as operands and are subsequently used as destinations.
  • the scoreboard may indicate, for at least some of the registers, the location in the monitored sequence of the last write operation to the register. This indication may comprise, for example, a count of the number of write operations to the register.
  • the processor continues to monitor the instructions in one or more of the segments during execution. Such continued monitoring enables the processor to react quickly and efficiently to changes in the flow-control trace that may occur in the monitored segments, e.g., as a result of data-dependent conditional branch instructions.
  • selection criteria which the processor may use for selecting segments for continued monitoring, are described herein.
  • the processor terminates and aborts monitoring of a certain segment before the segment ends.
  • Various termination criteria that can be used by the processor are described herein. Additional disclosed techniques maintain multiple simultaneous scoreboards for multiple respective flow-control traces, and alternate between them as appropriate.
  • Fig. 1 is a block diagram that schematically illustrates a processor 20, in accordance with an embodiment of the present invention.
  • Processor 20 runs pre-compiled software code, while parallelizing the code execution. Parallelization decisions are performed by the processor at run-time, by analyzing the program instructions as they are fetched from memory and decoded.
  • processor 20 comprises an execution pipeline that comprises one or more fetching units 24, one or more decoding units 28, an Out-of-Order (OOO) buffer 32, and execution units 36.
  • Fetching units 24 fetch program instructions from a multi-level instruction cache memory, which in the present example comprises a Level-1 (LI) instruction cache 40 and a Level-2 (L2) instruction cache 44.
  • LI Level-1
  • L2 Level-2
  • a branch prediction unit 48 predicts the flow-control traces (referred to herein as "traces" for brevity) that are expected to be traversed by the program during execution. The predictions are typically based on the addresses or Program-Counter (PC) values of previous instructions fetched by fetching units 24. Based on the predictions, branch prediction unit 48 instructs fetching units 24 which new instructions are to be fetched. The flow-control predictions of unit 48 also affect the parallelization of code execution, as will be explained below.
  • PC Program-Counter
  • Instructions decoded by decoding units 28 are stored in OOO buffer 32, for out-of- order execution by execution units 36, i.e., not in the order in which they have been compiled and stored in memory. Alternatively, the buffered instructions may be executed in-order. The buffered instructions are then issued for execution by the various execution units 36.
  • execution units 36 comprise one or more Multiply-Accumulate (MAC) units, one or more Arithmetic Logic Units (ALU) and one or more Load/Store units. Additionally or alternatively, execution units 36 may comprise other suitable types of execution units, for example Floating-Point Units (FPU).
  • L2 data cache memory 56 and L2 instruction cache memory 44 are implemented as separate memory areas in the same physical memory, or simply share the same memory without fixed pre-allocation.
  • processor 20 further comprises a thread monitoring and execution unit 60 that is responsible for run-time code parallelization.
  • the functions of unit 60 are explained in detail below.
  • processor 20 shown in Fig. 1 is an example configuration that is chosen purely for the sake of conceptual clarity. In alternative embodiments, any other suitable processor configuration can be used.
  • multithreading is implemented using multiple fetch units 24 and multiple decoding units 28. Each hardware thread may comprise a fetch unit assigned to fetch instructions for the thread and a decoding unit assigned to decode the fetched instructions.
  • multi- threading may be implemented in many other ways, such as using multiple OOO buffers, separate execution units per thread and/or separate register files per thread. In another embodiment, different threads may comprise different respective processing cores.
  • the processor may be implemented without cache or with a different cache structure, without branch prediction or with a separate branch prediction per thread.
  • the processor may comprise additional elements such as reorder buffer (ROB), register renaming, to name just a few.
  • ROB reorder buffer
  • the disclosed techniques can be carried out with processors having any other suitable micro-architecture.
  • Processor 20 can be implemented using any suitable hardware, such as using one or more Application-Specific Integrated Circuits (ASICs), Field-Programmable Gate Arrays (FPGAs) or other device types. Additionally or alternatively, certain elements of processor 20 can be implemented using software, or using a combination of hardware and software elements.
  • the instruction and data cache memories can be implemented using any suitable type of memory, such as Random Access Memory (RAM).
  • Processor 20 may be programmed in software to carry out the functions described herein.
  • the software may be downloaded to the processor in electronic form, over a network, for example, or it may, alternatively or additionally, be provided and/or stored on non- transitory tangible media, such as magnetic, optical, or electronic memory.
  • unit 60 in processor 20 identifies repetitive instruction sequences and parallelizes their execution.
  • Repetitive instruction sequences may comprise, for example, respective iterations of a program loop, respective occurrences of a function or procedure, or any other suitable sequence of instructions that is revisited and executed multiple times.
  • the term "repetitive instruction sequence” refers to an instruction sequence whose flow-control trace (e.g., sequence of PC values) has been executed in the past at least once.
  • Data values e.g., register values
  • processor 20 parallelizes a repetitive instruction sequence by invoking and executing multiple code segments in parallel or semi-parallel using multiple hardware threads.
  • Each thread executes a respective code segment, e.g., a respective iteration of a loop, multiple (not necessarily successive) loop iterations, part of a loop iteration, continuation of a loop, a function or part or continuation thereof, or any other suitable type of segment.
  • each thread comprises a respective fetch unit 24 and a respective decoding unit 28 that have been assigned by unit 60 to perform one or more segments.
  • Fig. 2 is a diagram that demonstrates run-time parallelization of a program loop, in accordance with an example embodiment of the present invention.
  • the top of the figure shows an example program loop (reproduced from the bzip benchmark of the SPECint test suite) and the dependencies between instructions. Some dependencies are between instructions in the same loop iteration, while others are between an instruction in a given loop iteration and an instruction in a previous iteration.
  • the table spans a total of eleven cycles, and lists which instructions of which threads are executed during each cycle. Each instruction is represented by its iteration number and the instruction number within the iteration. For example, "14" stands for the 4 instruction of the 1 loop iteration. In this example instructions 5 and 7 are neglected and perfect branch prediction is assumed.
  • thread TH2 cannot execute instructions 21 and 22 (the first two instructions in the second loop iteration) until cycle 1, because instruction 21 (the first instruction in the second iteration) depends on instruction 13 (the third instruction of the first iteration). Similar dependencies exist across the table. Overall, this parallelization scheme is able to execute two loop iterations in six cycles, or one iteration every three cycles.
  • unit 60 decides how to parallelize the code by monitoring the instructions in the processor pipeline. In response to identifying a repetitive instruction sequence, unit 60 starts monitoring the sequence as it is fetched, decoded and executed by the processor.
  • unit 60 may be distributed among the multiple hardware threads, such that a given thread can be viewed as monitoring its instructions during execution. Nevertheless, for the sake of clarity, the description that follows assumes that monitoring functions are carried out by unit 60.
  • unit 60 As part of the monitoring process, unit 60 generates the flow-control trace traversed by the monitored instructions, and a monitoring table that is referred to herein as a scoreboard.
  • the scoreboard comprises a respective entry for each register that appears in the monitored sequence.
  • unit 60 classifies each register as Global (G), Local (L) or Global-Local (GL), and indicates the classification in the corresponding entry in the scoreboard.
  • G, L or GL depends on the order in which the register is used as an operand (whose value is read) and/or as a destination (to which a value is written) in the monitored sequence.
  • a local (L) register is defined as a register whose first occurrence in the monitored sequence is as a destination (subsequent occurrences, if any, may be as operand and/or destination).
  • a Global (G) register is defined as a register that is used in the monitored sequence only as an operand, i.e., the register is read but never written to.
  • a global-local (GL) register is defined as a register whose first occurrence in the monitored sequence is as an operand, and is later used in the monitored sequence as a destination. The first and subsequent occurrences may occur in different instructions or in the same instruction, as long as the order between "first" and "subsequent" is preserved.
  • an exception to the above classification concerns a conditional instruction that uses a register as a destination. If such an instruction is the first occurrence of the register in the monitored instructions, then the register is classified as GL. Otherwise, the register is classified as local (L) as per the above rules. For example, register r2 in the instruction "mov cond r2,#5" would be classified as GL if this instruction is the first write to r2 in the monitored instructions, and classified as L otherwise. In an alternative embodiment, if such an instruction is the first occurrence of the register in the monitored instructions, then the register is classified as GL. Otherwise, the register is classified as local only if the condition of the instruction is met. If not, the register is not classified.
  • unit 60 uses superset classification, i.e., merges together two or more of the classes defined above. In such an embodiment, even if a given register is only local in a given segment, unit 60 still classifies it as GL for simplifying control.
  • An alternative way of defining the classification of registers as G, L or GL is classifying a register depending on where the dependencies of the register are generated and used relative to the currently-monitored segment: An operand that is generated outside the currently-monitored segment is classified as Global (G) or Global-Local (GL). An operand that is generated inside the currently-monitored segment is classified as Local (L).
  • unit 60 finds and indicates in the scoreboard, for at least some of the registers, the location of the last write to the register in the monitored sequence. This indication is used by unit 60 during execution for deciding when to issue instructions in subsequent segments that depend on this last write.
  • the rationale behind this mechanism is that an instruction in segment X that depends on the value of a register in a previous segment Y can be issued only after the last write to that register in the execution of segment Y.
  • the last-write indication is implemented by counting the number of times that the register was written to in the monitored sequence.
  • Unit 60 determines this count (denoted #WRITES) and indicates the #WRITES value in the entry of the register in the scoreboard.
  • unit 60 when executing segment Y, unit 60 counts the number of writes to the register in question. When the count reaches the #WRITES value indicated in the scoreboard, unit 60 concludes that the last write was encountered, and it is therefore permitted to issue for execution instructions in segment X which depend on the register in question.
  • unit 60 refrains from renaming registers, i.e., retains the register names across the different iterations of the repetitive sequence, in order to facilitate counting of #WRITES. In other words, unit 60 maintains alignment of the register renaming map between segments and threads.
  • unit 60 may find and indicate in the scoreboard the location of the last write to a register in any other suitable way, for example by recoding in the scoreboard the address of the last write operation to the register.
  • unit 60 does not necessarily need to count #WRITES for every register.
  • unit 60 may count #WRITES for the registers classified as GL, for the registers classified as L, or both.
  • unit 60 includes in the count of #WRITES conditional write instructions, regardless of whether the condition is met or not. In other embodiments, unit 60 includes a conditional write instruction in the count of #WRITES only when the condition is met and the write is actually performed.
  • processor 20 maintains one or more flags that are used in conditional instructions.
  • flags include a zero flag ("true” if the result of the most recent arithmetic operation was zero, “false” otherwise), a negative flag ("true” if the result of the most recent arithmetic operation was negative, “false” otherwise), a carry flag ("true” if the most recent addition operation produced a carry, "false” otherwise), an overflow flag (“true” if the most recent addition operation caused an overflow, "false” otherwise), or any other suitable flag.
  • the flags are implemented as respective bits in a dedicated flags register. The flags are updated by various instructions or micro-ops.
  • unit 60 monitors the flags and includes them in the scoreboard in a similar manner to monitoring of registers. For example, unit 60 may classify the flags as G, L or GL as explained above. Additionally or alternatively, unit 60 may count and record the position of the last write to each flag in the monitored sequence (e.g., by counting and recording #WRITES for the flags). In some embodiments, unit 60 does not always necessarily monitor an entire segment from start to end. In an example embodiment, unit 60 may monitor (e.g., count writes and/or classify registers) from a certain middle point in a segment, and updates an existing scoreboard. CONTINUOUS MONITORING OF MULTIPLE TRACES
  • unit 60 continues to monitor the instructions in one or more of the threads during their execution. In other words, the monitoring process does not end once the repetitive instruction sequence has been identified and monitored. Unit 60 continues the monitoring and scoreboard construction process, for at least some of the threads, during execution. As noted above, the functionality of unit 60 may be distributed among the threads, such that each thread (or at least a subset of the threads) monitors the instructions it executes.
  • Continuous monitoring of segments during execution is important, for example, for efficient handling of scenarios in which the program execution switches from one flow-control trace to another at run-time.
  • the program alternates between two or more repetitive instruction sequences having different traces.
  • unit 60 handles such scenarios by creating and maintaining multiple different scoreboards in parallel, a respective scoreboard for each trace.
  • Fig. 3 is a diagram that schematically illustrates a program loop having multiple traces and respective scoreboards, in accordance with an embodiment of the present invention.
  • the left-hand-side of the figure illustrates a section of code having nine instructions.
  • a program loop starts at instruction 2 and loops back at instruction 9.
  • instruction 4 is a conditional branch instruction that jumps to instruction 6 and skips instruction 5.
  • some segments will follow a trace denoted 70A (branch not taken) and other threads will follow a trace denoted 70B (branch taken).
  • unit 60 monitors at least some of the segments during their execution. When detecting that a monitored segment begins to follow a previously-unknown trace, unit 60 creates a separate scoreboard for the new trace and records register classification and #WRITES as explained above. In the present example, unit 60 creates and maintains a scoreboard 74 A for trace 70 A, and a scoreboard 74B for trace 70B.
  • unit 60 By maintaining multiple scoreboards, unit 60 is able to react quickly to trace changes. As long as a segment follows a previously-monitored trace, unit 60 already has a valid scoreboard for this trace. As a result, unit 60 is able to invoke new segments immediately using the available scoreboard. Without this mechanism, invocation of new segments would be delayed until the scoreboard for the new trace is constructed (meaning that efficiency is degraded and that the processor assumes, perhaps erroneously, that the trace it is monitoring is new).
  • the multiple-trace scenario of Fig. 3 is a simple example scenario that is depicted in order to demonstrate the mechanisms of continuous monitoring and multiple scoreboards.
  • the disclosed technique can be used in any other suitable type of scenario in which execution alternates between multiple flow-control traces.
  • Fig. 4 is a flow chart that schematically illustrates a method for continuous monitoring of repetitive instruction sequences, in accordance with an embodiment of the present invention.
  • the figure illustrates combined execution and monitoring in a given thread.
  • Unit 60 typically performs this process for any sequence that is selected for monitoring, not necessarily for every segment being executed.
  • the method begins with unit 60 providing a given trace and a corresponding scoreboard to a given hardware thread, at an initiation step 80.
  • the thread in question executes the segment and in parallel performs monitoring, at an execution & monitoring step 84.
  • the thread generates a scoreboard for the trace it follows.
  • unit 60 After completing execution of the segment, unit 60 checks whether the trace is new, at a checking step 88. In other words, unit 60 checks whether a scoreboard already exists for this trace. If the trace is new, unit 60 records the scoreboard constructed for this trace, at a recording step 92. This scoreboard will be provided to subsequent threads that follow the same trace. Otherwise, i.e., if a scoreboard already exists, the method ends at an end step 96.
  • a scoreboard is uniquely associated with a single flow-control trace. In other embodiments, a given scoreboard may be associated with two or more traces.
  • unit 60 monitors every segment during execution, for example using the method of Fig. 4. In alternative embodiments, unit 60 may choose to monitor only a subset of the segments. By controlling the number and identities of the segments chosen for monitoring, it is possible to set different trade-offs between computational overhead and parallelization performance.
  • Unit 60 may use various criteria or logic for selecting which segments to monitor. For example, unit 60 may choose segments for monitoring periodically, e.g., every N th segment being invoked (for some selected constant N). In another embodiment, unit 60 may choose to monitor segments in accordance with a predefined deterministic pattern, e.g., segments 2, 3, 5, 12, 13, 15, 22, 23, 25, ... As another example, unit 60 may choose segments for monitoring at random, e.g., skip a random number of segments, choose a segment for monitoring, skip another random number of segments, choose a segment for monitoring, and so on.
  • unit 60 may choose segments for monitoring periodically, e.g., every N th segment being invoked (for some selected constant N). In another embodiment, unit 60 may choose to monitor segments in accordance with a predefined deterministic pattern, e.g., segments 2, 3, 5, 12, 13, 15, 22, 23, 25, ... As another example, unit 60 may choose segments for monitoring at random, e.g., skip a random number of segments, choose a segment for monitoring, skip another
  • unit 60 may choose a segment for monitoring in response to some predefined event that occurs during execution of the segment. Since different threads may follow different flow-control traces, unit 60 may choose to monitor segments that follow a particular trace of interest. Further alternatively, unit 60 may select segments for monitoring during execution using any other suitable criteria.
  • monitoring by unit 60 is performed on the instructions at the output of decoding module 28.
  • the instructions are still speculative, in the sense that some of the decoded instructions will be flushed and not committed. Flushing may occur, for example, due to branch mis-prediction. Nevertheless, it is preferable to monitor instructions at this early stage because the instructions are still organized in-order.
  • monitoring instructions early in the pipeline enables unit 60 to make use of the scoreboard (i.e., invoke parallel segments with the scoreboard) with lower latency.
  • unit 60 terminates monitoring of a given segment before the end of the segment.
  • Various termination criteria can be evaluated and used by unit 60 for this purpose.
  • Several non-limiting examples of termination criteria may comprise:
  • the monitoring reaches a location in the program code that is identified as repetitive (e.g., a backward branch or branch link - BL).
  • ⁇ A branch mis-prediction occurs in one of the instructions in the monitoring or in the instructions preceding the monitoring.
  • the flags are GL or global.
  • any other suitable termination criteria can be used.
  • the embodiments described herein mainly address general purpose processors, the methods and systems described herein can also be used in other applications, such as in Graphics Processing Units (GPUs) or other dedicated processors.
  • GPUs Graphics Processing Units

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Multimedia (AREA)
  • Advance Control (AREA)

Abstract

A method includes, in a processor (20) that executes instructions of program code, monitoring instructions of a repetitive sequence of the instructions that traverses a flow-control trace so as to construct a specification of register access by the monitored instructions. Based on the specification, multiple hardware threads are invoked to execute respective segments of the repetitive instruction sequence at least partially in parallel. Monitoring of the instructions continues in at least one of the segments during execution.

Description

RUN-TIME CODE PARALLELIZATION WITH CONTINUOUS MONITORING OF REPETITIVE INSTRUCTION SEQUENCES
FIELD OF THE INVENTION
The present invention relates generally to processor design, and particularly to methods and systems for run-time code parallelization.
BACKGROUND OF THE INVENTION
Various techniques have been proposed for dynamically parallelizing software code at run-time. For example, Akkary and Driscoll describe a processor architecture that enables dynamic multithreading execution of a single program, in "A Dynamic Multithreading Processor," Proceedings of the 31 Annual International Symposium on Microarchitectures,
December, 1998, which is incorporated herein by reference.
Marcuellu et al., describe a processor microarchitecture that simultaneously executes multiple threads of control obtained from a single program by means of control speculation techniques that do not require compiler or user support, in "Speculative Multithreaded Processors," Proceedings of the 12th International Conference on Supercomputing, 1998, which is incorporated herein by reference.
Marcuello and Gonzales present a microarchitecture that spawns speculative threads from a single-thread application at run-time, in "Clustered Speculative Multithreaded
Processors," Proceedings of the 13th International Conference on Supercomputing, 1999, which is incorporated herein by reference.
In "A Quantitative Assessment of Thread-Level Speculation Techniques," Proceedings of the 14th International Parallel and Distributed Processing Symposium, 2000, which is incorporated herein by reference, Marcuello and Gonzales analyze the benefits of different thread speculation techniques and the impact of value prediction, branch prediction, thread initialization overhead and connectivity among thread units.
Ortiz-Arroyo and Lee describe a multithreading architecture called Dynamic Simultaneous Multithreading (DSMT) that executes multiple threads from a single program on a simultaneous multithreading processor core, in "Dynamic Simultaneous Multithreaded
Architecture," Proceedings of the 16th International Conference on Parallel and Distributed Computing Systems (PDCS'03), 2003, which is incorporated herein by reference. SUMMARY OF THE INVENTION
An embodiment of the present invention that is described herein provides a method including, in a processor that executes instructions of program code, monitoring instructions of a repetitive sequence of the instructions that traverses a flow-control trace so as to construct a specification of register access by the monitored instructions. Based on the specification, multiple hardware threads are invoked to execute respective segments of the repetitive instruction sequence at least partially in parallel. Monitoring of the instructions continues in at least one of the segments during execution.
In some embodiments, continuing to monitor the instructions includes, in response to detecting in a given segment a change to a different flow-control trace, creating and constructing a different specification for the different flow-control trace, by monitoring the instructions along the different flow-control trace. The method may include, after monitoring the different flow-control trace, saving the different specification or the different flow control trace.
In some embodiments, the repetitive sequence includes a loop or a function. In an embodiment, continuing to monitor the instructions includes continuing to monitor all the segments. Alternatively, continuing to monitor the instructions may include continuing to monitor at least a subset of the segments that follow the flow-control trace. Further alternatively, continuing to monitor the instructions may include selecting a partial subset of the segments, and continuing to monitor the segments in the selected subset. Selecting the subset may include selecting for continued monitoring every Nth segment being created, selecting the segments for continued monitoring in accordance with a predefined periodic pattern, and/or selecting the segments for continued monitoring at random.
In some embodiments, the method includes terminating monitoring of the instructions in a given segment a given number of cycles, instructions or micro-ops after aborting of the repetitive sequence. In an example embodiment, the given number for the given segment is set based on the given number that was set for a different segment having a different control-flow trace.
There is additionally provided, in accordance with an embodiment of the present invention, a processor including an execution pipeline and a monitoring unit. The execution pipeline is configured to execute instructions of program code. The monitoring unit is configured to monitor the instructions of an identified repetitive sequence of the instructions that traverses a flow-control trace so as to construct a specification of register access by the monitored instructions, to invoke, based on the specification, multiple hardware threads in the execution pipeline to execute respective segments of the repetitive instruction sequence at least partially in parallel and to continue to monitor the instructions in at least one of the segments during execution.
There is also provided, in accordance with an embodiment of the present invention, a method including, in a processor that executes instructions of program code, monitoring instructions of a repetitive sequence of the instructions so as to construct a specification of register access by the monitored instructions. A termination criterion is evaluated based on the monitored instructions. If the termination criterion is met, monitoring of the instructions is terminated. If the monitoring of the instructions ends without meeting the termination criterion, execution of multiple segments of the repetitive instruction sequence is parallelized based on the specification.
In some embodiments, the termination criterion depends on a position of a last write to a register, a number of registers that were written to, a count of instructions or micro-ops, a count of execution cycles and/or a number of branch instructions exceeds a threshold. Additionally or alternatively, the termination criterion may depends on the monitoring reaching a location in the program code that was monitored previously, the monitoring reaching a location in the program code that is identified as repetitive, a branch mis-prediction occurring during or preceding the monitoring, and/or on a classification of one or more flags of the processor as global or global-local.
In an embodiment, the specification is uniquely associated with a flow-control trace traversed by the monitored instructions. In another embodiment, the specification is associated with two or more flow-control traces traversed by the monitored instructions.
In an embodiment, monitoring of the instructions is performed immediately following decoding of the instructions in an execution pipeline of the processor. In another embodiment, monitoring of the instructions is performed before execution of the instructions in an execution pipeline of the processor, including monitoring speculative instructions that will be subsequently flushed. In some embodiments, the method includes retaining respective names of the registers throughout the monitoring.
There is further provided, in accordance with an embodiment of the present invention, a method including, in a processor that executes instructions of program code, monitoring a repetitive sequence of the instructions and classifying registers accessed by the monitored instructions depending on a respective order in which each register is used as an operand or as a destination by the instructions. Execution of multiple segments of the repetitive sequence is parallelized based on classification of the registers.
In some embodiments, classifying the registers includes classifying at least some of the registers as one of: a local register whose first occurrence in the monitored sequence is as a destination; a global register that is used in the monitored sequence only as an operand; and a global-local register whose first occurrence in the monitored sequence is as an operand, and is subsequently used in the monitored sequence as a destination.
In an embodiment, classifying the registers includes classifying a given register as global-local if the given register first appears in the monitored sequence as a destination in a conditional instruction. In an embodiment, classifying the registers includes classifying a given register as global-local if the given register first appears in the monitored sequence as a destination in a conditional instruction, and otherwise classifying the given register as local provided that a condition of the conditional instruction is met.
In another embodiment, classifying the registers includes classifying a given register as global-local if the given register first appears in the monitored sequence as both a destination and an operand in the same instruction.
In some embodiments, classifying the registers further includes identifying, for at least a subset of the registers, respective locations in the monitored sequence of last write operations to the registers. In a disclosed embodiment, identifying the locations of the last write operations includes counting the writes to at least a subset of the registers. Alternatively, identifying the locations of the last write operations may include recording addresses of the last write operations.
In an embodiment, identification of the locations of the last write operations is performed for one or more flags of the processor in addition to the registers. In another embodiment, the subset of the registers includes at least the registers classified as local. In yet another embodiment, the subset of the registers includes at least the registers classified as global -local.
In an example embodiment, identification of the locations of the last write operations includes conditional write operations to the respective registers. In an embodiment, classification, depending on the order of use as operand or destination, is performed for one or more flags of the processor in addition to the registers.
There is additionally provided, in accordance with an embodiment of the present invention, a processor including an execution pipeline and a monitoring unit. The execution pipeline is configured to execute instructions of program code. The monitoring unit is configured to monitor the instructions in a repetitive sequence of the instructions so as to construct a specification of register access by the monitored instructions, to evaluate a termination criterion based on the monitored instructions, to terminate monitoring of the instructions if the termination criterion is met, and, if the monitoring of the instructions ends without meeting the termination criterion, to parallelize execution of multiple segments of the repetitive instruction sequence based on the specification.
There is also provided, in accordance with an embodiment of the present invention, a processor including an execution pipeline and a monitoring unit. The execution pipeline is configured to execute instructions of program code. The monitoring unit is configured to monitor a repetitive sequence of the instructions, to classify registers accessed by the monitored instructions depending on a respective order in which each register is used as an operand or as a destination by the instructions, and to parallelize execution of multiple segments of the repetitive sequence based on classification of the registers.
The present invention will be more fully understood from the following detailed description of the embodiments thereof, taken together with the drawings in which:
BRIEF DESCRIPTION OF THE DRAWINGS
Fig. 1 is a block diagram that schematically illustrates a processor that performs runtime code parallelization, in accordance with an embodiment of the present invention;
Fig. 2 is a diagram that schematically illustrates run-time parallelization of a program loop, in accordance with an embodiment of the present invention;
Fig. 3 is a diagram that schematically illustrates a program loop having multiple traces and respective scoreboards, in accordance with an embodiment of the present invention; and
Fig. 4 is a flow chart that schematically illustrates a method for continuous monitoring of repetitive instruction sequences, in accordance with an embodiment of the present invention.
DETAILED DESCRIPTION OF EMBODIMENTS
OVERVIEW
Embodiments of the present invention that are described herein provide improved methods and devices for run-time parallelization of code in a processor. In the disclosed embodiments, the processor identifies a repetitive sequence of instructions, and creates and executes multiple parallel code sequences referred to as segments, which carry out different occurrences of the sequence. The segments are scheduled for parallel execution by multiple hardware threads.
For example, the repetitive sequence may comprise a loop, in which case the segments comprise multiple loop iterations, parts of an iteration or the continuation of a loop. As another example, the repetitive sequence may comprise a function, in which case the segments comprise multiple function calls, parts of a function or function continuation. The parallelization is carried out at run-time, on pre-compiled code. The term "repetitive sequence" generally referred to any instruction sequence that is revisited and executed multiple times.
In some embodiments, upon identifying a repetitive sequence, the processor monitors the instructions in the sequence and constructs a "scoreboard" - a specification of access to registers by the monitored instructions. The scoreboard is associated with the specific flow- control trace traversed by the monitored sequence. The processor decides how and when to create and execute the multiple segments based on the information collected in the scoreboard and the trace.
In some embodiments, the scoreboard comprises a classification of the registers accessed by the monitored instructions. The classification of a register depends on the order in which the register is used as an operand or as a destination in the monitored instructions.
In some embodiments, micro-ops, although distinct from instructions, are monitored in a similar manner to monitoring of instructions, as well. In other words, in some embodiments, the monitoring is performed and the scoreboard is produced with micro-op granularity rather than instruction granularity.
The classification may distinguish, for example, between local (L) registers whose first occurrence is as a destination, global (G) registers that are used only as operands, and global- local (GL) registers whose first occurrence is as operands and are subsequently used as destinations. Additionally or alternatively, the scoreboard may indicate, for at least some of the registers, the location in the monitored sequence of the last write operation to the register. This indication may comprise, for example, a count of the number of write operations to the register.
In some embodiments, the processor continues to monitor the instructions in one or more of the segments during execution. Such continued monitoring enables the processor to react quickly and efficiently to changes in the flow-control trace that may occur in the monitored segments, e.g., as a result of data-dependent conditional branch instructions. Several examples of selection criteria, which the processor may use for selecting segments for continued monitoring, are described herein.
In some embodiments, the processor terminates and aborts monitoring of a certain segment before the segment ends. Various termination criteria that can be used by the processor are described herein. Additional disclosed techniques maintain multiple simultaneous scoreboards for multiple respective flow-control traces, and alternate between them as appropriate.
PROCESSOR ARCHITECTURE
Fig. 1 is a block diagram that schematically illustrates a processor 20, in accordance with an embodiment of the present invention. Processor 20 runs pre-compiled software code, while parallelizing the code execution. Parallelization decisions are performed by the processor at run-time, by analyzing the program instructions as they are fetched from memory and decoded.
In the present example, processor 20 comprises an execution pipeline that comprises one or more fetching units 24, one or more decoding units 28, an Out-of-Order (OOO) buffer 32, and execution units 36. Fetching units 24 fetch program instructions from a multi-level instruction cache memory, which in the present example comprises a Level-1 (LI) instruction cache 40 and a Level-2 (L2) instruction cache 44.
A branch prediction unit 48 predicts the flow-control traces (referred to herein as "traces" for brevity) that are expected to be traversed by the program during execution. The predictions are typically based on the addresses or Program-Counter (PC) values of previous instructions fetched by fetching units 24. Based on the predictions, branch prediction unit 48 instructs fetching units 24 which new instructions are to be fetched. The flow-control predictions of unit 48 also affect the parallelization of code execution, as will be explained below.
Instructions decoded by decoding units 28 are stored in OOO buffer 32, for out-of- order execution by execution units 36, i.e., not in the order in which they have been compiled and stored in memory. Alternatively, the buffered instructions may be executed in-order. The buffered instructions are then issued for execution by the various execution units 36. In the present example, execution units 36 comprise one or more Multiply-Accumulate (MAC) units, one or more Arithmetic Logic Units (ALU) and one or more Load/Store units. Additionally or alternatively, execution units 36 may comprise other suitable types of execution units, for example Floating-Point Units (FPU). The results produced by execution units 36 are stored in a register file and/or a multilevel data cache memory, which in the present example comprises a Level-1 (LI) data cache 52 and a Level-2 (L2) data cache 56. In some embodiments, L2 data cache memory 56 and L2 instruction cache memory 44 are implemented as separate memory areas in the same physical memory, or simply share the same memory without fixed pre-allocation.
In some embodiments, processor 20 further comprises a thread monitoring and execution unit 60 that is responsible for run-time code parallelization. The functions of unit 60 are explained in detail below.
The configuration of processor 20 shown in Fig. 1 is an example configuration that is chosen purely for the sake of conceptual clarity. In alternative embodiments, any other suitable processor configuration can be used. For example, in the configuration of Fig. 1, multithreading is implemented using multiple fetch units 24 and multiple decoding units 28. Each hardware thread may comprise a fetch unit assigned to fetch instructions for the thread and a decoding unit assigned to decode the fetched instructions. Additionally or alternatively, multi- threading may be implemented in many other ways, such as using multiple OOO buffers, separate execution units per thread and/or separate register files per thread. In another embodiment, different threads may comprise different respective processing cores.
As yet another example, the processor may be implemented without cache or with a different cache structure, without branch prediction or with a separate branch prediction per thread. The processor may comprise additional elements such as reorder buffer (ROB), register renaming, to name just a few. Further alternatively, the disclosed techniques can be carried out with processors having any other suitable micro-architecture.
Processor 20 can be implemented using any suitable hardware, such as using one or more Application-Specific Integrated Circuits (ASICs), Field-Programmable Gate Arrays (FPGAs) or other device types. Additionally or alternatively, certain elements of processor 20 can be implemented using software, or using a combination of hardware and software elements. The instruction and data cache memories can be implemented using any suitable type of memory, such as Random Access Memory (RAM).
Processor 20 may be programmed in software to carry out the functions described herein. The software may be downloaded to the processor in electronic form, over a network, for example, or it may, alternatively or additionally, be provided and/or stored on non- transitory tangible media, such as magnetic, optical, or electronic memory. RUN-TIME CODE PARALLELIZATION
In some embodiments, unit 60 in processor 20 identifies repetitive instruction sequences and parallelizes their execution. Repetitive instruction sequences may comprise, for example, respective iterations of a program loop, respective occurrences of a function or procedure, or any other suitable sequence of instructions that is revisited and executed multiple times. In the present context, the term "repetitive instruction sequence" refers to an instruction sequence whose flow-control trace (e.g., sequence of PC values) has been executed in the past at least once. Data values (e.g., register values) may differ from one execution to another.
In the disclosed embodiments, processor 20 parallelizes a repetitive instruction sequence by invoking and executing multiple code segments in parallel or semi-parallel using multiple hardware threads. Each thread executes a respective code segment, e.g., a respective iteration of a loop, multiple (not necessarily successive) loop iterations, part of a loop iteration, continuation of a loop, a function or part or continuation thereof, or any other suitable type of segment.
Parallelization of segments in processor 20 is performed using multiple hardware threads. In the example of Fig. 1, although not necessarily, each thread comprises a respective fetch unit 24 and a respective decoding unit 28 that have been assigned by unit 60 to perform one or more segments.
In practice, data dependencies exist between segments. For example, a calculation performed in a certain loop iteration may depend on the result of a calculation performed in a previous iteration. The ability to parallelize segments depends to a large extent on such data dependencies.
Fig. 2 is a diagram that demonstrates run-time parallelization of a program loop, in accordance with an example embodiment of the present invention. The top of the figure shows an example program loop (reproduced from the bzip benchmark of the SPECint test suite) and the dependencies between instructions. Some dependencies are between instructions in the same loop iteration, while others are between an instruction in a given loop iteration and an instruction in a previous iteration.
The bottom of the figure shows how unit 60 parallelizes this loop using four threads
TH1... TH4, in accordance with an embodiment of the present invention. The table spans a total of eleven cycles, and lists which instructions of which threads are executed during each cycle. Each instruction is represented by its iteration number and the instruction number within the iteration. For example, "14" stands for the 4 instruction of the 1 loop iteration. In this example instructions 5 and 7 are neglected and perfect branch prediction is assumed.
The staggering in execution of the threads is due to data dependencies. For example, thread TH2 cannot execute instructions 21 and 22 (the first two instructions in the second loop iteration) until cycle 1, because instruction 21 (the first instruction in the second iteration) depends on instruction 13 (the third instruction of the first iteration). Similar dependencies exist across the table. Overall, this parallelization scheme is able to execute two loop iterations in six cycles, or one iteration every three cycles.
It is important to note that the parallelization shown in Fig. 2 considers only data dependencies between instructions, and does not consider other constraints such as availability of execution units. Therefore, the cycles in Fig. 2 do not necessarily translate directly into respective clock cycles. For example, instructions that are listed in Fig. 2 as executed in a given cycle may actually be executed in more than one clock cycle, because they compete for the same execution units 36. PARALLELIZATION BASED ON SEGMENT MONITORING
In some embodiments, unit 60 decides how to parallelize the code by monitoring the instructions in the processor pipeline. In response to identifying a repetitive instruction sequence, unit 60 starts monitoring the sequence as it is fetched, decoded and executed by the processor.
In some implementations, the functionality of unit 60 may be distributed among the multiple hardware threads, such that a given thread can be viewed as monitoring its instructions during execution. Nevertheless, for the sake of clarity, the description that follows assumes that monitoring functions are carried out by unit 60.
As part of the monitoring process, unit 60 generates the flow-control trace traversed by the monitored instructions, and a monitoring table that is referred to herein as a scoreboard. The scoreboard comprises a respective entry for each register that appears in the monitored sequence. In an embodiment, unit 60 classifies each register as Global (G), Local (L) or Global-Local (GL), and indicates the classification in the corresponding entry in the scoreboard. The classification of a register as G, L or GL depends on the order in which the register is used as an operand (whose value is read) and/or as a destination (to which a value is written) in the monitored sequence.
In an embodiment, a local (L) register is defined as a register whose first occurrence in the monitored sequence is as a destination (subsequent occurrences, if any, may be as operand and/or destination). A Global (G) register is defined as a register that is used in the monitored sequence only as an operand, i.e., the register is read but never written to. A global-local (GL) register is defined as a register whose first occurrence in the monitored sequence is as an operand, and is later used in the monitored sequence as a destination. The first and subsequent occurrences may occur in different instructions or in the same instruction, as long as the order between "first" and "subsequent" is preserved.
In an alternative embodiment, an exception to the above classification concerns a conditional instruction that uses a register as a destination. If such an instruction is the first occurrence of the register in the monitored instructions, then the register is classified as GL. Otherwise, the register is classified as local (L) as per the above rules. For example, register r2 in the instruction "mov cond r2,#5" would be classified as GL if this instruction is the first write to r2 in the monitored instructions, and classified as L otherwise. In an alternative embodiment, if such an instruction is the first occurrence of the register in the monitored instructions, then the register is classified as GL. Otherwise, the register is classified as local only if the condition of the instruction is met. If not, the register is not classified.
In an embodiment, unit 60 uses superset classification, i.e., merges together two or more of the classes defined above. In such an embodiment, even if a given register is only local in a given segment, unit 60 still classifies it as GL for simplifying control.
An alternative way of defining the classification of registers as G, L or GL is classifying a register depending on where the dependencies of the register are generated and used relative to the currently-monitored segment: An operand that is generated outside the currently-monitored segment is classified as Global (G) or Global-Local (GL). An operand that is generated inside the currently-monitored segment is classified as Local (L).
In some embodiments, unit 60 finds and indicates in the scoreboard, for at least some of the registers, the location of the last write to the register in the monitored sequence. This indication is used by unit 60 during execution for deciding when to issue instructions in subsequent segments that depend on this last write. The rationale behind this mechanism is that an instruction in segment X that depends on the value of a register in a previous segment Y can be issued only after the last write to that register in the execution of segment Y.
In one embodiment, the last-write indication is implemented by counting the number of times that the register was written to in the monitored sequence. Unit 60 determines this count (denoted #WRITES) and indicates the #WRITES value in the entry of the register in the scoreboard. In this embodiment, when executing segment Y, unit 60 counts the number of writes to the register in question. When the count reaches the #WRITES value indicated in the scoreboard, unit 60 concludes that the last write was encountered, and it is therefore permitted to issue for execution instructions in segment X which depend on the register in question.
One known solution for mitigating data dependencies is to rename registers, i.e., assign a given register different names in different segments. In some embodiments, unit 60 refrains from renaming registers, i.e., retains the register names across the different iterations of the repetitive sequence, in order to facilitate counting of #WRITES. In other words, unit 60 maintains alignment of the register renaming map between segments and threads.
The #WRITES mechanism described above is depicted only as an example of a mechanism for finding and indicating the location of the last write to a register in the monitored sequence. In alternative embodiments, unit 60 may find and indicate in the scoreboard the location of the last write to a register in any other suitable way, for example by recoding in the scoreboard the address of the last write operation to the register.
In various embodiments, unit 60 does not necessarily need to count #WRITES for every register. For example, unit 60 may count #WRITES for the registers classified as GL, for the registers classified as L, or both.
In some embodiments, unit 60 includes in the count of #WRITES conditional write instructions, regardless of whether the condition is met or not. In other embodiments, unit 60 includes a conditional write instruction in the count of #WRITES only when the condition is met and the write is actually performed.
In some embodiments, processor 20 maintains one or more flags that are used in conditional instructions. Examples of flags include a zero flag ("true" if the result of the most recent arithmetic operation was zero, "false" otherwise), a negative flag ("true" if the result of the most recent arithmetic operation was negative, "false" otherwise), a carry flag ("true" if the most recent addition operation produced a carry, "false" otherwise), an overflow flag ("true" if the most recent addition operation caused an overflow, "false" otherwise), or any other suitable flag. Typically, the flags are implemented as respective bits in a dedicated flags register. The flags are updated by various instructions or micro-ops.
In some embodiments, unit 60 monitors the flags and includes them in the scoreboard in a similar manner to monitoring of registers. For example, unit 60 may classify the flags as G, L or GL as explained above. Additionally or alternatively, unit 60 may count and record the position of the last write to each flag in the monitored sequence (e.g., by counting and recording #WRITES for the flags). In some embodiments, unit 60 does not always necessarily monitor an entire segment from start to end. In an example embodiment, unit 60 may monitor (e.g., count writes and/or classify registers) from a certain middle point in a segment, and updates an existing scoreboard. CONTINUOUS MONITORING OF MULTIPLE TRACES
In some embodiments, unit 60 continues to monitor the instructions in one or more of the threads during their execution. In other words, the monitoring process does not end once the repetitive instruction sequence has been identified and monitored. Unit 60 continues the monitoring and scoreboard construction process, for at least some of the threads, during execution. As noted above, the functionality of unit 60 may be distributed among the threads, such that each thread (or at least a subset of the threads) monitors the instructions it executes.
Continuous monitoring of segments during execution is important, for example, for efficient handling of scenarios in which the program execution switches from one flow-control trace to another at run-time. In many practical scenarios, the program alternates between two or more repetitive instruction sequences having different traces. In some embodiments, unit 60 handles such scenarios by creating and maintaining multiple different scoreboards in parallel, a respective scoreboard for each trace.
Fig. 3 is a diagram that schematically illustrates a program loop having multiple traces and respective scoreboards, in accordance with an embodiment of the present invention. The left-hand-side of the figure illustrates a section of code having nine instructions. A program loop starts at instruction 2 and loops back at instruction 9.
In this example, instruction 4 is a conditional branch instruction that jumps to instruction 6 and skips instruction 5. Thus, depending on the outcome of the conditional branch instruction, some segments will follow a trace denoted 70A (branch not taken) and other threads will follow a trace denoted 70B (branch taken).
In some embodiments, unit 60 monitors at least some of the segments during their execution. When detecting that a monitored segment begins to follow a previously-unknown trace, unit 60 creates a separate scoreboard for the new trace and records register classification and #WRITES as explained above. In the present example, unit 60 creates and maintains a scoreboard 74 A for trace 70 A, and a scoreboard 74B for trace 70B.
By maintaining multiple scoreboards, unit 60 is able to react quickly to trace changes. As long as a segment follows a previously-monitored trace, unit 60 already has a valid scoreboard for this trace. As a result, unit 60 is able to invoke new segments immediately using the available scoreboard. Without this mechanism, invocation of new segments would be delayed until the scoreboard for the new trace is constructed (meaning that efficiency is degraded and that the processor assumes, perhaps erroneously, that the trace it is monitoring is new).
The multiple-trace scenario of Fig. 3 is a simple example scenario that is depicted in order to demonstrate the mechanisms of continuous monitoring and multiple scoreboards. The disclosed technique can be used in any other suitable type of scenario in which execution alternates between multiple flow-control traces.
Fig. 4 is a flow chart that schematically illustrates a method for continuous monitoring of repetitive instruction sequences, in accordance with an embodiment of the present invention. The figure illustrates combined execution and monitoring in a given thread. Unit 60 typically performs this process for any sequence that is selected for monitoring, not necessarily for every segment being executed.
The method begins with unit 60 providing a given trace and a corresponding scoreboard to a given hardware thread, at an initiation step 80. The thread in question executes the segment and in parallel performs monitoring, at an execution & monitoring step 84. As part of the monitoring process the thread generates a scoreboard for the trace it follows.
After completing execution of the segment, unit 60 checks whether the trace is new, at a checking step 88. In other words, unit 60 checks whether a scoreboard already exists for this trace. If the trace is new, unit 60 records the scoreboard constructed for this trace, at a recording step 92. This scoreboard will be provided to subsequent threads that follow the same trace. Otherwise, i.e., if a scoreboard already exists, the method ends at an end step 96.
In some embodiments, a scoreboard is uniquely associated with a single flow-control trace. In other embodiments, a given scoreboard may be associated with two or more traces.
In some embodiments unit 60 monitors every segment during execution, for example using the method of Fig. 4. In alternative embodiments, unit 60 may choose to monitor only a subset of the segments. By controlling the number and identities of the segments chosen for monitoring, it is possible to set different trade-offs between computational overhead and parallelization performance.
Unit 60 may use various criteria or logic for selecting which segments to monitor. For example, unit 60 may choose segments for monitoring periodically, e.g., every Nth segment being invoked (for some selected constant N). In another embodiment, unit 60 may choose to monitor segments in accordance with a predefined deterministic pattern, e.g., segments 2, 3, 5, 12, 13, 15, 22, 23, 25, ... As another example, unit 60 may choose segments for monitoring at random, e.g., skip a random number of segments, choose a segment for monitoring, skip another random number of segments, choose a segment for monitoring, and so on.
As yet another example, unit 60 may choose a segment for monitoring in response to some predefined event that occurs during execution of the segment. Since different threads may follow different flow-control traces, unit 60 may choose to monitor segments that follow a particular trace of interest. Further alternatively, unit 60 may select segments for monitoring during execution using any other suitable criteria.
In an embodiment, monitoring by unit 60 is performed on the instructions at the output of decoding module 28. At this point in the pipeline, the instructions are still speculative, in the sense that some of the decoded instructions will be flushed and not committed. Flushing may occur, for example, due to branch mis-prediction. Nevertheless, it is preferable to monitor instructions at this early stage because the instructions are still organized in-order. Moreover, monitoring instructions early in the pipeline enables unit 60 to make use of the scoreboard (i.e., invoke parallel segments with the scoreboard) with lower latency.
MONITORING TERMINATION CRITERIA
In some embodiments, unit 60 terminates monitoring of a given segment before the end of the segment. Various termination criteria can be evaluated and used by unit 60 for this purpose. Several non-limiting examples of termination criteria may comprise:
The number of writes to a register exceeds a threshold.
The number of registers that were written to exceeds a threshold.
The count of instructions or micro-ops exceeds a threshold.
The count of execution cycles exceeds a threshold.
The number of branch instructions exceeds a threshold.
The monitoring reached a location in the program code that was monitored previously.
The monitoring reaches a location in the program code that is identified as repetitive (e.g., a backward branch or branch link - BL).
A branch mis-prediction occurs in one of the instructions in the monitoring or in the instructions preceding the monitoring.
The flags are GL or global.
Further alternatively, any other suitable termination criteria can be used. Although the embodiments described herein mainly address general purpose processors, the methods and systems described herein can also be used in other applications, such as in Graphics Processing Units (GPUs) or other dedicated processors.
It will thus be appreciated that the embodiments described above are cited by way of example, and that the present invention is not limited to what has been particularly shown and described hereinabove. Rather, the scope of the present invention includes both combinations and sub-combinations of the various features described hereinabove, as well as variations and modifications thereof which would occur to persons skilled in the art upon reading the foregoing description and which are not disclosed in the prior art. Documents incorporated by reference in the present patent application are to be considered an integral part of the application except that to the extent any terms are defined in these incorporated documents in a manner that conflicts with the definitions made explicitly or implicitly in the present specification, only the definitions in the present specification should be considered.

Claims

1. A method, comprising
in a processor that executes instructions of program code, monitoring instructions of a repetitive sequence of the instructions that traverses a flow-control trace so as to construct a specification of register access by the monitored instructions;
based on the specification, invoking multiple hardware threads to execute respective segments of the repetitive instruction sequence at least partially in parallel; and
continuing to monitor the instructions in at least one of the segments during execution.
2. The method according to claim 1, wherein continuing to monitor the instructions comprises, in response to detecting in a given segment a change to a different flow-control trace, creating and constructing a different specification for the different flow-control trace, by monitoring the instructions along the different flow-control trace.
3. The method according to claim 2, and comprising, after monitoring the different flow- control trace, saving the different specification or the different flow control trace.
4. The method according to claim 1 or 2, wherein the repetitive sequence comprises a loop or a function.
5. The method according to claim 1 or 2, wherein continuing to monitor the instructions comprises continuing to monitor all the segments.
6. The method according to claim 1 or 2, wherein continuing to monitor the instructions comprises continuing to monitor at least a subset of the segments that follow the flow-control trace.
7. The method according to claim 1 or 2, wherein continuing to monitor the instructions comprises selecting a partial subset of the segments, and continuing to monitor the segments in the selected subset.
8. The method according to claim 7, wherein selecting the subset comprises at least one of:
selecting for continued monitoring every Nth segment being created;
selecting the segments for continued monitoring in accordance with a predefined periodic pattern; and
selecting the segments for continued monitoring at random.
9. The method according to claim 1 or 2, and comprising terminating monitoring of the instructions in a given segment a given number of cycles, instructions or micro-ops after aborting of the repetitive sequence.
10. The method according to claim 9, and comprising setting the given number for the given segment based on the given number that was set for a different segment having a different control-flow trace.
11. A processor, comprising:
an execution pipeline, which is configured to execute instructions of program code; and
a monitoring unit, which is configured to monitor the instructions of an identified repetitive sequence of the instructions that traverses a flow-control trace so as to construct a specification of register access by the monitored instructions, to invoke, based on the specification, multiple hardware threads in the execution pipeline to execute respective segments of the repetitive instruction sequence at least partially in parallel and to continue to monitor the instructions in at least one of the segments during execution.
12. The processor according to claim 11, wherein, in response to detecting in a given segment a change to a different flow-control trace, the monitoring unit is configured to create and construct a different specification for the different flow-control trace, by monitoring the instructions along the different flow-control trace.
13. The processor according to claim 12, wherein, after monitoring the different flow- control trace, the monitoring unit is configured to save the different specification or the different flow-control trace.
14. The processor according to claim 11 or 12, wherein the repetitive sequence comprises a loop or a function.
15. The processor according to claim 11 or 12, wherein the monitoring unit is configured to continue to monitor all the segments.
16. The processor according to claim 11 or 12, wherein the monitoring unit is configured to continue to monitor at least a subset of the segments that follow the flow-control trace.
17. The processor according to claim 11 or 12, wherein the monitoring unit is configured to select a partial subset of the segments, and to continue to monitor the segments in the selected subset.
18. The processor according to claim 17, wherein the monitoring unit is configured to select the subset by performing at least one of:
selecting for continued monitoring every Nth segment being created;
selecting the segments for continued monitoring in accordance with a predefined periodic pattern; and
selecting the segments for continued monitoring at random.
19. The processor according to claim 11 or 12, wherein the monitoring unit is configured to terminate monitoring of the instructions in a given segment a given number of cycles, instructions or micro-ops after aborting of the repetitive sequence.
20. The processor according to claim 19, wherein the monitoring unit is configured to set the given number for the given segment based on the given number that was set for a different segment having a different control-flow trace.
21. A method, compri sing :
in a processor that executes instructions of program code, monitoring instructions of a repetitive sequence of the instructions so as to construct a specification of register access by the monitored instructions;
evaluating a termination criterion based on the monitored instructions;
if the termination criterion is met, terminating monitoring of the instructions; and if the monitoring of the instructions ends without meeting the termination criterion, parallelizing execution of multiple segments of the repetitive instruction sequence based on the specification.
22. The method according to claim 21, wherein the termination criterion depends on at least one of:
a position of a last write to a register, a number of registers that were written to, a count of instructions or micro-ops, a count of execution cycles or a number of branch instructions exceeds a threshold;
the monitoring reaches a location in the program code that was monitored previously; the monitoring reaches a location in the program code that is identified as repetitive; a branch mis-prediction occurs during or preceding the monitoring; and
a classification of one or more flags of the processor as global or global-local.
23. The method according to claim 21, wherein the specification is uniquely associated with a flow-control trace traversed by the monitored instructions.
24. The method according to claim 21, wherein the specification is associated with two or more flow-control traces traversed by the monitored instructions.
25. The method according to any of claims 21-24, wherein monitoring of the instructions is performed immediately following decoding of the instructions in an execution pipeline of the processor.
26. The method according to any of claims 21-24, wherein monitoring of the instructions is performed before execution of the instructions in an execution pipeline of the processor, including monitoring speculative instructions that will be subsequently flushed.
27. The method according to any of claims 21-24, and comprising retaining respective names of the registers throughout the monitoring.
28. A method, comprising
in a processor that executes instructions of program code, monitoring a repetitive sequence of the instructions and classifying registers accessed by the monitored instructions depending on a respective order in which each register is used as an operand or as a destination by the instructions; and
parallelizing execution of multiple segments of the repetitive sequence based on classification of the registers.
29. The method according to claim 28, wherein classifying the registers comprises classifying at least some of the registers as one of:
a local register whose first occurrence in the monitored sequence is as a destination; a global register that is used in the monitored sequence only as an operand; and a global-local register whose first occurrence in the monitored sequence is as an operand, and is subsequently used in the monitored sequence as a destination.
30. The method according to claim 28, wherein classifying the registers comprises classifying a given register as global-local if the given register first appears in the monitored sequence as a destination in a conditional instruction.
31. The method according to claim 28, wherein classifying the registers comprises classifying a given register as global-local if the given register first appears in the monitored sequence as a destination in a conditional instruction, and otherwise classifying the given register as local provided that a condition of the conditional instruction is met.
32. The method according to claim 28, wherein classifying the registers comprises classifying a given register as global-local if the given register first appears in the monitored sequence as both a destination and an operand in the same instruction.
33. The method according to claim 28, wherein classifying the registers further comprises identifying, for at least a subset of the registers, respective locations in the monitored sequence of last write operations to the registers.
34. The method according to claim 33, wherein identifying the locations of the last write operations comprises counting the writes to at least a subset of the registers.
35. The method according to claim 33, wherein identifying the locations of the last write operations comprises recording addresses of the last write operations.
36. The method according to claim 33, wherein identification of the locations of the last write operations is performed for one or more flags of the processor in addition to the registers.
37. The method according to claim 33, wherein the subset of the registers comprises at least the registers classified as local.
38. The method according to claim 33, wherein the subset of the registers comprises at least the registers classified as global-local.
39. The method according to claim 33, wherein identification of the locations of the last write operations includes conditional write operations to the respective registers.
40. The method according to claim 28, wherein classification, depending on the order of use as operand or destination, is performed for one or more flags of the processor in addition to the registers.
41. A processor, comprising:
an execution pipeline, which is configured to execute instructions of program code; and
a monitoring unit, which is configured to monitor the instructions in a repetitive sequence of the instructions so as to construct a specification of register access by the monitored instructions, to evaluate a termination criterion based on the monitored instructions, to terminate monitoring of the instructions if the termination criterion is met, and, if the monitoring of the instructions ends without meeting the termination criterion, to parallelize execution of multiple segments of the repetitive instruction sequence based on the specification.
42. The processor according to claim 41, wherein the termination condition depends on at least one of:
a position of a last write to a register, a number of registers that were written to, a count of instructions or micro-ops, a count of execution cycles or a number of branch instructions exceeds a threshold;
the monitoring reaches a location in the program code that was monitored previously; the monitoring reaches a location in the program code that is identified as repetitive; a branch mis-prediction occurs during or preceding the monitoring; and
a classification of one or more flags of the processor as global or global-local.
43. The processor according to claim 41, wherein the specification is uniquely associated with a flow-control trace traversed by the monitored instructions.
44. The processor according to claim 41, wherein the specification is associated with two or more flow-control traces traversed by the monitored instructions.
45. The processor according to any of claims 41-44, wherein the monitoring unit is configured to monitor the instructions immediately following decoding of the instructions in an execution pipeline of the processor.
46. The processor according to any of claims 41-44, wherein the monitoring unit is configured to monitor the instructions before execution of the instructions in an execution pipeline of the processor, including monitoring speculative instructions that will be subsequently flushed.
47. The processor according to any of claims 41-44, wherein the monitoring unit is configured to retain respective names of the registers throughout the monitoring.
48. A processor, comprising
an execution pipeline, which is configured to execute instructions of program code; and
a monitoring unit, which is configured to monitor a repetitive sequence of the instructions, to classify registers accessed by the monitored instructions depending on a respective order in which each register is used as an operand or as a destination by the instructions, and to parallelize execution of multiple segments of the repetitive sequence based on classification of the registers.
49. The processor according to claim 48, wherein the monitoring unit is configured to classify at least some of the registers as one of:
a local register whose first occurrence in the monitored sequence is as a destination; a global register that is used in the monitored sequence only as an operand; and a global-local register whose first occurrence in the monitored sequence is as an operand, and is subsequently used in the monitored sequence as a destination.
50. The processor according to claim 48, wherein the monitoring unit is configured to classify a given register as global-local if the given register first appears in the monitored sequence as a destination in a conditional instruction.
51. The processor according to claim 48, wherein the monitoring unit is configured to classify a given register as global-local if the given register first appears in the monitored sequence as a destination in a conditional instruction, and otherwise classifying the given register as local provided that a condition of the conditional instruction is met.
52. The processor according to claim 48, wherein the monitoring unit is configured to classify a given register as global-local if the given register first appears in the monitored sequence as both a destination and an operand in the same instruction.
53. The processor according to claim 48, wherein, in classifying the registers, the monitoring unit is configured to identify, for at least a subset of the registers, respective locations in the monitored sequence of last write operations to the registers.
54. The processor according to claim 48, wherein the monitoring unit is configured to identify the locations of the last write operations by counting the writes to at least a subset of the registers.
55. The processor according to claim 48, wherein the monitoring unit is configured to identify the locations of the last write operations by recording addresses of the last write operations.
56. The processor according to claim 48, wherein the monitoring unit is configured to identify the locations of the last write operations for one or more flags of the processor in addition to the registers.
57. The processor according to claim 48, wherein the subset of the registers comprises at least the registers classified as local.
58. The processor according to claim 48, wherein the subset of the registers comprises at least the registers classified as global-local.
59. The processor according to claim 48, wherein identification of the locations of the last write operations includes conditional write operations to the respective registers.
60. The processor according to claim 48, wherein the monitoring unit is configured to perform classification, depending on the order of use as operand or destination, for one or more flags of the processor in addition to the registers.
PCT/IB2015/059470 2014-12-22 2015-12-09 Run-time code parallelization with continuous monitoring of repetitive instruction sequences WO2016103092A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201580063897.5A CN107003859A (en) 2014-12-22 2015-12-09 By the runtime code parallelization for continuously monitoring repetitive instruction sequence
EP15872056.5A EP3238040A4 (en) 2014-12-22 2015-12-09 Run-time code parallelization with continuous monitoring of repetitive instruction sequences

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201414578518A 2014-12-22 2014-12-22
US14/578,516 US9348595B1 (en) 2014-12-22 2014-12-22 Run-time code parallelization with continuous monitoring of repetitive instruction sequences
US14/578,518 2014-12-22
US14/578,516 2014-12-22

Publications (1)

Publication Number Publication Date
WO2016103092A1 true WO2016103092A1 (en) 2016-06-30

Family

ID=56149346

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB2015/059470 WO2016103092A1 (en) 2014-12-22 2015-12-09 Run-time code parallelization with continuous monitoring of repetitive instruction sequences

Country Status (3)

Country Link
EP (1) EP3238040A4 (en)
CN (1) CN107003859A (en)
WO (1) WO2016103092A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109522049B (en) * 2017-09-18 2023-04-25 展讯通信(上海)有限公司 Verification method and device for shared register in synchronous multithreading system
GB2580316B (en) * 2018-12-27 2021-02-24 Graphcore Ltd Instruction cache in a multi-threaded processor

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5394529A (en) * 1990-06-29 1995-02-28 Digital Equipment Corporation Branch prediction unit for high-performance processor
US20050172277A1 (en) * 2004-02-04 2005-08-04 Saurabh Chheda Energy-focused compiler-assisted branch prediction
US20060026411A1 (en) * 2004-07-29 2006-02-02 Fujitsu Limited Processor system and thread switching control method
US20090063823A1 (en) * 2007-08-30 2009-03-05 Burky William E Method and System for Tracking Instruction Dependency in an Out-of-Order Processor
US20090172362A1 (en) * 2007-12-31 2009-07-02 Advanced Micro Devices, Inc. Processing pipeline having stage-specific thread selection and method thereof
US7571302B1 (en) * 2004-02-04 2009-08-04 Lei Chen Dynamic data dependence tracking and its application to branch prediction

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020144092A1 (en) * 2001-01-31 2002-10-03 Siroyan Limited. Handling of loops in processors
US8291197B2 (en) * 2007-02-12 2012-10-16 Oracle America, Inc. Aggressive loop parallelization using speculative execution mechanisms
US8683185B2 (en) * 2010-07-26 2014-03-25 International Business Machines Corporation Ceasing parallel processing of first set of loops upon selectable number of monitored terminations and processing second set

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5394529A (en) * 1990-06-29 1995-02-28 Digital Equipment Corporation Branch prediction unit for high-performance processor
US20050172277A1 (en) * 2004-02-04 2005-08-04 Saurabh Chheda Energy-focused compiler-assisted branch prediction
US7571302B1 (en) * 2004-02-04 2009-08-04 Lei Chen Dynamic data dependence tracking and its application to branch prediction
US20060026411A1 (en) * 2004-07-29 2006-02-02 Fujitsu Limited Processor system and thread switching control method
US20090063823A1 (en) * 2007-08-30 2009-03-05 Burky William E Method and System for Tracking Instruction Dependency in an Out-of-Order Processor
US20090172362A1 (en) * 2007-12-31 2009-07-02 Advanced Micro Devices, Inc. Processing pipeline having stage-specific thread selection and method thereof

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP3238040A4 *

Also Published As

Publication number Publication date
EP3238040A1 (en) 2017-11-01
CN107003859A (en) 2017-08-01
EP3238040A4 (en) 2018-09-26

Similar Documents

Publication Publication Date Title
US9348595B1 (en) Run-time code parallelization with continuous monitoring of repetitive instruction sequences
US10296346B2 (en) Parallelized execution of instruction sequences based on pre-monitoring
US9135015B1 (en) Run-time code parallelization with monitoring of repetitive instruction sequences during branch mis-prediction
US9208066B1 (en) Run-time code parallelization with approximate monitoring of instruction sequences
US9715390B2 (en) Run-time parallelization of code execution based on an approximate register-access specification
US10013255B2 (en) Hardware-based run-time mitigation of conditional branches
EP3306468A1 (en) A method and a processor
JP5403973B2 (en) Method and apparatus for predicate execution in an out-of-order processor
GB2501582A (en) Issuing speculative load instructions to cache memory
US20170010973A1 (en) Processor with efficient processing of load-store instruction pairs
EP3264263A1 (en) Sequential monitoring and management of code segments for run-time parallelization
US9575897B2 (en) Processor with efficient processing of recurring load instructions from nearby memory addresses
US10185561B2 (en) Processor with efficient memory access
WO2016103092A1 (en) Run-time code parallelization with continuous monitoring of repetitive instruction sequences
CN108027736B (en) Runtime code parallelization using out-of-order renaming by pre-allocation of physical registers
US10180841B2 (en) Early termination of segment monitoring in run-time code parallelization
KR100837400B1 (en) Method and apparatus for processing according to multi-threading/out-of-order merged scheme
EP3278212A1 (en) Parallelized execution of instruction sequences based on premonitoring
US10296350B2 (en) Parallelized execution of instruction sequences
US20170010972A1 (en) Processor with efficient processing of recurring load instructions
KR100953986B1 (en) Method and apparatus for utilizing latency of cache miss using priority based excution
EP3320428A1 (en) Processor with efficient memory access
US20180129500A1 (en) Single-thread processing of multiple code regions
WO2017072615A1 (en) Hardware-based run-time mitigation of conditional branches

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15872056

Country of ref document: EP

Kind code of ref document: A1

REEP Request for entry into the european phase

Ref document number: 2015872056

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: DE