WO2016040077A1 - Cobalt deposition selectivity on copper and dielectrics - Google Patents

Cobalt deposition selectivity on copper and dielectrics Download PDF

Info

Publication number
WO2016040077A1
WO2016040077A1 PCT/US2015/048216 US2015048216W WO2016040077A1 WO 2016040077 A1 WO2016040077 A1 WO 2016040077A1 US 2015048216 W US2015048216 W US 2015048216W WO 2016040077 A1 WO2016040077 A1 WO 2016040077A1
Authority
WO
WIPO (PCT)
Prior art keywords
cobalt
substrate
deposited
ammonium
precursor
Prior art date
Application number
PCT/US2015/048216
Other languages
French (fr)
Inventor
Philip S.H. Chen
William Hunks
Steven Lippy
Ruben Remco LIETEN
Original Assignee
Entergris, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entergris, Inc. filed Critical Entergris, Inc.
Priority to KR1020177006577A priority Critical patent/KR102487441B1/en
Priority to US15/510,732 priority patent/US11476158B2/en
Publication of WO2016040077A1 publication Critical patent/WO2016040077A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Definitions

  • the present disclosure relates to cobalt precursors for precursors and processes for forming cobalt on substrates, e.g., in the manufacture of semiconductor products, flat-panel displays, and solar panels.
  • Cobalt is finding increasing use in semiconductor manufacturing, such as in fabrication of integrated circuits in which cobalt disilicide has been progressively displacing titanium silicide as feature and linewidth dimensions decrease, since it does not entail the linewidth dependent sheet resistance issues that are characteristic of titanium silicide.
  • Cobalt also is currently under consideration as a conductive cap over copper lines or as part of the barrier/adhesion layer liner for copper lines and contacts, as an encapsulant material, as a seed material for electroless and electroplating processes, and as a replacement material for copper in wiring and interconnects of integrated circuits.
  • Cobalt additionally has elicited interest as a result of its magnetic properties for data storage applications and its potential for spintronics applications.
  • Interconnects are critical components of integrated circuitry, providing power/ground connections and distribution of clock and other signals.
  • Local interconnects comprise the lines that connect gates and transistors, intermediate interconnects provide wiring within functional blocks of integrated circuitry, and global interconnects distribute clock and other signals and provide power/ground connections for the entire integrated circuit. Interconnects increasingly are a dominant factor in determining system performance and power dissipation of integrated circuits.
  • Such cobalt capping has been contemplated to enhance the electromigration (EM) resistance of copper interconnects, and carbonyl precursors have been proposed for such capping applications.
  • Carbonyls are not optimum precursors due to the formation of CO as a by-product in the vapor deposition.
  • the oxygen in the carbonyl may react with copper and form oxide in the cobalt/copper interface that degrades the EM resistance.
  • metal line and via fill processing face increasing challenges to reduce interconnect metal line resistance and to establish high- yield void-free fill, particularly where copper is present in a via, and tantalum, tantalum nitride, ruthenium, and ruthenium alloys may be employed as copper-diffusion barrier/liner materials in the backend process.
  • the present disclosure relates to non-oxygen-containing cobalt precursors that are useful for forming cobalt on substrates, e.g., substrates comprising copper on which cobalt is to be deposited with the substrate also comprising dielectric material such as ultra-low dielectric constant material on which cobalt deposition is desirably avoided.
  • the disclosure also relates to compositions comprising such cobalt precursors, and processes and products related to such cobalt precursors.
  • the disclosure relates to a process for forming cobalt on a substrate, comprising:
  • the cobalt precursor comprises a precursor selected from the group consisting of: (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substituents selected from the group consisting of: H; C 1 -C 8 alkyl; C 6 -C 10 aryl; C 7 -C 16 alkylaryl; C 7 -C 16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C 1 -Cg alkyl, amines, or halo substituents; C 1 -C 8 alkoxy; hydroxyl; oximes; hydroxyamines; acetates; carbonyls; beta-diketonates; and beta-ketoiminates; and (ii) cobalt compounds containing acet
  • vapor deposition conditions effective for depositing cobalt on the substrate from the precursor vapor, wherein the vapor deposition conditions include temperature not exceeding 200°C, and wherein the substrate includes copper surface and dielectric material surface
  • the disclosure relates to an article comprising cobalt deposited on a substrate, as formed by a method comprising a process according to the present disclosure, as variously described herein.
  • a further aspect of the disclosure relates to a method of reducing defects in a deposited metal that is vapor deposited on a base metal, such method comprising cleaning the base metal, prior to vapor deposition of the deposited metal thereon, with a cleaning composition comprising base and oxidizing agent having pH in a range of from 5 to 10.
  • Yet another aspect of the disclosure relates to a method of reducing defects in cobalt that is vapor deposited on copper, such method comprising cleaning the copper, prior to deposition of the cobalt thereon, with a cleaning composition comprising base and oxidizing agent, having pH in a range of from 5 to 10.
  • a further aspect of the disclosure relates to a method of reducing defects in cobalt that is vapor deposited on tungsten, such method comprising cleaning the tungsten, prior to deposition of the cobalt thereon, with a cleaning composition comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4.
  • the disclosure relates to a method of reducing defects in a deposited cobalt that is vapor deposited on a base metal, wherein the cobalt is deposited by a process of the present disclosure, such method comprising cleaning the base metal, prior to vapor deposition of the deposited cobalt thereon, wherein the cleaning comprises (i) contacting the base metal with a cleaning composition comprising base and oxidizing agent, having pH in a range of from 5 to 10; (ii) contacting the base metal with a cleaning composition comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4; (iii) treating the base metal with hydrogen plasma; or (iv) treating the base metal with hydrogen fluoride.
  • Yet another aspect of the disclosure relates to a method of forming deposited cobalt on a substrate, wherein prior to vapor deposition of cobalt on the substrate, the substrate is cleaned with a cleaning composition selected from among (i) cleaning compositions comprising base and oxidizing agent, having pH in a range of from 5 to 10, and (ii) cleaning compositions comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4, wherein the cleaning of the substrate is effective for at least one of (a) reducing detectivity of the deposited cobalt, (b) removing CF X components from the substrate, and (c) removing or pulling back TiN present on the substrate.
  • a cleaning composition selected from among (i) cleaning compositions comprising base and oxidizing agent, having pH in a range of from 5 to 10, and (ii) cleaning compositions comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4, wherein the cleaning of
  • a further aspect of the disclosure relates to a via fill process, comprising vapor depositing cobalt in a via for fill thereof, wherein the cobalt is vapor deposited over a copper surface in the via.
  • Another aspect of the disclosure relates to a void-free filled via as formed by such a process.
  • a further aspect of the disclosure relates to a process for forming cobalt on a substrate comprising metal-containing surface and oxide material surface, the process comprising contacting the substrate, under vapor deposition conditions effective for depositing cobalt on the substrate, with vapor of a cobalt precursor that is effective under the vapor deposition conditions to selectively deposit cobalt on the metal-containing surface of the substrate but not the oxide material surface of the substrate.
  • FIG. 1 is a thermogravimetric and differential scanning calorimetry plot for Co(tBuNCHCHNtBu) 2 , showing a T 50 value of 221.9°C, and a residual mass value of 0.2% at temperature of 772.3°C for such cobalt precursor.
  • FIG. 2 is an XRD plot for cobalt material deposited on a substrate from a Co(tBuNCHCHNtBu) 2 precursor.
  • FIG. 3 is a micrograph of deposited cobalt material, deposited at a thickness of 25.1 A and pressure of 30 torr from a Co(tBuNCHCHNtBu) 2 precursor.
  • FIG. 4 is a micrograph of deposited cobalt material, deposited at a thickness of 69.7 A and pressure of 30 torr from a Co(tBuNCHCHNtBu) 2 precursor.
  • FIG. 5 is a micrograph of deposited cobalt material, deposited at a cobalt thickness of 13.6 A and pressure of 10 torr from a Co(tBuNCHCHNtBu) 2 precursor.
  • FIG. 6 is a micrograph of deposited cobalt material, deposited at a thickness of 59.3 A and pressure of 10 torr from a Co(tBuNCHCHNtBu) 2 precursor.
  • FIG. 7 is a micrograph of a cobalt film formed on a ruthenium substrate at a deposition pressure of 10 torr.
  • FIG. 8 is a plot of percentage resistivity after annealing, as a function of annealing temperature, in degrees Centigrade, for annealing carried out at a pressure of 30 torr and hydrogen flow rate of 0.5 L per minute for a cobalt film formed from a Co(tBuNCHCHNtBu) 2 precursor.
  • FIG. 9 shows XRD plots for the cobalt films on tantalum nitride, titanium nitride, and copper substrates, in intensity (arbitrary units) as a function of the two-theta angle, in degrees.
  • FIG. 10 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a tantalum nitride substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • FIG. 1 1 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the cobalt film trace on a tungsten nitride (WN) substrate in this plot is the upper trace
  • the cobalt film trace on an iridium substrate is the middle trace
  • the cobalt film trace on a tantalum substrate is the lower trace.
  • FIG. 12 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on an iridium oxide substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the iridium oxide substrate is shown as the lower trace in this plot.
  • FIG. 13 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a tantalum substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the tantalum substrate is shown as the lower trace in this plot.
  • FIG. 14 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a ruthenium substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the ruthenium substrate is shown as the lower trace in this plot.
  • FIG. 15 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a tantalum substrate. The cobalt film had a thickness of 124.6 A.
  • FIG. 16 is a scanning electron micrograph of a cobalt film on a copper substrate, at a cobalt film thickness of 230.4 A.
  • FIG. 17 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a tantalum nitride substrate, wherein the cobalt film had a thickness of 188.3 A.
  • FIG. 18 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a titanium nitride substrate, wherein the cobalt film had a thickness of 180.1 A.
  • FIG. 19 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a silicon substrate, wherein the cobalt film had a thickness of 25.3 A.
  • FIG. 20 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine free tungsten (FFW) substrate.
  • the cobalt film thickness was 208.7 A, and the film had a resistivity of 91.7 ⁇ -cm.
  • FIG. 21 is a scanning electron micrograph, at 50,000X magnification, of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on an iridium dioxide substrate.
  • the cobalt film had a thickness of 204.1 A.
  • FIG. 22 is a scanning electron micrograph, at 200,000X magnification, of the cobalt film of FIG. 21, deposited from the Co(tBuNCHCHNtBu) 2 precursor on an iridium dioxide substrate, wherein the cobalt film had a thickness of 204.1 A.
  • FIG. 23 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a ruthenium substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the lower line in this plot shows the data for deposition at 290°C, and cobalt film thickness of 166 A.
  • the middle line in this plot shows the data for deposition at 250°C, and cobalt film thickness of 205.1 A.
  • the upper line in this plot shows the data for deposition at 200°C, and cobalt film thickness of 21 1.8 A.
  • FIG. 24 is a graph of resistivity, in ⁇ -cm, as a function of film thickness in Angstroms, as determined by x-ray fluorescence, for a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a ruthenium substrate, showing the data for deposition at a temperature of 200°C, 250°C, and 290°C. The data show that the cobalt film had a resistivity on the order of 30 ⁇ -cm.
  • FIG. 25 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the upper line in this plot shows the data for deposition at 290°C, and cobalt film thickness of 208.7 A.
  • the lower line in this plot shows the data for deposition at 250°C, and cobalt film thickness of 127.4 A.
  • FIG. 26 is a graph of resistivity, in ⁇ -cm, as a function of film thickness in Angstroms, as determined by x-ray fluorescence, for a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten nitride substrate, at temperatures of 200°C, 250°C, and 290°C. The deposition was carried out at pressure of 30 torr, and with hydrogen co-reactant flow rate of 0.5 L per minute.
  • FIG. 27 is a graph of resistivity, in ⁇ -cm, as a function of film thickness in Angstroms, as determined by x-ray fluorescence, for a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten nitride substrate, at a temperature of 250°C, and precursor flow rate of 50 ⁇ mole/minute.
  • a first run was carried out at pressure of 10 torr, and co-reactant hydrogen flow rate of 3 L per minute.
  • a second run was carried out at pressure of 30 torr, and hydrogen co-reactant flow rate of 0.5 L per minute.
  • a third run was carried out at pressure of 30 torr, and hydrogen co-reactant flow rate of 3 L per minute.
  • a fourth run was carried out at pressure of 10 torr, and hydrogen co-reactant flow rate of 0.5 L per minute.
  • FIG. 28 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 200°C, pressure of 30 torr, co-reactant hydrogen flow of 0.5 L per minute, yielding a cobalt film thickness of 49.8 A.
  • FIG. 29 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 250°C, pressure of 10 torr, co-reactant hydrogen flow of 3 L per minute, yielding a cobalt film thickness of 39.8 A, and film resistivity of 139 ⁇ -cm.
  • FIG. 30 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 250°C, pressure of 30 torr, co-reactant hydrogen flow of 3 L per minute, yielding a cobalt film thickness of 35.8 A, and a film resistivity of 169 ⁇ -cm.
  • FIG. 31 is a micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a copper substrate, at deposition temperature of 200°C, yielding a cobalt film thickness of 169.5 A.
  • FIG. 32 is a micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a copper substrate, at deposition temperature of 150°C, yielding a cobalt film thickness of 97.6 A.
  • FIG. 33 is a graph of 400°C RTN resistivity data for cobalt films deposited from the Co(tBuNCHCHNtBu) 2 precursor, and from other cobalt precursors, on fluorine-free substrates, as a function of XRF-determined film thickness.
  • the additional cobalt precursors were: dicobalt hexacarbonyl tert-butylacetylene, which has the formula Co 2 (CO) 6 (HCC(CH 3 ) 3 ), with a boiling point of 52°C at 0.8 torr (106.7 Pa), existing as a red liquid at 25°C (CCTBA); high purity CCTBA (HP CCTBA); dicobalt hexacarbonyl trimcthylsilyl acetylene (CCTMSA); cobalt carbonyl bis(trimcthylsilyl acetylene, having the formula [((H 3 C)Si)C ⁇ C] 2 Co(CO) (CCBTMSA); bis(N-methylacetamidinato)cobalt (Co(Methyl- Amidinate)); and bis(N-ethylacetamidinato)cobalt (Co(Ethyl-Amidinate)).
  • FIG. 34 is an XRD plot of a crystalline cobalt film deposited at 150°C from the Co(tBuNCHCHNtBu) 2 precursor, in arbitrary intensity units, as a function of the two-theta angle, in degrees.
  • FIG. 35 is a scanning electron micrograph of a cobalt film having a thickness of 39.9 A, which was deposited from the Co(tBuNCHCHNtBu) 2 precursor on a substrate at a deposition rate of 150 ⁇ moles per minute, at a pressure of 30 torr and a co-reactant hydrogen flow rate of 3 L per minute.
  • FIG. 36 is a scanning electron micrograph of a cobalt film having a thickness of 13.4 A, which was deposited from the Co(tBuNCHCHNtBu) 2 precursor on a substrate at a deposition rate of 150 ⁇ moles per minute, at a pressure of 10 torr and a co-reactant hydrogen flow rate of 3 L per minute.
  • FIG. 37 is an electron micrograph at a magnification of 25,000 times, showing a cobalt film that has been deposited on copper that has not been cleaned by a pre-deposition cleaning with the cleaning composition of the present disclosure.
  • FIG. 38 is an electron micrograph at a magnification of 25,000 times, showing a cobalt film that has been deposited on copper that has been cleaned with a cleaning composition of the present disclosure, comprising an approximate weight percentage composition of 89% deionized water, 9% oxidizing agent, and 2% base, based on total weight of the cleaning composition, as contacted with the copper for 2 minutes at 50°C.
  • FIG. 39 is a schematic representation of a dual Damascene test structure utilized for cobalt deposition in accordance with the present disclosure, in a specific embodiment thereof.
  • FIG. 40 is a top view micrograph of a via test structure prior to cobalt selective growth and fill.
  • FIG. 41 is a cross-sectional view of the via test structure of FIG. 40.
  • FIG. 42 is a scanning electron micrograph (SEM) of the cross-section of the cobalt filled via test structure, in which cobalt completely fills the ⁇ 135 nm tall and 45 run diameter (3: 1 aspect ratio) via structure.
  • FIG. 43 is an SEM top view of the cobalt filled via test structure whose cross-sectional view is shown in FIG. 42.
  • the present disclosure relates to non-oxygen-containing cobalt precursors that are useful for forming cobalt on substrates in surface-selective deposition processes, e.g., deposition on substrates comprising copper on which cobalt is to be deposited with the substrate also comprising dielectric material such as ultra-low dielectric constant material on which cobalt deposition is desirably avoided.
  • the disclosure further relates to compositions comprising the aforementioned non-oxygen- containing cobalt precursors, and surface-selective deposition processes utilizing such precursors and compositions, as well as to microelectronic products, flat panel displays, and solar panels, and component structures therefor, produced using such precursors and precursor compositions.
  • film refers to a layer of deposited material having a thickness not exceeding 10 micrometers, e.g., from such value down to atomic monolayer thickness values.
  • film thicknesses of deposited material layers in the practice of the disclosure may for example not exceed 5 micrometers, or not exceed 1 micrometer, or in various thin film regimes be below 200, 10 or 1 nanometers) film thickness, depending on the specific application involved, however it will be recognized that cobalt-containing material in the broad practice of the present disclosure may have any suitable thickness for the application that is involved.
  • cobalt is intended to be broadly construed to include elemental cobalt, as well as cobalt-containing compounds, mixtures, and alloys.
  • a carbon number range e.g., in C 1 -C 12 alkyl
  • identification of a carbon number range is intended to include each of the component carbon number moieties within such range, so that each intervening carbon number and any other stated or intervening carbon number value in that stated range, is encompassed, it being further understood that sub-ranges of carbon number within specified carbon number ranges may independently be included in smaller carbon number ranges, within the scope of the invention, and that ranges of carbon numbers specifically excluding a carbon number or numbers are included in the invention, and sub-ranges excluding either or both of carbon number limits of specified ranges are also included in the invention.
  • C 1 -C 12 alkyl is intended to include methyl, ethyl, propyl, butyl, pentyl, hexyl, heptyl, octyl, nonyl, decyl, undecyl and dodecyl, including straight chain as well as branched groups of such types. It therefore is to be appreciated that identification of a carbon number range, e.g., C 1 -C 12 , as broadly applicable to a substituent moiety, enables, in specific embodiments of the invention, the carbon number range to be further restricted, as a sub-group of moieties having a carbon number range within the broader specification of the substituent moiety.
  • the carbon number range C 1 -C 12 alkyl may be more restrictively specified, in particular embodiments of the invention, to encompass sub-ranges such as C 1 - C 4 alkyl, C 2 -C 8 alkyl, C 2 -C alkyl, C 3 - C 5 alkyl, or any other sub-range within the broad carbon number range.
  • compositions may be further specified in specific embodiments by provisos or limitations excluding specific substituents, groups, moieties or structures, in relation to various specifications and exemplifications thereof set forth herein.
  • the invention contemplates restrictively defined compositions, e.g., a composition wherein R 1 is C 1 -C 12 alkyl, with the proviso that R i ⁇ C alkyl when R j is silyl.
  • Aryls as used herein includes hydrocarbons derived from benzene or a benzene derivative that arc unsaturated aromatic carbocyclic groups of from 6 to 10 carbon atoms.
  • the aryls may have a single or multiple rings.
  • the term "aryl” as used herein also includes substituted aryls. Examples include, but are not limited to phenyl, naphthyl, xylene, phenylethane, substituted phenyl, substituted naphthyl, substituted xylene, substituted phenylethane and the like.
  • the term "ultra-low" in reference to dielectric constant means a dielectric constant that is below 2.5.
  • the precursors of the invention can be supplied in any suitable form for volatilization to produce the precursor vapor for deposition contacting with the substrate, e.g., in a liquid form that is vaporized or as a solid that is dissolved or suspended in a solvent medium for flash vaporization, as a sublimable solid, or as a solid having sufficient vapor pressure to render it suitable for vapor delivery to the deposition chamber, or in any other suitable form.
  • any suitable solvent medium can be employed in which the precursor can be dissolved or dispersed for delivery.
  • the solvent medium may be a single-component solvent or a multicomponent solvent mixture, including solvent species such as C 3 -C 12 alkanes, C 2 -C 12 ethers, C 6 -C 12 aromatics, C 10 -C 25 arylalkanes, C 10 -C 2 s arylcyloalkanes, and further alkyl-substituted forms of aromatic, arylalkane and arylcyloalkane species, wherein the further alkyl substituents in the case of multiple alkyl substituents may be the same as or different from one another and wherein each is independently selected from C 1 -C 8 alkyl.
  • Illustrative solvents include amines, ethers, aromatic solvents, glymes, tetraglymes, alkanes, alkyl- substituted benzene compounds, benzocyclohexane (tetralin), alkyl-substituted benzocyclohexane and ethers, with tetrahydrofuran, xylene, 1 ,4-tertbutyltoluene, 1 ,3-diisopropylbenzene, dimethyltetralin, octane and decane being potentially useful solvent species in specific applications.
  • the solvent is selected from among tertiary amines, ethers and aromatic solvent.
  • non-oxygen-containing cobalt precursors of the present disclosure include
  • Co(tBuNCHCHNtBu) 2 also referred to herein as Co(tBuDAD) 2 or Co-diazadiene
  • cobalt precursors with mono- or bis-substituted alkyl- 1,3 -diazabutadienyl ligands as usefully employed in selective surface deposition processes in accordance with the present disclosure.
  • Co(tBuDAD) 2 provides a perfect selectivity of cobalt coating on copper versus dielectric surface, i.e., enabling cobalt to be deposited only on copper surfaces but not on ultra-low dielectric constant (ULK) material surfaces when copper and ULK dielectric surfaces of a substrate are concurrently contacted with Co(tBuDAD) 2 precursor vapor, when the contacting is carried out at temperature not exceeding 200°C, e.g., at temperature in a range of from 130°C to 200°C.
  • ULK ultra-low dielectric constant
  • Deposition of cobalt using such Co(tBuDAD) 2 precursor at temperature in the upper portion of such range, such as at temperature of 180°C to 200°C can be carried out with deposition rates of greater than 1 nm/minute.
  • lower temperatures for such contacting such as 150°C
  • the same selectivity of copper surfaces versus ULK material surfaces can be achieved, albeit at lower deposition rates, as for example a deposition rate of ⁇ 0.5 nm minute, on the copper surface.
  • the present disclosure more generally contemplates the use of cobalt precursors with mono- or bis-substituted alkyl-1,3 -diazabutadienyl ligands can be employed in selective surface deposition processes.
  • Cobalt precursors of the general formula ⁇ R CHCHNR ⁇ 2 Co, or ⁇ R'NCRCRNR' ⁇ 2 Co, wherein each R and R' is independently selected from among C 1 -C 8 alkyl can be utilized for deposition in atomic layer deposition (ALD) and chemical vapor deposition (CVD) processes in the broad practice of the present disclosure, as can mono-substituted C 1 -C 8 alkyl- 1 ,3 -diazabutadienyl cobalt complexes, containing other ligands, e.g., ligands selected from the following: halides, alkoxides, dialkoxides, amides, diamides, imides, oximes, hydroxylamines, amidinates, guanidinates, acetates, carbonyls, alkyls, cyclopentadienyls, beta-diketonates, and betaketoiminates.
  • ALD atomic layer deposition
  • oxygen -containing ligands are desirably avoided, however, in other applications contemplated by the present application, such oxic ligands may be usefully employed in corresponding cobalt precursors, such as in the ALD or CVD deposition of cobalt oxide, cobalt nitride, cobalt carbide, cobalt silicide, or other cobalt alloy thin films.
  • cobalt precursors containing acetylene groups contemplates cobalt precursors containing acetylene groups.
  • cobalt precursors that can be used in the broad practice of the present invention, e.g., to form cobalt capping layers on copper comprised in substrates also including dielectric surface, particularly ultra-low dielectric material surfaces, include the cobalt compounds disclosed in U.S. Patent Application Publication 20130164456 published September 27, 2013 in the name of Charles H. Winter, et al. and Winter, et al Organometallics 201 1, 30, p.5010.
  • DAD diazadiene
  • cobalt compounds of the present disclosure can be synthesized as described in U.S. Patent Application Publication 20130251903 published September 26, 2013 in the name of Won Seok Han.
  • Other cobalt precursors described herein can be synthesized within the skill of the art based on the disclosure herein.
  • the disclosure relates to a process for forming cobalt on a substrate, comprising:
  • the cobalt precursor comprises a precursor selected from the group consisting of: (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substitucnts selected from the group consisting of: H; C 1 -C 8 alkyl; C 6 -C 10 aryl; C 7 -C 16 alkylaryl; C 7 -C 16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C 1 -Cg alkyl, amines, or halo substituents; C 1 -C 8 alkoxy; hydroxyl; oximes; hydroxyamines; acetates; carbonyls; beta-diketonates; and beta-ketoiminates; and (ii) cobalt compounds containing
  • vapor deposition conditions effective for depositing cobalt on the substrate from the precursor vapor, wherein the vapor deposition conditions include temperature not exceeding 200°C, and wherein the substrate includes copper surface and dielectric material surface.
  • the cobalt precursor comprises a precursor selected from the group consisting of (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substituents selected from the group consisting of: H; C 1 -C 8 alkyl; C 6 -C 10 aryl; C 7 -C 16 alkylaryl; C 7 -C 16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C 1 -C 8 alkyl, amines, or halo substituents; C 1 -C 8 alkoxy; hydroxyl; oximes; hydroxyamines; acetates; carbonyls; beta-diketonates; and beta-ketoiminates.
  • substituents selected from the group consisting of: H; C 1 -C 8 alkyl; C 6 -C 10 aryl
  • the cobalt precursor comprises a precursor selected from the group consisting of (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and or carbon atoms thereof with substituents selected from the group consisting of: H; C 1 -C 8 alkyl; C 6 -C 10 aryl; C 7 -C 16 alkylaryl; C 7 -C 16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C 1 -C 8 alkyl, amines, or halo substituents.
  • the cobalt precursor comprises
  • the cobalt precursor comprises a cobalt precursor of the formula ⁇ RNCHCHNR ⁇ 2 Co, or ⁇ R'NCRCRNR' ⁇ 2 Co, wherein each R and R' is independently selected from among Ci-C « alkyl.
  • the process may comprise in additional embodiments the cobalt precursor comprising a cobalt compound containing acetylenic functionality, e.g., wherein the cobalt precursor comprises a cobalt compound selected from the group consisting of dicobalt hexacarbonyl tert-butylacetylene; dicobalt hexacarbonyl trimethylsilyl acetylene; and cobalt carbonyl bis(trimethylsilyl acetylene.
  • the cobalt precursor comprises a cobalt compound selected from the group consisting of dicobalt hexacarbonyl tert-butylacetylene; dicobalt hexacarbonyl trimethylsilyl acetylene; and cobalt carbonyl bis(trimethylsilyl acetylene.
  • the dielectric material in the process of the disclosure may comprise an ultra-low k dielectric material.
  • the process of the disclosure is characterized by one or more of the following features or characteristics: being conducted to cap a copper metallization element on the substrate; mixing the precursor vapor with hydrogen for the contacting; further comprising annealing the cobalt deposited on the substrate, e.g., involving rapid thermal annealing; conducting the contacting at temperature in a range of from 60°C to 200°C, or at temperature in a range of from 130°C to 200°C, e.g., at temperature in a range of from 180°C to 200°C, and a cobalt deposition rate of greater than 1 nm/minute; conducting the process with a cobalt precursor with mono- or bis-substituted alkyl-1,3- diazabutadienyl ligands; conducting the contacting in an ALD process; conducting the contacting in a CVD process; conducting the contacting to deposit cobalt on the substrate to form a cobalt compound thereon, wherein the cobal
  • the disclosure relates in various other aspects to an article comprising cobalt deposited on a substrate, as formed by a method comprising a process as variously described herein, in any of the embodiments herein disclosed.
  • the article may for example comprise a semiconductor device, flat-panel display, or solar panel.
  • the deposited cobalt may comprise an electrode.
  • the article may comprise a gate or capacitor structure.
  • the deposited cobalt may form a capping layer, e.g., overlying a via.
  • the deposited cobalt in other embodiments may form an encapsulating layer, e.g., covering a copper interconnect element.
  • the deposited cobalt in other embodiments may form a diffusion barrier in the article.
  • the deposited cobalt may form a seed for electroplating of metal thereon.
  • the present disclosure relates to a process for forming cobalt on a substrate, comprising: volatilizing a cobalt precursor of the disclosure, to form a precursor vapor; and contacting the precursor vapor with the substrate under vapor deposition conditions effective for depositing cobalt on the substrate from the precursor vapor, wherein the vapor deposition conditions include temperature not exceeding 200°C, wherein the substrate includes copper surface and dielectric material, e.g., ultra-low dielectric material.
  • the cobalt precursor is volatilized by vaporization of a solvent solution thereof.
  • the solvent solution may for example comprise an organic solvent, such as a hydrocarbon solvent, e.g., a solvent selected from the group consisting of alkane solvents, aromatic solvents, ketone solvents, ether solvents, etc.
  • the solvent may comprise an alkane solvent, e.g., a C 4 -C 10 alkane solvent, such as butane, pentane, hexane, heptane, octane, nonane, or decane, or, more generally, any other solvent species, solvent mixture, etc. that is compatible with the cobalt precursor.
  • the process of the present disclosure may be conducted, in various embodiments, with the precursor vapor being transported in a carrier gas to the contacting step in which the precursor vapor/carrier gas mixture is contacted with the substrate to effect deposition of cobalt on the substrate.
  • the carrier gas may be of any suitable type, and may include any suitable carrier gas or gases that are compatible with the precursor vapor.
  • the carrier gas may for example comprise an inert or other suitable gas, such as argon, neon, xenon, krypton, helium, hydrogen, etc.
  • the vapor deposition conditions in the above-described process may in various embodiments comprise pressure in a suitable range, e.g., a range of from 2 to 1200 torr, a range of from 2 to 100 torr, a range of from 5 to 100 torr, a range of from 5 to 70 torr, a range of from 10 to 50 Torr, or pressure in other suitable pressure range.
  • a suitable range e.g., a range of from 2 to 1200 torr, a range of from 2 to 100 torr, a range of from 5 to 100 torr, a range of from 5 to 70 torr, a range of from 10 to 50 Torr, or pressure in other suitable pressure range.
  • the vapor deposition conditions in various embodiments may comprise temperature in a range of from 25°C to 200°C, a range of from 60°C to 200°C, a range of from 100°C to 200°C, a range of from 120°C to 175°C, a range of from 125°C to 165°C, or temperature in other suitable temperature range.
  • the cobalt precursor vapor may be mixed with co-reactants and/or carrier gases, for delivery to the contacting of the precursor vapor with the substrate.
  • the substrate may be of any suitable type that includes copper surface and dielectric material surface, and may for example comprise a semiconductor substrate, such as a silicon oxide substrate, a metal substrate, or a glass, ceramic, or other appropriate substrate for the specific product to be formed comprising the cobalt film, which includes such copper and dielectric surface.
  • the contacting of the precursor vapor with the substrate in processes of the disclosure may be carried out for any suitable period of time, e.g., a period of from 2 to 60 minutes, a period of from 3 to 15 minutes, a period of from 5 to 12 minutes, or other suitable period of time.
  • the contacting is conducted for a period of time sufficient to deposit a predetermined thickness of the deposited cobalt.
  • Such thickness may be of any suitable magnitude, e.g., a thickness in a range of from 2 nm to 1000 nm, a thickness in a range of from 2 nm to 500 nm, a thickness in a range of from 4 nm to 400 nm, a thickness in a range of from 5 nm to 300 nm, or thickness in other thickness range.
  • the cobalt film after the contacting is annealed by a thermal annealing process.
  • the thermal annealing can be carried out at any suitable annealing conditions, e.g., temperature in a range of from 200°C to 600°C, a range of from 200°C to 550°C, a range of from 350°C to 550°C, a range of from 375°C to 450°C, or temperature in other suitable temperature range.
  • the thermal annealing can be conducted for a suitable period of time to achieve a desired resistivity, sheet resistance, and/or other desired characteristics of the film.
  • the annealing can be carried out for a period in a range of from I minute to 20 minutes, in a range of from 2 minutes to 15 minutes, in a range of from 10 to 12 minutes, or other period of time.
  • the thermal annealing may be conducted for a period that is effective to reduce resistivity of the cobalt film as deposited on the substrate, e.g., by an amount in a range of from 25% to 90% of the as-deposited resistivity of the cobalt film, in a range of from 30% to 80% reduction, in a range of from 40% to 75% reduction, or in other range of reduction of resistivity, to yield a desired resistivity value, e.g., a resistivity in a range of from 10 to 40 ⁇ -cm.
  • the cobalt film formed by processes of the present disclosure has high purity and low resistivity, e.g., a resistivity in a range of from 7 to 48 ⁇ -cm, a range of from 10 to 45 ⁇ -cm, a range of from 15 to 40 ⁇ -cm, a range of from 18 to 38 ⁇ -cm, or resistivity in other suitable range.
  • the contacting of the precursor vapor with the substrate may be carried out with delivery of the precursor vapor to the substrate at a suitable rate to achieve the desired deposited cobalt thickness and other properties.
  • the precursor vapor maybe flowed to the substrate for contacting thereof, at a flow rate that is in a range of from 20 to 200 ⁇ moles/minute.
  • the cobalt precursor may be flowed to the contacting step in the mixture with hydrogen or other co-flow gas or gases.
  • Such a co-flow gases may be delivered at any suitable rate, e.g., a rate in a range of from 1 to 5 L per minute, or other suitable flow rate.
  • the contacting may be carried out at pressure in a range of from 10 to 50 torr in various specific embodiments.
  • Cobalt may be deposited on the substrate from the precursor vapor at any suitable deposition rate, such as a deposition rate in a range of from 2 to 20 A/minute, a deposition rate in a range of from 1 to 10 A/minute, or other deposition rate.
  • the cobalt film in various embodiments of the present invention can be formed at any suitable thickness, e.g., a thickness in a range of from 75 A to 500 A, a thickness in a range of from 10 A to 400 A, a thickness in a range of from 20 A to 300 A, or thickness in another range.
  • the cobalt precursor is volatilized to form the precursor vapor, and the cobalt film deposited on the substrate is annealed by a thermal annealing process.
  • the thermal annealing process in such application may be conducted for any suitable period of time and at any suitable temperature.
  • the annealing may be conducted for a period of from 1 minute to two hours at temperature in a range of from 150°C to 500°C, e.g., or for a period of from 1 to 15 minutes at temperature in a range of from 375°C to 450°C, or under other suitable time and temperature conditions.
  • the cobalt films of the present disclosure as formed by method comprising the process according to any of the previously described embodiments can be utilized to form devices comprising the high purity, low resistivity cobalt films.
  • Such devices may be of any suitable type, and in various embodiments may comprise a semiconductor device, flat-panel display, or solar panel.
  • the present disclosure therefore contemplates a thin-film structure comprising a vapor-deposited high purity, low resistivity cobalt film, such as a high purity, low resistivity cobalt film formed by a method comprising a process according to any of the embodiments and aspects described hereinabove.
  • the present disclosure thus contemplates a process for forming cobalt on a substrate in which a cobalt precursor is volatilized to form precursor vapor that is contacted with the substrate under vapor deposition conditions effective for depositing cobalt on the substrate from the precursor vapor.
  • the deposited cobalt may be employed to fill a via of the substrate, with the cobalt being deposited over a copper surface in the via.
  • the copper surface may be at a lower portion or at a bottom of the via.
  • the via may have a diameter in a range of from 15 nm to 45 nm in various embodiments, and in other embodiments may have a diameter of less than 15 nm.
  • the via may have an aspect ratio in a range of from 1 : 1 to 5: 1 , and in a specific embodiment may have an aspect ratio of 3 : 1.
  • the copper surface in the via may have one or more layers deposited thereon, with the cobalt being deposited on an outermost surface of such one or more layers.
  • the one or more layers may comprise a layer of tantalum on the copper surface, and a layer of tantalum nitride, wherein the outermost surface comprises surface of the layer of tantalum nitride.
  • the one or more layers may include a layer of ruthenium on the copper surface, a layer of tantalum on the layer of ruthenium, and a layer of tantalum nitride on the layer of tantalum, in which the outermost surface comprises surface of the layer of tantalum nitride.
  • the one or more layers may include a layer of ruthenium on the copper surface, in which the outermost surface comprises surface of the layer of ruthenium.
  • the via fill process may be conducted with any suitable cobalt precursor for the vapor deposition of cobalt, including the cobalt precursors variously described herein.
  • the vapor deposition may comprise any suitable vapor deposition technique, e.g., chemical vapor deposition (CVD).
  • the via fill process may be conducted in a dual-damascene structure, as part of a corresponding process for semiconductor manufacturing.
  • the via fill process may be conducted on a substrate from which a TiN hard mask has been removed, as hereinafter more fully discussed.
  • the cobalt in the via fill method may in specific embodiments be deposited at temperature not exceeding about 200°C.
  • the disclosure correspondingly contemplates a void-free filled via as formed by a process described above.
  • the disclosure relates to a process for forming cobalt on a substrate comprising metal-containing surface and oxide material surface, the process comprising contacting the substrate, under vapor deposition conditions effective for depositing cobalt on the substrate, with vapor of a cobalt precursor that is effective under the vapor deposition conditions to selectively deposit cobalt on the metal-containing surface of the substrate but not the oxide material surface of the substrate.
  • the "metal-containing surface” can contain any metal or any combination of metals, e.g., alloys, as well as non-oxide compounds of such metals.
  • the metal-containing surface can comprise metal selected from the group consisting of copper, tantalum, ruthenium, tungsten, aluminum, and cobalt.
  • the metal-containing surface may comprise a metal nitride, e.g., tantalum nitride.
  • the oxide material surface may comprise dielectric material in various embodiments.
  • the oxide material surface may comprise a silicon oxide material.
  • the cobalt precursor utilized in the foregoing process may be of any suitable type(s), and may for example comprise a precursor selected from the group consisting of (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substituents selected from the group consisting of: H; C1 -C8 alkyl; C6-C 10 aryl; C 7 -C 16 alkylaryl; C 7 -C 16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C1 -C8 alkyl, amines, or halo substituents; C1 -C8 alkoxy; hydroxyl; oximes; hydroxyamines; acetates; carbonyls; beta-diketonates; and beta-ketoiminates; and (ii) cobalt compounds containing
  • the vapor deposition conditions in various embodiments comprise temperature not exceeding about 200°C.
  • the vapor deposition in the foregoing process may comprise chemical vapor deposition or any other suitable type of vapor deposition.
  • the substrate comprises copper surface and SiO 2 surface.
  • the substrate comprises tantalum surface and and SiO 2 surface.
  • the substrate may comprise tantalum nitride surface and SiO 2 surface.
  • Cobalt deposition selectivity was evaluated, using Co(tBUNCHCHNtBu) precursor, on silicon dioxide, copper, and ULK substrate surfaces, at "C", "L", and “BL” positions on the substrate surface.
  • Initial deposition runs were carried out at temperatures of 290°C, 250°C, and 200°C, with the results shown in Table 1 below.
  • Position "L” showed a lower deposition rate.
  • Thickness of the deposited cobalt film in An stroms was determined b x-ra fluorescence XRF .
  • the Co(tBUNCHCHNtBu) precursor showed excellent selectivity at temperatures of ⁇ 200°C, depositing on copper surface but not on ULK surface on the substrate.
  • thermogravimetric and differential scanning calorimetry characteristics of Co(tBuNCHCHNtBu) 2 were determined by corresponding TG/DSC analysis, generating the thermal characteristics plot shown in FIG. 1 , showing a T 50 value of 221.9°C, and a residual mass value of 0.2% at temperature of 772.3°C.
  • the Co(tBuNCHCHNtBu) 2 precursor then was evaluated in deposition on silicon dioxide substrates, at the following deposition conditions: 290°C deposition temperature; 50 ⁇ mole/minute delivery rate of the precursor, deposition pressure of 10-30 torr; hydrogen as a co- reactant introduced to the deposition chamber at flow rate of 0.5 to 3 L per minute; vaporizer temperature of 130°C; and 90°C deposition chamber temperature.
  • the resulting deposited material was in the form of powdery Co 2 C material.
  • XRD analysis of such deposited Co 2 C material identified such material has being orthorhombic in character, as shown by the XRD plot in FIG. 2.
  • a micrograph of the deposited Co 2 C material, deposited at a cobalt thickness of 25.1 A and pressure of 30 torr is shown in FIG. 3.
  • a micrograph of the deposited Co 2 C material, deposited at a cobalt thickness of 69.7 A and pressure of 30 torr is shown in FIG. 4.
  • a micrograph of the deposited Co 2 C material, deposited at a cobalt thickness of 13.6 A and pressure of 10 torr is shown in FIG. 5.
  • a micrograph of the deposited Co 2 C material, deposited at a cobalt thickness of 59.3 A and pressure of 10 torr is shown in FIG. 6.
  • FIG. 7 is a micrograph of a cobalt film formed on the ruthenium substrate at a deposition pressure of 10 torr.
  • the thickness of the cobalt film was determined for this sample by x-ray fluorescence and scanning electron micrography, yielding an XRF- determined thickness of 16.6 nm, and an SEM-determined thickness of 22 nm.
  • the resistivity of the cobalt film was determined to be on the order of 59 ⁇ -cm.
  • Sheet resistance, in ohms/square, and resistivity in ⁇ -cm are tabulated in Table 3, along with cobalt film thickness in Angstroms, as determined by x-ray fluorescence, for a variety of substrates including tantalum nitride, tantalum, titanium nitride, copper, tungsten deposited by physical vapor deposition, tungsten deposited by chemical vapor deposition, iridium dioxide, ruthenium, and fluorine free tungsten (FFW).
  • substrates including tantalum nitride, tantalum, titanium nitride, copper, tungsten deposited by physical vapor deposition, tungsten deposited by chemical vapor deposition, iridium dioxide, ruthenium, and fluorine free tungsten (FFW).
  • FIG. 9 shows XRD plots for the cobalt films on tantalum nitride (upper trace), titanium nitride (middle trace), and copper (lower trace) substrates, in intensity (arbitrary units) as a function of the two-theta angle, in degrees. This plot shows that cobalt was deposited on the copper substrate in an amorphous state.
  • FIG. 10 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a tantalum nitride substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the tantalum nitride substrate is shown as the lower trace in this plot.
  • FIG. 11 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the cobalt film trace on a fluorine free tungsten (FFW) substrate in this plot is the upper trace
  • the cobalt film trace on an iridium substrate is the middle trace
  • the cobalt film trace on a tantalum substrate is the lower trace.
  • FIG. 12 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on an iridium oxide substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the iridium oxide substrate is shown as the lower trace in this plot.
  • FIG. 13 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a tantalum substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the tantalum substrate is shown as the lower trace in this plot.
  • FIG. 14 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a ruthenium substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the ruthenium substrate is shown as the lower trace in this plot.
  • FIG. 15 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a tantalum substrate.
  • the cobalt film had a thickness of 124.6 A.
  • FIG. 16 is a scanning electron micrograph of a cobalt film on a copper substrate, at a cobalt film thickness of 230.4 A.
  • FIG. 17 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a tantalum nitride substrate, wherein the cobalt film had a thickness of 188.3 A.
  • FIG. 18 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a titanium nitride substrate, wherein the cobalt film had a thickness of 180.1 A.
  • FIG. 19 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a silicon substrate, wherein the cobalt film had a thickness of 25.3 A.
  • FIG. 20 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine free tungsten (FFW) substrate.
  • the cobalt film thickness was 208.7 A, and the film had a resistivity of 91.7 ⁇ -cm.
  • FIG. 21 is a scanning electron micrograph, at 50,000X magnification, of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on an iridium dioxide substrate.
  • the cobalt film had a thickness of 204.1 A.
  • FIG. 22 is a scanning electron micrograph, at 200.000X magnification, of the cobalt film of FIG. 23, deposited from the Co(tBuNCHCHNtBu) 2 precursor on an iridium dioxide substrate, wherein the cobalt film had a thickness of 204.1 A.
  • Substrate effects were determined for cobalt films deposited from the Co(tBuNCHCHNtBu) 2 precursor on tantalum nitride, tantalum, copper, ruthenium, fluorine-free tungsten, and silicon dioxide substrates.
  • the cobalt film deposition was carried out at 250°C, pressure of 30 torr, and hydrogen co-reactant flow rate of 0.5 L per minute. The results are shown in Table 6 below.
  • FIG. 23 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a ruthenium substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the lower line in this plot shows the data for deposition at 290°C, and cobalt film thickness of 166 A.
  • the middle line in this plot shows the data for deposition at 250°C, and cobalt film thickness of 205.1 A.
  • the upper line in this plot shows the data for deposition at 200°C, and cobalt film thickness of 21 1.8 A.
  • FIG. 24 is a graph of resistivity, in ⁇ -cm, as a function of film thickness in Angstroms, as determined by x-ray fluorescence, for a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a ruthenium substrate, showing the data for deposition at a temperature of 200°C, 250°C, and 290°C. The data show that the cobalt film had a resistivity on the order of 30 ⁇ -cm.
  • FIG. 25 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
  • the upper line in this plot shows the data for deposition at 290°C, and cobalt film thickness of 208.7 A.
  • the lower line in this plot shows the data for deposition at 250°C, and cobalt film thickness of 127.4 A.
  • FIG. 26 is a graph of resistivity, in ⁇ -cm, as a function of film thickness in Angstroms, as determined by x-ray fluorescence, for a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten nitride substrate, at temperatures of 200°C, 250°C, and 290°C. The deposition was carried out at pressure of 30 torr, and with hydrogen co-reactant flow rate of 0.5 L per minute.
  • FIG. 27 is a graph of resistivity, in ⁇ -cm, as a function of film thickness in Angstroms, as determined by x-ray fluorescence, for a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten nitride substrate, at a temperature of 250°C, and precursor flow rate of 50 ⁇ / ⁇ .
  • a first run was carried out at pressure of 10 torr, and co-reactant hydrogen flow rate of 3 L per minute.
  • a second run was carried out at pressure of 30 torr, and hydrogen co-reactant flow rate of 0.5 L per minute.
  • a third run was carried out at pressure of 30 torr, and hydrogen co-reactant flow rate of 3 L per minute.
  • a fourth run was carried out at pressure of 10 torr, and hydrogen co-reactant flow rate of 0.5 L per minute.
  • FIG. 28 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 200°C, pressure of 30 torr, co-reactant hydrogen flow of 0.5 L per minute, yielding a cobalt film thickness of 49.8 A.
  • FIG. 29 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 250°C, pressure of 10 torr, co-reactant hydrogen flow of 3 L per minute, yielding a cobalt film thickness of 39.8 A, and film resistivity of 139 ⁇ -cm.
  • FIG. 29 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 250°C, pressure of 10 torr, co-reactant hydrogen flow of 3 L per minute, yielding a cobalt film thickness of 39.8 A, and film resistivity of 139 ⁇ -cm.
  • FIG. 30 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 250°C, pressure of 30 torr, co-reactant hydrogen flow of 3 L per minute, yielding a cobalt film thickness of 35.8 A, and a film resistivity of 169 ⁇ -cm.
  • FIG. 31 is a micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a copper substrate, at deposition temperature of 200°C, yielding a cobalt film thickness of 169.5 A.
  • FIG. 32 is a micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu) 2 precursor on a copper substrate, at deposition temperature of 150°C, yielding a cobalt film thickness of 97.6 A.
  • FIG. 33 is a graph of 400°C RTN resistivity data for cobalt films deposited from the Co(tBuNCHCHNtBu) 2 precursor ("Co(tBuDAD) 2 ) on a fluorine-free tungsten substrate, and from other cobalt precursors on SiO 2 substrates, as a function of XRF-determined film thickness.
  • the additional cobalt precursors were: dicobalt hexacarbonyl tert-butylacetylene, which has the formula Co 2 (CO) 6 (HCC(CH 3 ) 3 ), with a boiling point of 52°C at 0.8 torr (106.7 Pa), existing as a red liquid at 25°C (CCTBA); high purity CCTBA (HP CCTBA); dicobalt hexacarbonyl trimethylsilyl acetylene (CCTMSA); cobalt carbonyl bis(trimethylsilyl acetylene, having the formula [((H 3 C)SiC ⁇ C] 2 Co(CO) (CCBTMSA); bis(N-methylacetamidinato)cobalt (Co(Methyl-Amidinate)); and bis(N- ethylacetamidinato)cobalt (Co(Ethyl-Amidinate)).
  • dicobalt hexacarbonyl tert-butylacetylene which has
  • FIG. 34 is an XRD plot of a crystalline cobalt film deposited at 150°C from the Co(tBuNCHCHNtBu) 2 precursor, in arbitrary intensity units, as a function of the two-theta angle, in degrees.
  • FIG. 35 is a scanning electron micrograph of a cobalt film having a thickness of 39.9 A, which was deposited from the Co(tBuNCHCHNtBu) 2 precursor on a substrate at a deposition rate of 150 ⁇ moles per minute, at a pressure of 30 torr and a co-reactant hydrogen flow rate of 3 L per minute.
  • FIG. 36 is a scanning electron micrograph of a cobalt film having a thickness of 13.4 A, which was deposited from the Co(tBuNCHCHNtBu) 2 precursor on a substrate at a deposition rate of 150 ⁇ moles per minute, at a pressure of 10 torr and a co-reactant hydrogen flow rate of 3 L per minute.
  • the ensuing disclosure is primarily directed to pre-deposition treatment of copper with the cleaning composition to enable subsequent deposition of cobalt with reduced cobalt defectivity in relation to corresponding deposition of cobalt without such pre-deposition cleaning treatment
  • the utility of the pre-deposition treatment method and associated cleaning composition is not thus limited, but extends to pre-deposition cleaning of other metals than copper and subsequent deposition of other metals than cobalt.
  • the pre-deposition cleaning of tungsten for subsequent metallization deposition is contemplated, in another aspect of the disclosure.
  • the cleaning composition may be of any suitable type having the specified components and pH characteristic, which is effective to effect reduction of defects in the cobalt deposited on copper, in relation to the level of defects occurring in corresponding cobalt deposited on the copper in the absence of pre-deposition treatment with the cleaning composition.
  • the pre-deposition cleaning of the copper on which cobalt is to be deposited may be carried out in any suitable manner to effect contacting of the copper with the cleaning composition for a period of time that is effective to achieve the diminution of defects in the deposited cobalt. Suitable contacting times may be empirically determined by varying the contact time of the cleaning composition with copper samples followed by deposition of cobalt, to determine appropriate contact times for achievement of deposited cobalt of a specific reduced defect character.
  • the cleaning composition may be contacted with the copper for a period of 0.25 to 30 minutes, at temperature in a range of from about 15°C to about 100°C, although shorter or longer contact times and different temperature conditions may be necessary or desirable in other embodiments, depending on the character of the cleaning composition and the specific copper material that is being cleaned in the pre-deposition cleaning operation.
  • Contacting of the cleaning composition with the copper may be carried out in any suitable manner, and may in specific embodiments be affected by immersion contacting, spray application of cleaning composition, mist or aerosol application, or any other suitable contacting method.
  • the contacting of the copper with the cleaning composition may be followed with a rinse step in which the cleaned copper is rinsed with deionized water or other solvent, to remove any residue from the copper, to prepare it for the subsequent deposition of cobalt thereon.
  • the cobalt deposition can then be carried out using a cobalt precursor of the present disclosure.
  • the base utilized in the cleaning composition may be of any suitable type, and may in specific embodiments be selected from among: ammonium hydroxide compounds of the formula N R 1 R 2 R 3 R 4 OH, wherein R 1 , R 2 , R 3 , R 4 may be the same as or different from one another and each is independently selected from the group consisting of: hydrogen; straight-chained or branched C 1 -C 6 alkyl groups (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl); C 1 -C 6 alkoxy groups; C 1 -C 6 hydroxyalkyl groups, (e.g., hydroxyethyl, hydroxypropyl); and substituted and unsubstituted aryl groups (e.g., benzyl); potassium hydroxide; tetrabutylphosphonium hydroxide (TBPH); 1,1,3,3-tetramethylguanidine (TMG); guanidine carbon
  • Specific base components of the foregoing types include tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), benzyltrimethylammonium hydroxide (BTMAH), benzyltriethylammonium hydroxide (BTEAH), (2-hydroxyethyl) trimethylammonium hydroxide, (2- hydroxyethyl) triethylammonium hydroxide, (2-hydroxyethyl) tripropylammonium hydroxide, (1- hydroxypropyl) trimethylammonium hydroxide, ethyltrimethylammonium hydroxide, diethyldimethylammonium hydroxide (DEDMAH), and combinations of two or more thereof.
  • TMAH tetramethylammonium hydroxide
  • TEAH tetrae
  • the oxidizing agent employed in the cleaning composition may be of any suitable type, and may in specific embodiments be selected from among: hydrogen peroxide; FeCl 3 ; FeF 3 ; Fe(NO 3 )3; Sr(NO 3 ) 2 ; CoF 3 ; MnF 3 ; oxone (2KHSO 5 KHSO4 K 2 SO 4 ); periodic acid; iodic acid; vanadium (V) oxide; vanadium (IV, V) oxide; ammonium vanadate; ammonium peroxomonosulfate; ammonium chlorite (NH4CIO2); ammonium chlorate (NH4CIO 3 ); ammonium iodate (NH4IO 3 ); ammonium nitrate (NH4NO 3 ); ammonium perborate (NH 4 BO 3 ); ammonium perchlorate (NH 4 CIO 4 ); ammonium periodate (NH 4 IO 3 ); ammonium persulfate ((NH 4 ) 2 S 2
  • the cleaning composition may in specific embodiments further comprise one or more metal corrosion inhibitor components, e.g., metal corrosion inhibitor selected from the group consisting of 5- amino- l,3,4-thiadiazole-2-thiol (ATDT), benzotriazole (BTA), 1 ,2,4-triazole (TAZ), tolyltriazole, 5- methyl-benzotriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, benzotriazole carboxylic acid, 3- amino-5-mercapto-l,2,4-triazole, 1 -amino- 1 ,2,4-triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)- benzotriazole, 1 -amino- 1,2,3-triazole, l-amino-5-methyl-l ,2,3-triazole, 3-amino- 1 ,2,4-triazole, 3- mercapto-l ,2,4-triazole, 3-
  • the cleaning composition may additionally comprise oxidizing agent stabilizer, as for example stabilizer selected from among glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, and lysine, nitrilotriacetic acid, iminodiacetic acid, etidronic acid, cthylcncdiaminctctraacctic acid (EDTA), (l,2-cyclohcxylcncdinitrilo)tctraacctic acid (CDTA), uric acid, tetraglyme, diethylenetriamine pentaacetic acid, propylenediamine tetraacetic acid, ethylendiamine disuccinic acid, sulfanilamide, and combinations of two or more of the foregoing.
  • oxidizing agent stabilizer as for example stabilizer selected from among glycine, serine, proline, leucine, alanine, asparagine, as
  • the cleaning composition can be formulated to comprise any of various suitable solvents, as for example, water, water-miscible organic solvents, and combinations of the foregoing.
  • Water-miscible organic solvents that may be usefully employed in specific cleaning compositions include those of the formula R 1 R 2 R 3 C(OH), where R 1 , R 2 and R 3 are independent from each other and are selected from to the group consisting of hydrogen, C 2 -C 30 alkyls, C 2 -C 30 alkenes, cycloalkyls, C 2 -C 30 alkoxys, and combinations thereof.
  • Specific solvents that may be usefully employed in specific embodiments include those selected from the group consisting of water, methanol, ethanol, isopropanol, butanol, pentanol, hexanol, 2-ethyl-l-hexanol, heptanol, octanol, ethylene glycol, propylene glycol, butylene glycol, butylene carbonate, ethylene carbonate, propylene carbonate, dipropylene glycol, diethylene glycol monomethyl ether, methylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether, triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene
  • the solvent may comprise water, such as deionized water.
  • the amount of water in the composition may be of a suitable amount that is effective to enable the composition to achieve its intended purpose of reducing defects in the cobalt deposited on the copper that is cleaned with such composition.
  • the cleaning composition may for example comprise water in an amount of from 65 wt% to 95 wt% or more, based on the total weight of the cleaning composition.
  • the cleaning composition may comprise an aqueous composition including from 0.1 wt% to 10 wt% base, and from 5 wt% to 40 wt% oxidizing agent, based on total weight of the cleaning composition.
  • the cleaning composition may be provided in the form of a cleaning composition concentrate that then is diluted or otherwise mixed with solvent and or other components to constitute the final cleaning composition for use.
  • the oxidizing agent may be absent from the concentrate, to avoid issues of instability of the oxidizing agent when the concentrate is stored for a significant period of time, and the concentrate may be mixed with the oxidizing agent at the point of use, e.g., in a semiconductor manufacturing facility.
  • the cleaning composition may comprise any other suitable components, such as surfactants, dielectric passivating agents, stabilizers, dispersing or suspending agents, etc.
  • FIG. 37 is an electron micrograph at a magnification of 25,000 times, showing a cobalt film that has been deposited on copper that has not been cleaned by a pre-deposition cleaning with the cleaning composition of the present disclosure.
  • the resulting cobalt film exhibits many defects, as is readily visually apparent from such micrograph.
  • FIG. 38 is an electron micrograph at a magnification of 25,000 times, showing a cobalt film that has been deposited on copper that has been cleaned with a cleaning composition of the present disclosure, comprising an approximate weight percentage composition of 89% deionized water, 9% oxidizing agent, and 2% base, based on total weight of the cleaning composition, as contacted with the copper for 2 minutes at 50°C.
  • the resulting cobalt film deposited on such cleaned copper as shown in FIG. 38, exhibits few visual defects ( ⁇ 1%).
  • FIGS. 37 and 38 shows the striking reduction in cobalt film defects that has been achieved by the cleaning method and composition of the present disclosure.
  • the disclosure relates to a method of reducing defects in a deposited metal that is vapor deposited on a base metal, such method comprising cleaning the base metal, prior to vapor deposition of the deposited metal thereon, with a cleaning composition comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4.
  • a cleaning composition comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4.
  • Such method may be conducted with a base metal such as tungsten, or other suitable metal.
  • the composition may be substantially devoid of hydrogen peroxide, and may be variously constituted with suitable etchant species.
  • the etchant may comprise a species selected from the group consisting of H 2 ZrF 6 , H 2 TiF 6 , HPF 6 , HF, ammonium fluoride, tetrafluoroboric acid, hexafluorosilicic acid, tetrabutylammonium tetrafluoroborate (TBA-BF 4 ), ammonium hexafluorosilicate, ammonium hexafluorotitanate, tetraalkylammonium fluoride (NR 1 R 2 R 3 R 4 F), tetraalkylammonium hydroxide (NR 1 R 2 R 3 R 4 OH), where R 1 , R 2 , R 3 , R 4 may be the same as or different from one another and each is independently selected from the group consisting of straight-chained or branched C 1 -C 6 alkyl groups, weak bases, and combinations thereof.
  • R 1 , R 2 , R 3 , R 4 may be the same as
  • the etchant may comprise tetrafluoroboric acid or hexafluorosilicic acid.
  • the oxidizing agent may be of any suitable type and may for example comprise a species selected from the group consisting of FeCl 3 (both hydrated and unhydrated), Fe(NO 3 ) 3 , Sr(NO 3 ) 2 , CoF 3 , FeF 3 , MnF 3 , oxone (2KHSO 5 KHSO 4 K 2 SO 4 ), periodic acid, iodic acid, vanadium (V) oxide, vanadium (IV, V) oxide, ammonium vanadate, ammonium peroxomonosulfate, ammonium chlorite (NH 4 C 1 O 2 ), ammonium chlorate (NH 4 C 1 O 3 ), ammonium iodate (NH 4 IO 3 ), ammonium nitrate (NH 4 NO 3 ), ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 CIO 4 ), ammonium
  • the oxidizing agent may comprise a species selected from the group consisting of vanadium oxide, ammonium iodate, ammonium periodate, ammonium vanadate, periodic acid, iodic acid, and 1 ,4-benzoquinone.
  • the oxidizing agent in the cleaning composition may comprise a species selected from the group consisting of ammonium iodate, ammonium periodate, iodic acid, and periodic acid.
  • the cleaning composition having pH in a range of from 0 to 4 may be constituted as comprising at least one iodine scavenger, such as an iodine scavenger comprising a ketone.
  • the iodine scavenger may be selected from the group consisting of 4- methyl-2-pentanone, 2,4-dimethyl-3-pentanone, cyclohexanone, 5-methyl-3-heptanone, 3-pentanone, 5- hydroxy-2-pentanone, 2,5-hexanedione, 4-hydroxy-4-methyl-2-pentanone, acetone, butanone, 2-methyl- 2-butanone, 3,3-dimethyl-2-butanone, 4-hydroxy-2-butanone, cyclopentanone, 2-pentanone, 3- pentanone, 1 -phenylethanone, acetophenone, benzophenone, 2-hexanone, 3-hexanone, 2-heptanone, 3- heptanone, 4-heptanone, 2,6-dimethyl-4-heptanone, 2-octanone, 3-octanone, 4-octanone, dicyclohexyl
  • the iodine scavenger may be selected from the group consisting of 4-methyl-2-pentanone, 2,4-dimethyl-3-pentanone, and cyclohexanone.
  • the cleaning composition having pH in a range of from 0 to 4 may further comprise a solvent, such as water, e.g., to constitute a composition comprising at least 98 wt % water, based on total weight of the composition.
  • a solvent such as water, e.g., to constitute a composition comprising at least 98 wt % water, based on total weight of the composition.
  • the cleaning composition having pH in a range of from 0 to 4 may comprise a suitable corrosion inhibitor, such as a corrosion inhibitor comprising a species selected from the group consisting of 5-amino-l,3,4-thiadiazole-2-thiol (ATDT), benzotriazole (BTA), 1 ,2,4-triazole (TAZ), tolyltriazole, 5- mcthyl-bcnzotriazolc, 5-phcnyl-bcnzotriazolc, 5-nitro-bcnzotriazolc, benzotriazole carboxylic acid, 3- amino-5-mercapto-l,2,4-triazole, 1 -amino- 1 ,2,4-triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)- benzotriazole, I-amino- l,2,3-triazole, l-amino-5-methyl-l ,2,3-triazole, 3-amino- 1
  • ATDT
  • the corrosion inhibitor may comprise a cationic quaternary species selected from the group consisting of cationic quaternary salts such as benzalkonium chloride, benzyldimethyldodecylammonium chloride, myristyltrimethylammonium bromide, dodecyltrimethylammonium bromide, hexadecylpyridinium chloride, Aliquat 336, benzyldimethylphenylammonium chloride, Crodaquat TES, Rewoquat CPEM, hexadecyltrimethylammonium p-toluenesulfonate, hexadecyltrimethylammonium hydroxide, 1 -methyl- r-tetradecyl-4,4'-bipyridium dichloride, alkyltrimethylammonium bromide, amprolium hydrochloride, benzethonium hydroxide, benz
  • the cleaning composition having pH in a range of from 0 to 4 may also be constituted as comprising at least one additional component selected from the group consisting of surfactants, low-k passivating agents, silicon-containing compounds, and combinations thereof.
  • the passivating agent may comprise a passivating agent selected from the group consisting of boric acid, ammonium pentaborate, sodium tetraborate, 3-hydroxy-2-naphthoic acid, malonic acid, iminodiacetic acid, and combinations thereof.
  • the silicon-containing compound(s) may be selected from the group consisting of mcthyltrimcthoxysilanc, dimcthyldimcthoxysilanc, phcnyltrimcthoxysiianc, tctracthoxysilanc (TEOS), N-propyltrimethoxysilane, N-propyltriethoxysilane, hexyltrimethoxysilane, hexyltriethoxysilane, ammonium hexaflurorosilicate, sodium silicate, tetramethyl ammonium silicate (TMAS), and combinations thereof.
  • TMAS tetramethyl ammonium silicate
  • the cleaning composition having pH in a range of from 0 to 4 may in various embodiments be substantially devoid of amines, abrasive materials, chloride sources, metal halides, and combinations thereof.
  • the disclosure in a further aspect relates to a method of reducing defects in a deposited cobalt that is vapor deposited on a base metal, wherein the cobalt is deposited by a process of the present disclosure, such method comprising cleaning the base metal, prior to vapor deposition of the deposited cobalt thereon, wherein the cleaning comprises (i) contacting the base metal with a cleaning composition comprising base and oxidizing agent, having pH in a range of from 5 to 10; (ii) contacting the base metal with a cleaning composition comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4; (iii) treating the base metal with hydrogen plasma; or (iv) treating the base metal with hydrogen fluoride.
  • the disclosure relates to a method of forming deposited cobalt on a substrate, wherein prior to vapor deposition of cobalt on the substrate, the substrate is cleaned with a cleaning composition selected from among (i) cleaning compositions comprising base and oxidizing agent, having pH in a range of from 5 to 10, and (ii) cleaning compositions comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4, wherein the cleaning of the substrate is effective for at least one of (a) reducing detectivity of the deposited cobalt, (b) removing CF X components from the substrate, and (c) removing or pulling back TiN present on the substrate.
  • a cleaning composition selected from among (i) cleaning compositions comprising base and oxidizing agent, having pH in a range of from 5 to 10, and (ii) cleaning compositions comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4, wherein the cleaning of the substrate is effective
  • Another aspect of the disclosure relates to selective growth of cobalt on copper and selective growth of cobalt on barrier and liner materials that are in turn deposited on copper, in the achievement of void-free via fills.
  • semiconductor manufacturing technology faces challenges in reducing interconnect line resistance and achieving high-yield void-free fill in vias in which copper is present and Ta, TaN, Ru, and Ru alloys may be employed, in copper- diffusion barriers and liners in the via.
  • the present disclosure contemplates cobalt deposition to achieve void-free via fills in small size vias, e.g. in dual-damascene structures and processes.
  • the void free via fills of the present disclosure may be carried out in vias having critical dimensions of from 15 nm to 45 nm, with aspect ratios of from 1 : 1 to 5: 1, e.g., in a range of from 1.5: 1 to 4.5: 1, or a range of from 2: 1 to 4: 1, or an aspect ratio of 3 : 1.
  • cobalt may be vapor deposited in the via directly on copper therein, or on material that in turn is deposited on copper, e.g., a barrier or liner material comprising any one or more of tantalum, tantalum nitride, ruthenium, and ruthenium alloys.
  • the cobalt may be deposited, by CVD or other vapor deposition process, in the via on any of the following combinations of layers (where copper is the bottom layer in such layer sequence, and cobalt is deposited on the last material layer in the sequence): Cu/Ta TaN; Cu/Ru/Ta TaN; and Cu Ru.
  • the disclosure further contemplates vapor deposition of cobalt on another layer of cobalt, in successive separate vapor deposition operations, in various embodiments of the disclosure.
  • the disclosure thus also contemplates implementations in which the deposited cobalt forms an interconnect line or a core of an interconnect line in the via, so that the cobalt forms the core of the via, and does not serve only as a cap, barrier, or liner.
  • a cobalt process can be conducted in which cobalt only selectively nucleates and grows on a copper surface at the bottom of a via to form a bottom-up fill of the via.
  • This approach can be used in chemical vapor deposition processes, or other vapor deposition processes, for selective growth of cobalt to fill vias of very challenging dimensions.
  • the via fill with cobalt can be followed by another interconnect metal fill of the lines in a dual-damascene structure.
  • cobalt deposition was carried out using a dual damascene test structure as shown in FIG. 39, in which vias are filled with copper in a lower portion of the structure, and copper in some of such vias is exposed to upper section vias in a layer of SiO 2 on which a titanium nitride (TiN) hard mask layer has been formed.
  • the vias had a diameter of 45 nm and a 3: 1 aspect ratio.
  • FIG. 40 is a top view micrograph of the via test structure
  • FIG. 41 is a cross-sectional view of the test structure, prior to cobalt selective growth and fill.
  • Cobalt depositions were performed on the test structures, both with and without removal of the TiN hard mask prior to cobalt deposition. In both cases, cobalt deposition was performed at temperature of approximately 200°C in a 300 mm wafer CVD deposition system.
  • FIG. 42 is a scanning electron micrograph (SEM) of the cross-section of the cobalt filled via structure, in which cobalt completely fills the -135 nm tall and 45 nm diameter (3: 1 aspect ratio) via structure.
  • FIG. 43 is an SEM top view of the cobalt filled via, in which the cobalt deposition was carried out after the TiN hard mask was removed. There was no deposition of cobalt in the area where no copper presented (i.e., the area of the SiO 2 dielectric), and cobalt deposition only nucleated inside the via where the bottom was the exposed copper surface. This cobalt via fill thus provided highly selective growth of cobalt on the copper in the via, to effect the via fill.
  • cobalt When cobalt is grown inside a via, the growth is confined by the via and nucleation occurs on the growing surface. When growth of the cobalt passes the top of the via and there is excess growth time, cobalt may nucleate on the sides of the cobalt external to the via and in consequence growth may occur in a lateral direction as well as an upward direction and form exterior "cauliflower' ike overgrowths. Such overgrowths may be acceptable within the overall structure of the device, or the overgrowth or portions thereof may be removed by appropriate etch or planarization techniques.
  • STEM images show that cobalt deposited in vias by the above-described fill process is nano-crystalline or nearly amorphous in nature, when growth temperatures on the order of 200°C were employed.
  • the grain size of the deposited cobalt in such fill process was estimated to be less than 10 nm, reflecting compatibility of the bottom-up fill of cobalt in vias beyond the 14 nm node.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

A process for forming cobalt on a substrate, comprising: volatilizing a cobalt precursor of the disclosure, to form, a precursor vapor: and contacting the precursor vapor with the substrate under vapor deposition conditions effective for depositing cobalt on the substrate from the precursor vapor, wherein the vapor deposition conditions include temperature not exceeding 200°C, wherein: the substrate includes copper surface and dielectric material, e.g., ultra-low dielectric material. Such cobalt deposition process can be used to manufacture product articles in which the deposited cobalt forms a capping layer, encapsulating layer, electrode, diffusion layer, or seed for electroplating of metal thereon, e.g., a semiconductor device, flat-panel, display, or solar panel.. A cleaning composition containing base and oxidizing agent components may be employed to clean the copper prior to deposition of cobalt thereon, to achieve substantially reduced defects in the deposited cobalt.

Description

COBALT DEPOSITION SELECTIVITY ON COPPER AND DIELECTRICS
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] The benefit of priority under 35 U.S.C. § 1 19 of U.S. Provisional Patent Application No. 62/050,166 filed September 14, 2014, U.S. Provisional Patent Application No. 62/107,273 filed January 23, 2015, and U.S. Provisional Patent Application No. 62/1 10,078 filed January 30, 2015 is hereby claimed. The disclosures of such U.S. provisional patent applications are hereby incorporated herein by reference, in their respective entireties, for all purposes.
FIELD
[0002] The present disclosure relates to cobalt precursors for precursors and processes for forming cobalt on substrates, e.g., in the manufacture of semiconductor products, flat-panel displays, and solar panels.
DESCRIPTION OF THE RELATED ART
[0003] Cobalt is finding increasing use in semiconductor manufacturing, such as in fabrication of integrated circuits in which cobalt disilicide has been progressively displacing titanium silicide as feature and linewidth dimensions decrease, since it does not entail the linewidth dependent sheet resistance issues that are characteristic of titanium silicide. Cobalt also is currently under consideration as a conductive cap over copper lines or as part of the barrier/adhesion layer liner for copper lines and contacts, as an encapsulant material, as a seed material for electroless and electroplating processes, and as a replacement material for copper in wiring and interconnects of integrated circuits. Cobalt additionally has elicited interest as a result of its magnetic properties for data storage applications and its potential for spintronics applications.
[0004] Interconnects are critical components of integrated circuitry, providing power/ground connections and distribution of clock and other signals. Local interconnects comprise the lines that connect gates and transistors, intermediate interconnects provide wiring within functional blocks of integrated circuitry, and global interconnects distribute clock and other signals and provide power/ground connections for the entire integrated circuit. Interconnects increasingly are a dominant factor in determining system performance and power dissipation of integrated circuits.
[0005] In the manufacture of integrated circuitry devices in which copper is used as a metallization material, cobalt liners and back end of the line (BEOL) interconnect caps have been developed for protection of copper interconnects.
[0006] Such cobalt capping has been contemplated to enhance the electromigration (EM) resistance of copper interconnects, and carbonyl precursors have been proposed for such capping applications. Carbonyls, however, are not optimum precursors due to the formation of CO as a by-product in the vapor deposition. Furthermore, the oxygen in the carbonyl may react with copper and form oxide in the cobalt/copper interface that degrades the EM resistance.
[0007] As a specific concern related to the foregoing issues in the advance of semiconductor technology beyond the 14 nm node, e.g., to the 7 nm and 5 nm technology nodes, metal line and via fill processing face increasing challenges to reduce interconnect metal line resistance and to establish high- yield void-free fill, particularly where copper is present in a via, and tantalum, tantalum nitride, ruthenium, and ruthenium alloys may be employed as copper-diffusion barrier/liner materials in the backend process.
[0008] Accordingly, cobalt precursors and corresponding deposition processes are desired, which do not suffer from such deficiencies.
SUMMARY
[0009] The present disclosure relates to non-oxygen-containing cobalt precursors that are useful for forming cobalt on substrates, e.g., substrates comprising copper on which cobalt is to be deposited with the substrate also comprising dielectric material such as ultra-low dielectric constant material on which cobalt deposition is desirably avoided. The disclosure also relates to compositions comprising such cobalt precursors, and processes and products related to such cobalt precursors.
[0010] In one aspect, the disclosure relates to a process for forming cobalt on a substrate, comprising:
volatilizing a cobalt precursor to form a precursor vapor, wherein the cobalt precursor comprises a precursor selected from the group consisting of: (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substituents selected from the group consisting of: H; C1-C8 alkyl; C6-C10 aryl; C7-C16 alkylaryl; C7-C16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C1-Cg alkyl, amines, or halo substituents; C1-C8 alkoxy; hydroxyl; oximes; hydroxyamines; acetates; carbonyls; beta-diketonates; and beta-ketoiminates; and (ii) cobalt compounds containing acetylenic functionality; and
contacting the precursor vapor with the substrate under vapor deposition conditions effective for depositing cobalt on the substrate from the precursor vapor, wherein the vapor deposition conditions include temperature not exceeding 200°C, and wherein the substrate includes copper surface and dielectric material surface
[0011] In another aspect, the disclosure relates to an article comprising cobalt deposited on a substrate, as formed by a method comprising a process according to the present disclosure, as variously described herein.
[0012] A further aspect of the disclosure relates to a method of reducing defects in a deposited metal that is vapor deposited on a base metal, such method comprising cleaning the base metal, prior to vapor deposition of the deposited metal thereon, with a cleaning composition comprising base and oxidizing agent having pH in a range of from 5 to 10.
[0013] Yet another aspect of the disclosure relates to a method of reducing defects in cobalt that is vapor deposited on copper, such method comprising cleaning the copper, prior to deposition of the cobalt thereon, with a cleaning composition comprising base and oxidizing agent, having pH in a range of from 5 to 10.
[0014] A further aspect of the disclosure relates to a method of reducing defects in cobalt that is vapor deposited on tungsten, such method comprising cleaning the tungsten, prior to deposition of the cobalt thereon, with a cleaning composition comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4.
[0015] In another aspect, the disclosure relates to a method of reducing defects in a deposited cobalt that is vapor deposited on a base metal, wherein the cobalt is deposited by a process of the present disclosure, such method comprising cleaning the base metal, prior to vapor deposition of the deposited cobalt thereon, wherein the cleaning comprises (i) contacting the base metal with a cleaning composition comprising base and oxidizing agent, having pH in a range of from 5 to 10; (ii) contacting the base metal with a cleaning composition comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4; (iii) treating the base metal with hydrogen plasma; or (iv) treating the base metal with hydrogen fluoride.
[0016] Yet another aspect of the disclosure relates to a method of forming deposited cobalt on a substrate, wherein prior to vapor deposition of cobalt on the substrate, the substrate is cleaned with a cleaning composition selected from among (i) cleaning compositions comprising base and oxidizing agent, having pH in a range of from 5 to 10, and (ii) cleaning compositions comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4, wherein the cleaning of the substrate is effective for at least one of (a) reducing detectivity of the deposited cobalt, (b) removing CFX components from the substrate, and (c) removing or pulling back TiN present on the substrate.
[0017] A further aspect of the disclosure relates to a via fill process, comprising vapor depositing cobalt in a via for fill thereof, wherein the cobalt is vapor deposited over a copper surface in the via. Another aspect of the disclosure relates to a void-free filled via as formed by such a process.
[0018] A further aspect of the disclosure relates to a process for forming cobalt on a substrate comprising metal-containing surface and oxide material surface, the process comprising contacting the substrate, under vapor deposition conditions effective for depositing cobalt on the substrate, with vapor of a cobalt precursor that is effective under the vapor deposition conditions to selectively deposit cobalt on the metal-containing surface of the substrate but not the oxide material surface of the substrate.
[0019] Other aspects, features and embodiments of the disclosure will be more fully apparent from the ensuing description and appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS [0020] FIG. 1 is a thermogravimetric and differential scanning calorimetry plot for Co(tBuNCHCHNtBu)2, showing a T50 value of 221.9°C, and a residual mass value of 0.2% at temperature of 772.3°C for such cobalt precursor.
[0021] FIG. 2 is an XRD plot for cobalt material deposited on a substrate from a Co(tBuNCHCHNtBu)2 precursor.
[0022] FIG. 3 is a micrograph of deposited cobalt material, deposited at a thickness of 25.1 A and pressure of 30 torr from a Co(tBuNCHCHNtBu)2 precursor.
[0023] FIG. 4 is a micrograph of deposited cobalt material, deposited at a thickness of 69.7 A and pressure of 30 torr from a Co(tBuNCHCHNtBu)2 precursor.
[0024] FIG. 5 is a micrograph of deposited cobalt material, deposited at a cobalt thickness of 13.6 A and pressure of 10 torr from a Co(tBuNCHCHNtBu)2 precursor.
[0025] FIG. 6 is a micrograph of deposited cobalt material, deposited at a thickness of 59.3 A and pressure of 10 torr from a Co(tBuNCHCHNtBu)2 precursor.
[0026] FIG. 7 is a micrograph of a cobalt film formed on a ruthenium substrate at a deposition pressure of 10 torr.
[0027] FIG. 8 is a plot of percentage resistivity after annealing, as a function of annealing temperature, in degrees Centigrade, for annealing carried out at a pressure of 30 torr and hydrogen flow rate of 0.5 L per minute for a cobalt film formed from a Co(tBuNCHCHNtBu)2 precursor.
[0028] FIG. 9 shows XRD plots for the cobalt films on tantalum nitride, titanium nitride, and copper substrates, in intensity (arbitrary units) as a function of the two-theta angle, in degrees.
[0029] FIG. 10 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a tantalum nitride substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
[0030] FIG. 1 1 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor, in arbitrary intensity units as a function of the two-theta angle, in degrees. The cobalt film trace on a tungsten nitride (WN) substrate in this plot is the upper trace, the cobalt film trace on an iridium substrate is the middle trace, and the cobalt film trace on a tantalum substrate is the lower trace.
[0031] FIG. 12 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on an iridium oxide substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees.
The iridium oxide substrate is shown as the lower trace in this plot.
[0032] FIG. 13 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a tantalum substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees. The tantalum substrate is shown as the lower trace in this plot.
[0033] FIG. 14 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a ruthenium substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees. The ruthenium substrate is shown as the lower trace in this plot.
[0034] FIG. 15 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a tantalum substrate. The cobalt film had a thickness of 124.6 A. [0035] FIG. 16 is a scanning electron micrograph of a cobalt film on a copper substrate, at a cobalt film thickness of 230.4 A.
[0036] FIG. 17 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a tantalum nitride substrate, wherein the cobalt film had a thickness of 188.3 A.
[0037] FIG. 18 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a titanium nitride substrate, wherein the cobalt film had a thickness of 180.1 A.
[0038] FIG. 19 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a silicon substrate, wherein the cobalt film had a thickness of 25.3 A.
[0039] FIG. 20 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine free tungsten (FFW) substrate. The cobalt film thickness was 208.7 A, and the film had a resistivity of 91.7 μΩ-cm.
[0040] FIG. 21 is a scanning electron micrograph, at 50,000X magnification, of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on an iridium dioxide substrate. The cobalt film had a thickness of 204.1 A.
[0041] FIG. 22 is a scanning electron micrograph, at 200,000X magnification, of the cobalt film of FIG. 21, deposited from the Co(tBuNCHCHNtBu)2 precursor on an iridium dioxide substrate, wherein the cobalt film had a thickness of 204.1 A.
[0042] FIG. 23 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a ruthenium substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees. The lower line in this plot shows the data for deposition at 290°C, and cobalt film thickness of 166 A. The middle line in this plot shows the data for deposition at 250°C, and cobalt film thickness of 205.1 A. The upper line in this plot shows the data for deposition at 200°C, and cobalt film thickness of 21 1.8 A.
[0043] FIG. 24 is a graph of resistivity, in μΩ-cm, as a function of film thickness in Angstroms, as determined by x-ray fluorescence, for a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a ruthenium substrate, showing the data for deposition at a temperature of 200°C, 250°C, and 290°C. The data show that the cobalt film had a resistivity on the order of 30 μΩ-cm.
[0044] FIG. 25 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine-free tungsten substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees. The upper line in this plot shows the data for deposition at 290°C, and cobalt film thickness of 208.7 A. The lower line in this plot shows the data for deposition at 250°C, and cobalt film thickness of 127.4 A.
[0045] FIG. 26 is a graph of resistivity, in μΩ-cm, as a function of film thickness in Angstroms, as determined by x-ray fluorescence, for a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine-free tungsten nitride substrate, at temperatures of 200°C, 250°C, and 290°C. The deposition was carried out at pressure of 30 torr, and with hydrogen co-reactant flow rate of 0.5 L per minute.
[0046] FIG. 27 is a graph of resistivity, in μΩ-cm, as a function of film thickness in Angstroms, as determined by x-ray fluorescence, for a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine-free tungsten nitride substrate, at a temperature of 250°C, and precursor flow rate of 50 μmole/minute. A first run was carried out at pressure of 10 torr, and co-reactant hydrogen flow rate of 3 L per minute. A second run was carried out at pressure of 30 torr, and hydrogen co-reactant flow rate of 0.5 L per minute. A third run was carried out at pressure of 30 torr, and hydrogen co-reactant flow rate of 3 L per minute. A fourth run was carried out at pressure of 10 torr, and hydrogen co-reactant flow rate of 0.5 L per minute.
[0047) FIG. 28 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 200°C, pressure of 30 torr, co-reactant hydrogen flow of 0.5 L per minute, yielding a cobalt film thickness of 49.8 A.
[0048] FIG. 29 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 250°C, pressure of 10 torr, co-reactant hydrogen flow of 3 L per minute, yielding a cobalt film thickness of 39.8 A, and film resistivity of 139 μΩ-cm.
[0049] FIG. 30 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 250°C, pressure of 30 torr, co-reactant hydrogen flow of 3 L per minute, yielding a cobalt film thickness of 35.8 A, and a film resistivity of 169 μΩ-cm.
[0050] FIG. 31 is a micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a copper substrate, at deposition temperature of 200°C, yielding a cobalt film thickness of 169.5 A.
[0051] FIG. 32 is a micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a copper substrate, at deposition temperature of 150°C, yielding a cobalt film thickness of 97.6 A.
[0052] FIG. 33 is a graph of 400°C RTN resistivity data for cobalt films deposited from the Co(tBuNCHCHNtBu)2 precursor, and from other cobalt precursors, on fluorine-free substrates, as a function of XRF-determined film thickness. The additional cobalt precursors were: dicobalt hexacarbonyl tert-butylacetylene, which has the formula Co2(CO)6(HCC(CH3)3), with a boiling point of 52°C at 0.8 torr (106.7 Pa), existing as a red liquid at 25°C (CCTBA); high purity CCTBA (HP CCTBA); dicobalt hexacarbonyl trimcthylsilyl acetylene (CCTMSA); cobalt carbonyl bis(trimcthylsilyl acetylene, having the formula [((H3C)Si)C≡C]2Co(CO) (CCBTMSA); bis(N-methylacetamidinato)cobalt (Co(Methyl- Amidinate)); and bis(N-ethylacetamidinato)cobalt (Co(Ethyl-Amidinate)).
[0053] FIG. 34 is an XRD plot of a crystalline cobalt film deposited at 150°C from the Co(tBuNCHCHNtBu)2 precursor, in arbitrary intensity units, as a function of the two-theta angle, in degrees. [0054] FIG. 35 is a scanning electron micrograph of a cobalt film having a thickness of 39.9 A, which was deposited from the Co(tBuNCHCHNtBu)2 precursor on a substrate at a deposition rate of 150 μmoles per minute, at a pressure of 30 torr and a co-reactant hydrogen flow rate of 3 L per minute.
[0055] FIG. 36 is a scanning electron micrograph of a cobalt film having a thickness of 13.4 A, which was deposited from the Co(tBuNCHCHNtBu)2 precursor on a substrate at a deposition rate of 150 μmoles per minute, at a pressure of 10 torr and a co-reactant hydrogen flow rate of 3 L per minute.
[0056] FIG. 37 is an electron micrograph at a magnification of 25,000 times, showing a cobalt film that has been deposited on copper that has not been cleaned by a pre-deposition cleaning with the cleaning composition of the present disclosure.
[0057] FIG. 38 is an electron micrograph at a magnification of 25,000 times, showing a cobalt film that has been deposited on copper that has been cleaned with a cleaning composition of the present disclosure, comprising an approximate weight percentage composition of 89% deionized water, 9% oxidizing agent, and 2% base, based on total weight of the cleaning composition, as contacted with the copper for 2 minutes at 50°C.
[0058] FIG. 39 is a schematic representation of a dual Damascene test structure utilized for cobalt deposition in accordance with the present disclosure, in a specific embodiment thereof.
[0059] FIG. 40 is a top view micrograph of a via test structure prior to cobalt selective growth and fill.
[0060] FIG. 41 is a cross-sectional view of the via test structure of FIG. 40.
[0061] FIG. 42 is a scanning electron micrograph (SEM) of the cross-section of the cobalt filled via test structure, in which cobalt completely fills the ~135 nm tall and 45 run diameter (3: 1 aspect ratio) via structure.
[0062] FIG. 43 is an SEM top view of the cobalt filled via test structure whose cross-sectional view is shown in FIG. 42.
DETAILED DESCRIPTION
[0063] The present disclosure relates to non-oxygen-containing cobalt precursors that are useful for forming cobalt on substrates in surface-selective deposition processes, e.g., deposition on substrates comprising copper on which cobalt is to be deposited with the substrate also comprising dielectric material such as ultra-low dielectric constant material on which cobalt deposition is desirably avoided.
[0064] The disclosure further relates to compositions comprising the aforementioned non-oxygen- containing cobalt precursors, and surface-selective deposition processes utilizing such precursors and compositions, as well as to microelectronic products, flat panel displays, and solar panels, and component structures therefor, produced using such precursors and precursor compositions.
[0065] As used herein and in the appended claims, the singular forms "a", "and", and "the" include plural referents unless the context clearly dictates otherwise. [0066] As used herein, the term "film" refers to a layer of deposited material having a thickness not exceeding 10 micrometers, e.g., from such value down to atomic monolayer thickness values. In various embodiments, film thicknesses of deposited material layers in the practice of the disclosure may for example not exceed 5 micrometers, or not exceed 1 micrometer, or in various thin film regimes be below 200, 10 or 1 nanometers) film thickness, depending on the specific application involved, however it will be recognized that cobalt-containing material in the broad practice of the present disclosure may have any suitable thickness for the application that is involved.
[0067] As used herein, the term "cobalt" is intended to be broadly construed to include elemental cobalt, as well as cobalt-containing compounds, mixtures, and alloys.
[0068] As used herein, the identification of a carbon number range, e.g., in C1-C12 alkyl, is intended to include each of the component carbon number moieties within such range, so that each intervening carbon number and any other stated or intervening carbon number value in that stated range, is encompassed, it being further understood that sub-ranges of carbon number within specified carbon number ranges may independently be included in smaller carbon number ranges, within the scope of the invention, and that ranges of carbon numbers specifically excluding a carbon number or numbers are included in the invention, and sub-ranges excluding either or both of carbon number limits of specified ranges are also included in the invention. Accordingly, C1-C12 alkyl is intended to include methyl, ethyl, propyl, butyl, pentyl, hexyl, heptyl, octyl, nonyl, decyl, undecyl and dodecyl, including straight chain as well as branched groups of such types. It therefore is to be appreciated that identification of a carbon number range, e.g., C1-C12, as broadly applicable to a substituent moiety, enables, in specific embodiments of the invention, the carbon number range to be further restricted, as a sub-group of moieties having a carbon number range within the broader specification of the substituent moiety. By way of example, the carbon number range C1-C12 alkyl, may be more restrictively specified, in particular embodiments of the invention, to encompass sub-ranges such as C1 - C4 alkyl, C2-C8 alkyl, C2-C alkyl, C3- C5 alkyl, or any other sub-range within the broad carbon number range.
[0069] The precursors of the invention may be further specified in specific embodiments by provisos or limitations excluding specific substituents, groups, moieties or structures, in relation to various specifications and exemplifications thereof set forth herein. Thus, the invention contemplates restrictively defined compositions, e.g., a composition wherein R1 is C1-C12 alkyl, with the proviso that Ri ≠ C alkyl when Rj is silyl.
[0070] "Aryls" as used herein includes hydrocarbons derived from benzene or a benzene derivative that arc unsaturated aromatic carbocyclic groups of from 6 to 10 carbon atoms. The aryls may have a single or multiple rings. The term "aryl" as used herein also includes substituted aryls. Examples include, but are not limited to phenyl, naphthyl, xylene, phenylethane, substituted phenyl, substituted naphthyl, substituted xylene, substituted phenylethane and the like.
[0071] As used herein, the term "ultra-low" in reference to dielectric constant means a dielectric constant that is below 2.5. [0072] The precursors of the invention can be supplied in any suitable form for volatilization to produce the precursor vapor for deposition contacting with the substrate, e.g., in a liquid form that is vaporized or as a solid that is dissolved or suspended in a solvent medium for flash vaporization, as a sublimable solid, or as a solid having sufficient vapor pressure to render it suitable for vapor delivery to the deposition chamber, or in any other suitable form.
[0073] When solvents are employed for delivery of the precursors of the invention, any suitable solvent medium can be employed in which the precursor can be dissolved or dispersed for delivery. By way of example, the solvent medium may be a single-component solvent or a multicomponent solvent mixture, including solvent species such as C3-C12 alkanes, C2-C12 ethers, C6-C12 aromatics, C10-C25 arylalkanes, C10-C2s arylcyloalkanes, and further alkyl-substituted forms of aromatic, arylalkane and arylcyloalkane species, wherein the further alkyl substituents in the case of multiple alkyl substituents may be the same as or different from one another and wherein each is independently selected from C1-C8 alkyl. Illustrative solvents include amines, ethers, aromatic solvents, glymes, tetraglymes, alkanes, alkyl- substituted benzene compounds, benzocyclohexane (tetralin), alkyl-substituted benzocyclohexane and ethers, with tetrahydrofuran, xylene, 1 ,4-tertbutyltoluene, 1 ,3-diisopropylbenzene, dimethyltetralin, octane and decane being potentially useful solvent species in specific applications. In one embodiment, the solvent is selected from among tertiary amines, ethers and aromatic solvent.
[0074] The non-oxygen-containing cobalt precursors of the present disclosure include
Figure imgf000011_0001
Co(tBuNCHCHNtBu)2, also referred to herein as Co(tBuDAD)2 or Co-diazadiene, and cobalt precursors with mono- or bis-substituted alkyl- 1,3 -diazabutadienyl ligands, as usefully employed in selective surface deposition processes in accordance with the present disclosure.
[0075] It has been discovered by the present inventors that Co(tBuDAD)2 provides a perfect selectivity of cobalt coating on copper versus dielectric surface, i.e., enabling cobalt to be deposited only on copper surfaces but not on ultra-low dielectric constant (ULK) material surfaces when copper and ULK dielectric surfaces of a substrate are concurrently contacted with Co(tBuDAD)2 precursor vapor, when the contacting is carried out at temperature not exceeding 200°C, e.g., at temperature in a range of from 130°C to 200°C. Deposition of cobalt using such Co(tBuDAD)2 precursor at temperature in the upper portion of such range, such as at temperature of 180°C to 200°C can be carried out with deposition rates of greater than 1 nm/minute. When utilizing lower temperatures for such contacting, such as 150°C, the same selectivity of copper surfaces versus ULK material surfaces can be achieved, albeit at lower deposition rates, as for example a deposition rate of ~ 0.5 nm minute, on the copper surface.
[0076] The present disclosure more generally contemplates the use of cobalt precursors with mono- or bis-substituted alkyl-1,3 -diazabutadienyl ligands can be employed in selective surface deposition processes.
[0077] Cobalt precursors of the general formula {R CHCHNR}2Co, or {R'NCRCRNR'}2Co, wherein each R and R' is independently selected from among C1-C8 alkyl can be utilized for deposition in atomic layer deposition (ALD) and chemical vapor deposition (CVD) processes in the broad practice of the present disclosure, as can mono-substituted C1-C8 alkyl- 1 ,3 -diazabutadienyl cobalt complexes, containing other ligands, e.g., ligands selected from the following: halides, alkoxides, dialkoxides, amides, diamides, imides, oximes, hydroxylamines, amidinates, guanidinates, acetates, carbonyls, alkyls, cyclopentadienyls, beta-diketonates, and betaketoiminates. As discussed hereinabove, in various applications involving capping of copper metallization, oxygen -containing ligands are desirably avoided, however, in other applications contemplated by the present application, such oxic ligands may be usefully employed in corresponding cobalt precursors, such as in the ALD or CVD deposition of cobalt oxide, cobalt nitride, cobalt carbide, cobalt silicide, or other cobalt alloy thin films. The disclosure in other aspects contemplates cobalt precursors containing acetylene groups. Other cobalt precursors that can be used in the broad practice of the present invention, e.g., to form cobalt capping layers on copper comprised in substrates also including dielectric surface, particularly ultra-low dielectric material surfaces, include the cobalt compounds disclosed in U.S. Patent Application Publication 20130164456 published September 27, 2013 in the name of Charles H. Winter, et al. and Winter, et al Organometallics 201 1, 30, p.5010.
[0078] The diazadiene (DAD)-based cobalt compounds of the present disclosure can be synthesized as described in U.S. Patent Application Publication 20130251903 published September 26, 2013 in the name of Won Seok Han. Other cobalt precursors described herein can be synthesized within the skill of the art based on the disclosure herein.
[0079] Accordingly, in one aspect, the disclosure relates to a process for forming cobalt on a substrate, comprising:
volatilizing a cobalt precursor to form a precursor vapor, wherein the cobalt precursor comprises a precursor selected from the group consisting of: (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substitucnts selected from the group consisting of: H; C1-C8 alkyl; C6-C10 aryl; C7-C16 alkylaryl; C7-C16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C1-Cg alkyl, amines, or halo substituents; C1-C8 alkoxy; hydroxyl; oximes; hydroxyamines; acetates; carbonyls; beta-diketonates; and beta-ketoiminates; and (ii) cobalt compounds containing acetylenic functionality; and
contacting the precursor vapor with the substrate under vapor deposition conditions effective for depositing cobalt on the substrate from the precursor vapor, wherein the vapor deposition conditions include temperature not exceeding 200°C, and wherein the substrate includes copper surface and dielectric material surface.
[0080] In specific embodiments of such process, the cobalt precursor comprises a precursor selected from the group consisting of (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substituents selected from the group consisting of: H; C1-C8 alkyl; C6-C10 aryl; C7-C16 alkylaryl; C7-C16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C1-C8 alkyl, amines, or halo substituents; C1-C8 alkoxy; hydroxyl; oximes; hydroxyamines; acetates; carbonyls; beta-diketonates; and beta-ketoiminates.
[0081] In other embodiments, the cobalt precursor comprises a precursor selected from the group consisting of (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and or carbon atoms thereof with substituents selected from the group consisting of: H; C1-C8 alkyl; C6-C10 aryl; C7-C16 alkylaryl; C7-C16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C1-C8 alkyl, amines, or halo substituents.
[0082] In yet other embodiments, the cobalt precursor comprises
Figure imgf000013_0001
[0083] In still other embodiments of the process, the cobalt precursor comprises a cobalt precursor of the formula {RNCHCHNR}2Co, or {R'NCRCRNR'}2Co, wherein each R and R' is independently selected from among Ci-C« alkyl.
[0084] The process may comprise in additional embodiments the cobalt precursor comprising a cobalt compound containing acetylenic functionality, e.g., wherein the cobalt precursor comprises a cobalt compound selected from the group consisting of dicobalt hexacarbonyl tert-butylacetylene; dicobalt hexacarbonyl trimethylsilyl acetylene; and cobalt carbonyl bis(trimethylsilyl acetylene.
[0085] The dielectric material in the process of the disclosure may comprise an ultra-low k dielectric material.
[0086] In various embodiments, the process of the disclosure is characterized by one or more of the following features or characteristics: being conducted to cap a copper metallization element on the substrate; mixing the precursor vapor with hydrogen for the contacting; further comprising annealing the cobalt deposited on the substrate, e.g., involving rapid thermal annealing; conducting the contacting at temperature in a range of from 60°C to 200°C, or at temperature in a range of from 130°C to 200°C, e.g., at temperature in a range of from 180°C to 200°C, and a cobalt deposition rate of greater than 1 nm/minute; conducting the process with a cobalt precursor with mono- or bis-substituted alkyl-1,3- diazabutadienyl ligands; conducting the contacting in an ALD process; conducting the contacting in a CVD process; conducting the contacting to deposit cobalt on the substrate to form a cobalt compound thereon, wherein the cobalt compound is selected from the group consisting of cobalt oxide, cobalt nitride, cobalt carbide, cobalt silicide, and mixtures thereof; wherein the deposited cobalt forms an electrode; wherein the substrate comprises a gate or capacitor structure; wherein the deposited cobalt forms a capping layer, e.g., overlying a copper structure or via; wherein the deposited cobalt forms an encapsulating layer, e.g., covering a copper interconnect element; wherein the deposited cobalt forms of diffusion layer; wherein the deposited cobalt forms a seed for electroplating of metal thereon; wherein the vapor deposition conditions comprise a deposition pressure in a range of from 2 to 1200 torr; wherein the vapor deposition conditions comprise a deposition pressure in a range of from 5 to 100 torr; wherein the cobalt precursor is volatilized by vaporization of a solvent solution thereof, such as where the solvent in the solvent solution comprises an organic solvent, or a hydrocarbon solvent, or a C4-C10 alkane solvent, e.g., octane; wherein the precursor vapor is transported in a carrier gas for the contacting thereof with the substrate, as for example a gas selected from the group consisting of argon, neon, xenon, krypton, helium, and hydrogen; wherein the contacting of the precursor vapor with the substrate is conducted for a period of from 2 to 60 minutes; further comprising annealing the cobalt deposited on the substrate by thermal annealing at temperature in a range of from 200°C to 600°C, or a range of from 350°C to 550°C, or wherein the thermal annealing is conducted for a period of time sufficient to reduce resistivity of the deposited cobalt, by an amount in a range of from 25% to 90% of the as-deposited resistivity of the cobalt film; wherein the contacting of the precursor vapor with the substrate is carried out for a period of time sufficient to deposit cobalt on the substrate at a thickness in a range of from 2 nm to 1000; wherein the cobalt deposited on the substrate has a resistivity in a range of from 7 to 48 μΩ-cm, or a resistivity in a range of from 10 to 40 μΩ-cm; and wherein the cobalt is deposited on the substrate as a film thereon.
[0087] The disclosure relates in various other aspects to an article comprising cobalt deposited on a substrate, as formed by a method comprising a process as variously described herein, in any of the embodiments herein disclosed. The article may for example comprise a semiconductor device, flat-panel display, or solar panel. In specific embodiments, the deposited cobalt may comprise an electrode. In other embodiments, the article may comprise a gate or capacitor structure. In still other embodiments, the deposited cobalt may form a capping layer, e.g., overlying a via. The deposited cobalt in other embodiments may form an encapsulating layer, e.g., covering a copper interconnect element. The deposited cobalt in other embodiments may form a diffusion barrier in the article. In still further embodiments, the deposited cobalt may form a seed for electroplating of metal thereon. [0088] It will be recognize that the process and article of the present disclosure may be constituted, embodied, and implemented, in any of her variety of suitable manners, as will be apparent to those of ordinary skill in the art, based on the disclosure herein.
[0089] Thus, in various aspects, the present disclosure relates to a process for forming cobalt on a substrate, comprising: volatilizing a cobalt precursor of the disclosure, to form a precursor vapor; and contacting the precursor vapor with the substrate under vapor deposition conditions effective for depositing cobalt on the substrate from the precursor vapor, wherein the vapor deposition conditions include temperature not exceeding 200°C, wherein the substrate includes copper surface and dielectric material, e.g., ultra-low dielectric material.
[0090] In various embodiments of such process, the cobalt precursor is volatilized by vaporization of a solvent solution thereof. The solvent solution may for example comprise an organic solvent, such as a hydrocarbon solvent, e.g., a solvent selected from the group consisting of alkane solvents, aromatic solvents, ketone solvents, ether solvents, etc. In various embodiments, the solvent may comprise an alkane solvent, e.g., a C4-C10 alkane solvent, such as butane, pentane, hexane, heptane, octane, nonane, or decane, or, more generally, any other solvent species, solvent mixture, etc. that is compatible with the cobalt precursor.
[0091] The process of the present disclosure may be conducted, in various embodiments, with the precursor vapor being transported in a carrier gas to the contacting step in which the precursor vapor/carrier gas mixture is contacted with the substrate to effect deposition of cobalt on the substrate. The carrier gas may be of any suitable type, and may include any suitable carrier gas or gases that are compatible with the precursor vapor. The carrier gas may for example comprise an inert or other suitable gas, such as argon, neon, xenon, krypton, helium, hydrogen, etc.
[0092] The vapor deposition conditions in the above-described process may in various embodiments comprise pressure in a suitable range, e.g., a range of from 2 to 1200 torr, a range of from 2 to 100 torr, a range of from 5 to 100 torr, a range of from 5 to 70 torr, a range of from 10 to 50 Torr, or pressure in other suitable pressure range. The vapor deposition conditions in various embodiments may comprise temperature in a range of from 25°C to 200°C, a range of from 60°C to 200°C, a range of from 100°C to 200°C, a range of from 120°C to 175°C, a range of from 125°C to 165°C, or temperature in other suitable temperature range.
[0093] The cobalt precursor vapor may be mixed with co-reactants and/or carrier gases, for delivery to the contacting of the precursor vapor with the substrate. The substrate may be of any suitable type that includes copper surface and dielectric material surface, and may for example comprise a semiconductor substrate, such as a silicon oxide substrate, a metal substrate, or a glass, ceramic, or other appropriate substrate for the specific product to be formed comprising the cobalt film, which includes such copper and dielectric surface.
[0094] The contacting of the precursor vapor with the substrate in processes of the disclosure may be carried out for any suitable period of time, e.g., a period of from 2 to 60 minutes, a period of from 3 to 15 minutes, a period of from 5 to 12 minutes, or other suitable period of time. In various embodiments, the contacting is conducted for a period of time sufficient to deposit a predetermined thickness of the deposited cobalt. Such thickness may be of any suitable magnitude, e.g., a thickness in a range of from 2 nm to 1000 nm, a thickness in a range of from 2 nm to 500 nm, a thickness in a range of from 4 nm to 400 nm, a thickness in a range of from 5 nm to 300 nm, or thickness in other thickness range.
[0095] In various embodiments, the cobalt film after the contacting is annealed by a thermal annealing process. The thermal annealing can be carried out at any suitable annealing conditions, e.g., temperature in a range of from 200°C to 600°C, a range of from 200°C to 550°C, a range of from 350°C to 550°C, a range of from 375°C to 450°C, or temperature in other suitable temperature range.
[0096] In various embodiments of the process of the present disclosure, the thermal annealing can be conducted for a suitable period of time to achieve a desired resistivity, sheet resistance, and/or other desired characteristics of the film. For example, the annealing can be carried out for a period in a range of from I minute to 20 minutes, in a range of from 2 minutes to 15 minutes, in a range of from 10 to 12 minutes, or other period of time. The thermal annealing may be conducted for a period that is effective to reduce resistivity of the cobalt film as deposited on the substrate, e.g., by an amount in a range of from 25% to 90% of the as-deposited resistivity of the cobalt film, in a range of from 30% to 80% reduction, in a range of from 40% to 75% reduction, or in other range of reduction of resistivity, to yield a desired resistivity value, e.g., a resistivity in a range of from 10 to 40 μΩ-cm.
[0097] The cobalt film formed by processes of the present disclosure has high purity and low resistivity, e.g., a resistivity in a range of from 7 to 48 μΩ-cm, a range of from 10 to 45 μΩ-cm, a range of from 15 to 40 μΩ-cm, a range of from 18 to 38 μΩ-cm, or resistivity in other suitable range.
[0098] In specific embodiments of the process of the present disclosure, the contacting of the precursor vapor with the substrate may be carried out with delivery of the precursor vapor to the substrate at a suitable rate to achieve the desired deposited cobalt thickness and other properties. In specific embodiments, the precursor vapor maybe flowed to the substrate for contacting thereof, at a flow rate that is in a range of from 20 to 200 μmoles/minute. The cobalt precursor may be flowed to the contacting step in the mixture with hydrogen or other co-flow gas or gases. Such a co-flow gases may be delivered at any suitable rate, e.g., a rate in a range of from 1 to 5 L per minute, or other suitable flow rate. The contacting may be carried out at pressure in a range of from 10 to 50 torr in various specific embodiments. Cobalt may be deposited on the substrate from the precursor vapor at any suitable deposition rate, such as a deposition rate in a range of from 2 to 20 A/minute, a deposition rate in a range of from 1 to 10 A/minute, or other deposition rate.
[0099] The cobalt film in various embodiments of the present invention can be formed at any suitable thickness, e.g., a thickness in a range of from 75 A to 500 A, a thickness in a range of from 10 A to 400 A, a thickness in a range of from 20 A to 300 A, or thickness in another range.
[00100] The processes of the present disclosure may be carried out to produce cobalt films of superior electrical character.
[00101] In specific embodiments of the process of the present disclosure, the cobalt precursor is volatilized to form the precursor vapor, and the cobalt film deposited on the substrate is annealed by a thermal annealing process. The thermal annealing process in such application may be conducted for any suitable period of time and at any suitable temperature. In illustrative embodiments, the annealing may be conducted for a period of from 1 minute to two hours at temperature in a range of from 150°C to 500°C, e.g., or for a period of from 1 to 15 minutes at temperature in a range of from 375°C to 450°C, or under other suitable time and temperature conditions.
[00102] The cobalt films of the present disclosure as formed by method comprising the process according to any of the previously described embodiments can be utilized to form devices comprising the high purity, low resistivity cobalt films. Such devices may be of any suitable type, and in various embodiments may comprise a semiconductor device, flat-panel display, or solar panel. The present disclosure therefore contemplates a thin-film structure comprising a vapor-deposited high purity, low resistivity cobalt film, such as a high purity, low resistivity cobalt film formed by a method comprising a process according to any of the embodiments and aspects described hereinabove.
[00103] The present disclosure thus contemplates a process for forming cobalt on a substrate in which a cobalt precursor is volatilized to form precursor vapor that is contacted with the substrate under vapor deposition conditions effective for depositing cobalt on the substrate from the precursor vapor. The deposited cobalt may be employed to fill a via of the substrate, with the cobalt being deposited over a copper surface in the via. The copper surface may be at a lower portion or at a bottom of the via. The via may have a diameter in a range of from 15 nm to 45 nm in various embodiments, and in other embodiments may have a diameter of less than 15 nm. The via may have an aspect ratio in a range of from 1 : 1 to 5: 1 , and in a specific embodiment may have an aspect ratio of 3 : 1.
[00104] The copper surface in the via may have one or more layers deposited thereon, with the cobalt being deposited on an outermost surface of such one or more layers. For example, the one or more layers may comprise a layer of tantalum on the copper surface, and a layer of tantalum nitride, wherein the outermost surface comprises surface of the layer of tantalum nitride. As another example, the one or more layers may include a layer of ruthenium on the copper surface, a layer of tantalum on the layer of ruthenium, and a layer of tantalum nitride on the layer of tantalum, in which the outermost surface comprises surface of the layer of tantalum nitride. As a still further example, the one or more layers may include a layer of ruthenium on the copper surface, in which the outermost surface comprises surface of the layer of ruthenium.
[00105] The via fill process may be conducted with any suitable cobalt precursor for the vapor deposition of cobalt, including the cobalt precursors variously described herein. The vapor deposition may comprise any suitable vapor deposition technique, e.g., chemical vapor deposition (CVD).
[00106] The via fill process may be conducted in a dual-damascene structure, as part of a corresponding process for semiconductor manufacturing. The via fill process may be conducted on a substrate from which a TiN hard mask has been removed, as hereinafter more fully discussed. The cobalt in the via fill method may in specific embodiments be deposited at temperature not exceeding about 200°C. [00107] The disclosure correspondingly contemplates a void-free filled via as formed by a process described above.
[00108] In a further aspect, the disclosure relates to a process for forming cobalt on a substrate comprising metal-containing surface and oxide material surface, the process comprising contacting the substrate, under vapor deposition conditions effective for depositing cobalt on the substrate, with vapor of a cobalt precursor that is effective under the vapor deposition conditions to selectively deposit cobalt on the metal-containing surface of the substrate but not the oxide material surface of the substrate. As used in such context, the "metal-containing surface" can contain any metal or any combination of metals, e.g., alloys, as well as non-oxide compounds of such metals.
[00109] In such process for forming cobalt on a substrate comprising metal-containing surface and oxide material surface, the metal-containing surface can comprise metal selected from the group consisting of copper, tantalum, ruthenium, tungsten, aluminum, and cobalt. In specific embodiments, the metal-containing surface may comprise a metal nitride, e.g., tantalum nitride. The oxide material surface may comprise dielectric material in various embodiments. In specific embodiments, the oxide material surface may comprise a silicon oxide material.
[00110] The cobalt precursor utilized in the foregoing process may be of any suitable type(s), and may for example comprise a precursor selected from the group consisting of (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substituents selected from the group consisting of: H; C1 -C8 alkyl; C6-C 10 aryl; C7-C 16 alkylaryl; C7-C 16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C1 -C8 alkyl, amines, or halo substituents; C1 -C8 alkoxy; hydroxyl; oximes; hydroxyamines; acetates; carbonyls; beta-diketonates; and beta-ketoiminates; and (ii) cobalt compounds containing acetylenic functionality.
[00111] In the foregoing process for forming cobalt on a substrate comprising metal-containing surface and oxide material surface, the vapor deposition conditions in various embodiments comprise temperature not exceeding about 200°C. The vapor deposition in the foregoing process may comprise chemical vapor deposition or any other suitable type of vapor deposition.
[00112] The foregoing process may be carried out in various embodiments wherein the substrate comprises copper surface and SiO2 surface. In other embodiments, the substrate comprises tantalum surface and and SiO2 surface. In still other embodiments, the substrate may comprise tantalum nitride surface and SiO2 surface.
[00113] The advantages and features of the disclosure are further illustrated with reference to the following examples, which is not to be construed as in any way limiting the scope of the disclosure but rather as illustrative of particular embodiments in specific implementations thereof.
[00114] EXAMPLE 1
[00115] Cobalt deposition selectivity was evaluated, using Co(tBUNCHCHNtBu) precursor, on silicon dioxide, copper, and ULK substrate surfaces, at "C", "L", and "BL" positions on the substrate surface. Initial deposition runs were carried out at temperatures of 290°C, 250°C, and 200°C, with the results shown in Table 1 below. Position "L" showed a lower deposition rate. Thickness of the deposited cobalt film in An stroms was determined b x-ra fluorescence XRF .
Figure imgf000019_0002
[00117] Next, selectivity was evaluated at temperature of 290°C, 250°C, 200°C, and 150°C, on silicon dioxide, copper, and ULK substrate surfaces, at "C", "L", and "BL" positions on the substrate surface using Co(tBUNCHCHNtBu) precursor. The precursor flow rate was 5(^mole/minute, with hydrogen being flowed to the contacting operation at the rate of 0.5 L per minute as a co-flow gas with the Co(tBUNCHCHNtBu) precursor vapor. The contacting operation was carried out at pressure of 30 torr. Deposition rate, in Angstroms per minute, of the cobalt film on the various substrate surfaces was determined, with the results shown in Table 2.
Figure imgf000019_0001
Figure imgf000020_0001
[00119] As reflected by the data at 200°C and 150°C, the Co(tBUNCHCHNtBu) precursor showed excellent selectivity at temperatures of < 200°C, depositing on copper surface but not on ULK surface on the substrate.
[00120] EXAMPLE 2
[00121] The characteristics of Co(tBuNCHCHNtBu)2, or Co(tBuDAD)2, were evaluated in a series of tests.
[00122] The thermogravimetric and differential scanning calorimetry characteristics of Co(tBuNCHCHNtBu)2 were determined by corresponding TG/DSC analysis, generating the thermal characteristics plot shown in FIG. 1 , showing a T50 value of 221.9°C, and a residual mass value of 0.2% at temperature of 772.3°C.
[00123] The Co(tBuNCHCHNtBu)2 precursor then was evaluated in deposition on silicon dioxide substrates, at the following deposition conditions: 290°C deposition temperature; 50 μmole/minute delivery rate of the precursor, deposition pressure of 10-30 torr; hydrogen as a co- reactant introduced to the deposition chamber at flow rate of 0.5 to 3 L per minute; vaporizer temperature of 130°C; and 90°C deposition chamber temperature. The resulting deposited material was in the form of powdery Co2C material. XRD analysis of such deposited Co2C material identified such material has being orthorhombic in character, as shown by the XRD plot in FIG. 2.
[00124] A micrograph of the deposited Co2C material, deposited at a cobalt thickness of 25.1 A and pressure of 30 torr is shown in FIG. 3. A micrograph of the deposited Co2C material, deposited at a cobalt thickness of 69.7 A and pressure of 30 torr is shown in FIG. 4. A micrograph of the deposited Co2C material, deposited at a cobalt thickness of 13.6 A and pressure of 10 torr is shown in FIG. 5. A micrograph of the deposited Co2C material, deposited at a cobalt thickness of 59.3 A and pressure of 10 torr is shown in FIG. 6.
[00125] The Co(tBuNCHCHNtBu)2 precursor next was deposited on ruthenium substrates at temperature of 290°C and precursor flow rate of 50 μmole/minute, with hydrogen as a co-flow gas delivered to the deposition chamber with the precursor. FIG. 7 is a micrograph of a cobalt film formed on the ruthenium substrate at a deposition pressure of 10 torr. The thickness of the cobalt film was determined for this sample by x-ray fluorescence and scanning electron micrography, yielding an XRF- determined thickness of 16.6 nm, and an SEM-determined thickness of 22 nm. The resistivity of the cobalt film was determined to be on the order of 59 μΩ-cm.
[00126] Substrate effects were evaluated for the Co(tBuNCHCHNtBu)2 precursor deposited that temperature of 290°C, pressure of 30 torr, and with flow of hydrogen as a co-reactant at flow rate of 0.5 L per minute. The results are shown in Table 3 below.
Figure imgf000021_0001
[00128] Sheet resistance, in ohms/square, and resistivity in μΩ-cm, are tabulated in Table 3, along with cobalt film thickness in Angstroms, as determined by x-ray fluorescence, for a variety of substrates including tantalum nitride, tantalum, titanium nitride, copper, tungsten deposited by physical vapor deposition, tungsten deposited by chemical vapor deposition, iridium dioxide, ruthenium, and fluorine free tungsten (FFW).
[00129] The effects of annealing on substrate resistivity were then determined for cobalt deposited from the Co(tBuNCHCHNtBu)2 precursor on copper and ruthenium substrates. Results are shown in FIG. 8, as a plot of percentage resistivity after annealing, as a function of annealing temperature, in degrees Centigrade, for annealing carried out at a pressure of 30 torr and hydrogen flow rate of 0.5 L per minute. The percentage of resistivity after annealing was a linear function of annealing temperature, over the temperature range of from 200°C to 280°C.
[00130] FIG. 9 shows XRD plots for the cobalt films on tantalum nitride (upper trace), titanium nitride (middle trace), and copper (lower trace) substrates, in intensity (arbitrary units) as a function of the two-theta angle, in degrees. This plot shows that cobalt was deposited on the copper substrate in an amorphous state.
[00131] FIG. 10 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a tantalum nitride substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees. The tantalum nitride substrate is shown as the lower trace in this plot. [00132] FIG. 11 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor, in arbitrary intensity units as a function of the two-theta angle, in degrees. The cobalt film trace on a fluorine free tungsten (FFW) substrate in this plot is the upper trace, the cobalt film trace on an iridium substrate is the middle trace, and the cobalt film trace on a tantalum substrate is the lower trace.
[00133] FIG. 12 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on an iridium oxide substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees. The iridium oxide substrate is shown as the lower trace in this plot.
[00134] FIG. 13 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a tantalum substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees. The tantalum substrate is shown as the lower trace in this plot.
[00135] FIG. 14 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a ruthenium substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees. The ruthenium substrate is shown as the lower trace in this plot.
[00136] FIG. 15 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a tantalum substrate. The cobalt film had a thickness of 124.6 A.
[00137] FIG. 16 is a scanning electron micrograph of a cobalt film on a copper substrate, at a cobalt film thickness of 230.4 A.
[00138] FIG. 17 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a tantalum nitride substrate, wherein the cobalt film had a thickness of 188.3 A.
[00139] FIG. 18 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a titanium nitride substrate, wherein the cobalt film had a thickness of 180.1 A.
[00140] FIG. 19 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a silicon substrate, wherein the cobalt film had a thickness of 25.3 A.
[00141] FIG. 20 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine free tungsten (FFW) substrate. The cobalt film thickness was 208.7 A, and the film had a resistivity of 91.7 μΩ-cm.
[00142] FIG. 21 is a scanning electron micrograph, at 50,000X magnification, of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on an iridium dioxide substrate. The cobalt film had a thickness of 204.1 A.
[00143] FIG. 22 is a scanning electron micrograph, at 200.000X magnification, of the cobalt film of FIG. 23, deposited from the Co(tBuNCHCHNtBu)2 precursor on an iridium dioxide substrate, wherein the cobalt film had a thickness of 204.1 A. [00144] Substrate effects were determined for cobalt films deposited from the Co(tBuNCHCHNtBu)2 precursor on tantalum nitride, tantalum, copper, ruthenium, fluorine-free tungsten, and silicon dioxide substrates. The cobalt film deposition was carried out at 250°C, pressure of 30 torr, and hydrogen co-reactant flow rate of 0.5 L per minute. The results are shown in Table 6 below.
Figure imgf000023_0001
[00146] Resistivity and sheet resistance were evaluated for substrate effects, for cobalt films deposited from the Co(tBuNCHCHNtBu)2 precursor on copper, ruthenium, fluorine-free tungsten, and ultra-low dielectric constant (ULK) material substrates. The deposition was carried out at 200°C, pressure of 30 torr, and hydrogen co-reaction flow rate of 0.5 L per minute. The results are shown in Table 7 below.
Figure imgf000023_0002
Figure imgf000023_0003
[00148] FIG. 23 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a ruthenium substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees. The lower line in this plot shows the data for deposition at 290°C, and cobalt film thickness of 166 A. The middle line in this plot shows the data for deposition at 250°C, and cobalt film thickness of 205.1 A. The upper line in this plot shows the data for deposition at 200°C, and cobalt film thickness of 21 1.8 A.
[00149] FIG. 24 is a graph of resistivity, in μΩ-cm, as a function of film thickness in Angstroms, as determined by x-ray fluorescence, for a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a ruthenium substrate, showing the data for deposition at a temperature of 200°C, 250°C, and 290°C. The data show that the cobalt film had a resistivity on the order of 30 μΩ-cm.
[00150] FIG. 25 is an XRD plot of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine-free tungsten substrate, in arbitrary intensity units as a function of the two-theta angle, in degrees. The upper line in this plot shows the data for deposition at 290°C, and cobalt film thickness of 208.7 A. The lower line in this plot shows the data for deposition at 250°C, and cobalt film thickness of 127.4 A.
[00151] FIG. 26 is a graph of resistivity, in μΩ-cm, as a function of film thickness in Angstroms, as determined by x-ray fluorescence, for a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine-free tungsten nitride substrate, at temperatures of 200°C, 250°C, and 290°C. The deposition was carried out at pressure of 30 torr, and with hydrogen co-reactant flow rate of 0.5 L per minute.
[00152] FIG. 27 is a graph of resistivity, in μΩ-cm, as a function of film thickness in Angstroms, as determined by x-ray fluorescence, for a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine-free tungsten nitride substrate, at a temperature of 250°C, and precursor flow rate of 50 μηιοΐε/ηιϊηυΐε. A first run was carried out at pressure of 10 torr, and co-reactant hydrogen flow rate of 3 L per minute. A second run was carried out at pressure of 30 torr, and hydrogen co-reactant flow rate of 0.5 L per minute. A third run was carried out at pressure of 30 torr, and hydrogen co-reactant flow rate of 3 L per minute. A fourth run was carried out at pressure of 10 torr, and hydrogen co-reactant flow rate of 0.5 L per minute.
[00153] The foregoing data showed that the bulk resistivity of the cobalt film, over a film thickness range of from 50 to 130 A, was in a range of from about 75 μΩ-cm to about 150 μΩ-cm, at deposition temperature of 250°C, deposition pressure of 30 torr, and 0.5 L per minute of hydrogen co-reactant flow.
[00154] FIG. 28 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 200°C, pressure of 30 torr, co-reactant hydrogen flow of 0.5 L per minute, yielding a cobalt film thickness of 49.8 A.
[00155] FIG. 29 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 250°C, pressure of 10 torr, co-reactant hydrogen flow of 3 L per minute, yielding a cobalt film thickness of 39.8 A, and film resistivity of 139 μΩ-cm. [00156] FIG. 30 is a scanning electron micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a fluorine-free tungsten substrate, in which the film was deposited at temperature of 250°C, pressure of 30 torr, co-reactant hydrogen flow of 3 L per minute, yielding a cobalt film thickness of 35.8 A, and a film resistivity of 169 μΩ-cm.
[00157] FIG. 31 is a micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a copper substrate, at deposition temperature of 200°C, yielding a cobalt film thickness of 169.5 A.
[00158] FIG. 32 is a micrograph of a cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on a copper substrate, at deposition temperature of 150°C, yielding a cobalt film thickness of 97.6 A.
[00159] Samples of the cobalt film deposited from the Co(tBuNCHCHNtBu)2 precursor on fluorine-free tungsten substrates at deposition temperature of 2 0°C were processed by 400°C rapid thermal annealing in nitrogen (RTN), and the effect of such RTN processing on the sheet resistance and resistivity of the cobalt films was determined, with the results shown in Table 8 below.
Figure imgf000025_0001
[00161] FIG. 33 is a graph of 400°C RTN resistivity data for cobalt films deposited from the Co(tBuNCHCHNtBu)2 precursor ("Co(tBuDAD)2) on a fluorine-free tungsten substrate, and from other cobalt precursors on SiO2 substrates, as a function of XRF-determined film thickness. The additional cobalt precursors were: dicobalt hexacarbonyl tert-butylacetylene, which has the formula Co2(CO)6(HCC(CH3)3), with a boiling point of 52°C at 0.8 torr (106.7 Pa), existing as a red liquid at 25°C (CCTBA); high purity CCTBA (HP CCTBA); dicobalt hexacarbonyl trimethylsilyl acetylene (CCTMSA); cobalt carbonyl bis(trimethylsilyl acetylene, having the formula [((H3C)SiC≡C]2Co(CO) (CCBTMSA); bis(N-methylacetamidinato)cobalt (Co(Methyl-Amidinate)); and bis(N- ethylacetamidinato)cobalt (Co(Ethyl-Amidinate)).
[00162] The carbon content of cobalt films deposited from the Co(tBuNCHCHNtBu)2 precursor at varying temperature was determined for a series of samples. The deposition temperature in various runs was 150°C, 200°C, and 250°C. The x-ray fluorescence (XRF) data are shown in Table 7 below, including the cobalt film thickness, in Angstroms, and the carbon content of the film, in micrograms per square centimeter (g/cm2). The ratio of carbon to cobalt in the film was determined, with the data shown in the table, in units of μg carbon/cm2/ 100 Ångstroms of the cobalt film.
[00163] Table 7
Figure imgf000026_0001
[00164] The data in Table 7 shows that the carbon content of the cobalt film was significantly reduced at deposition temperature of 150°C, in relation to carbon content at deposition temperatures of 200°C and 250°C, with a greater than 15 times reduction in C/Co being shown for the deposition temperature of 150°C, relative to the higher deposition temperatures for which data were tabulated.
[00165] FIG. 34 is an XRD plot of a crystalline cobalt film deposited at 150°C from the Co(tBuNCHCHNtBu)2 precursor, in arbitrary intensity units, as a function of the two-theta angle, in degrees.
[00166] FIG. 35 is a scanning electron micrograph of a cobalt film having a thickness of 39.9 A, which was deposited from the Co(tBuNCHCHNtBu)2 precursor on a substrate at a deposition rate of 150 μmoles per minute, at a pressure of 30 torr and a co-reactant hydrogen flow rate of 3 L per minute.
[00167] FIG. 36 is a scanning electron micrograph of a cobalt film having a thickness of 13.4 A, which was deposited from the Co(tBuNCHCHNtBu)2 precursor on a substrate at a deposition rate of 150 μmoles per minute, at a pressure of 10 torr and a co-reactant hydrogen flow rate of 3 L per minute.
[00168] The selective deposition of cobalt on copper in narrow via and trench cavities of semiconductor substrates at relatively low temperatures with a low level of resultant defects in the cobalt has heretofore been quite challenging. In such selective deposition in a via or a trench, it is desirable to achieve homogeneous and complete filling with a smooth surface (suppression of grain formation), and without deposition on low k material and hard masks, particularly titanium nitride (TiN).
[00169] In such applications, it has surprisingly and unexpectedly been discovered that deposition of cobalt on copper can be achieved with remarkable diminution of defects that would otherwise be present in the deposited cobalt, by pre-deposition treatment of the copper substrate with a cleaning composition comprising base and oxidizing agent having pH in a range of from 5 to 10, and more preferably having pH in a range of greater than 7 up to 10. [00170] In addition to significantly decreasing the formation of defects during cobalt deposition in the via or trench, such pre-deposition cleaning treatment can be used to pull back or even remove the TiN hard mask as well as removing fluorocarbon (CFX) polymers.
[00171] While the ensuing disclosure is primarily directed to pre-deposition treatment of copper with the cleaning composition to enable subsequent deposition of cobalt with reduced cobalt defectivity in relation to corresponding deposition of cobalt without such pre-deposition cleaning treatment, it is to be appreciated that the utility of the pre-deposition treatment method and associated cleaning composition is not thus limited, but extends to pre-deposition cleaning of other metals than copper and subsequent deposition of other metals than cobalt. For example, the pre-deposition cleaning of tungsten for subsequent metallization deposition is contemplated, in another aspect of the disclosure.
[00172] The cleaning composition may be of any suitable type having the specified components and pH characteristic, which is effective to effect reduction of defects in the cobalt deposited on copper, in relation to the level of defects occurring in corresponding cobalt deposited on the copper in the absence of pre-deposition treatment with the cleaning composition.
[00173] Cleaning compositions of a type described in International Patent Application PCT/US2012/071777 filed December 27, 2012, meeting the foregoing criteria, may be usefully employed in the broad practice of the present disclosure to provide reduced defect cobalt on copper. The disclosure of such International Patent Application PCT/US2012/071777 is hereby incorporated herein by reference in its entirety.
[00174] The pre-deposition cleaning of the copper on which cobalt is to be deposited may be carried out in any suitable manner to effect contacting of the copper with the cleaning composition for a period of time that is effective to achieve the diminution of defects in the deposited cobalt. Suitable contacting times may be empirically determined by varying the contact time of the cleaning composition with copper samples followed by deposition of cobalt, to determine appropriate contact times for achievement of deposited cobalt of a specific reduced defect character. In some embodiments, the cleaning composition may be contacted with the copper for a period of 0.25 to 30 minutes, at temperature in a range of from about 15°C to about 100°C, although shorter or longer contact times and different temperature conditions may be necessary or desirable in other embodiments, depending on the character of the cleaning composition and the specific copper material that is being cleaned in the pre-deposition cleaning operation.
[00175] Contacting of the cleaning composition with the copper may be carried out in any suitable manner, and may in specific embodiments be affected by immersion contacting, spray application of cleaning composition, mist or aerosol application, or any other suitable contacting method.
[00176] The contacting of the copper with the cleaning composition may be followed with a rinse step in which the cleaned copper is rinsed with deionized water or other solvent, to remove any residue from the copper, to prepare it for the subsequent deposition of cobalt thereon. The cobalt deposition can then be carried out using a cobalt precursor of the present disclosure. [00177] The base utilized in the cleaning composition may be of any suitable type, and may in specific embodiments be selected from among: ammonium hydroxide compounds of the formula N R1 R2 R3 R4OH, wherein R1, R2, R3, R4 may be the same as or different from one another and each is independently selected from the group consisting of: hydrogen; straight-chained or branched C1-C6 alkyl groups (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl); C1-C6 alkoxy groups; C1-C6 hydroxyalkyl groups, (e.g., hydroxyethyl, hydroxypropyl); and substituted and unsubstituted aryl groups (e.g., benzyl); potassium hydroxide; tetrabutylphosphonium hydroxide (TBPH); 1,1,3,3-tetramethylguanidine (TMG); guanidine carbonate; arginine; monoethanolamine (MEA); diethanolamine (DEA); triethanolamine (TEA); ethylenediamine; cysteine; and combinations of the foregoing.
[00178] Specific base components of the foregoing types, as potentially useful in cleaning compositions of the present disclosure, include tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), benzyltrimethylammonium hydroxide (BTMAH), benzyltriethylammonium hydroxide (BTEAH), (2-hydroxyethyl) trimethylammonium hydroxide, (2- hydroxyethyl) triethylammonium hydroxide, (2-hydroxyethyl) tripropylammonium hydroxide, (1- hydroxypropyl) trimethylammonium hydroxide, ethyltrimethylammonium hydroxide, diethyldimethylammonium hydroxide (DEDMAH), and combinations of two or more thereof.
[00179] The oxidizing agent employed in the cleaning composition may be of any suitable type, and may in specific embodiments be selected from among: hydrogen peroxide; FeCl3; FeF3; Fe(NO3)3; Sr(NO3)2; CoF3; MnF3; oxone (2KHSO5 KHSO4 K2SO4); periodic acid; iodic acid; vanadium (V) oxide; vanadium (IV, V) oxide; ammonium vanadate; ammonium peroxomonosulfate; ammonium chlorite (NH4CIO2); ammonium chlorate (NH4CIO3); ammonium iodate (NH4IO3); ammonium nitrate (NH4NO3); ammonium perborate (NH4BO3); ammonium perchlorate (NH4CIO4); ammonium periodate (NH4IO3); ammonium persulfate ((NH4)2S2O8); ammonium hypochlorite (NH4CIO); ammonium tungstate ((NH4)10H2(W2O7)); sodium persulfate (Na2S2Og); sodium hypochlorite (NaCIO); sodium perborate; potassium iodate (KIO3); potassium permanganate (KMnO4); potassium persulfate; nitric acid (HNO3); potassium persulfate (K2S2O8); potassium hypochlorite (KCIO); tetramethylammonium chlorite ((N(CH3)4)C1O2); tetramethylammonium chlorate ((N(CH3)4)C1O3); tetramethylammonium iodate ((N(CH3)4)IO3); tetramethylammonium perborate ((N(CH3)4)BO3); tetramethylammonium perchlorate ((N(CH3)4)C1O4); tetramethylammonium periodate ((N(CH3)4)IO4); tetramethylammonium persulfate ((N(CH3)4)S2O8); tetrabutylammonium peroxomonosulfate; peroxomonosulfuric acid; ferric nitrate (Fe(NO3)3); urea hydrogen peroxide ((CO(NH2)2)H2O2); peracetic acid (CH3(CO)OOH); 1 ,4- benzoquinone; toluquinone; dimethyl- 1 ,4-benzoquinone; chloranil; alloxan; N-methylmoφholine N- oxide; trimethylamine N-oxide; and combinations of two or more of the foregoing.
[00180] The cleaning composition may in specific embodiments further comprise one or more metal corrosion inhibitor components, e.g., metal corrosion inhibitor selected from the group consisting of 5- amino- l,3,4-thiadiazole-2-thiol (ATDT), benzotriazole (BTA), 1 ,2,4-triazole (TAZ), tolyltriazole, 5- methyl-benzotriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, benzotriazole carboxylic acid, 3- amino-5-mercapto-l,2,4-triazole, 1 -amino- 1 ,2,4-triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)- benzotriazole, 1 -amino- 1,2,3-triazole, l-amino-5-methyl-l ,2,3-triazole, 3-amino- 1 ,2,4-triazole, 3- mercapto-l ,2,4-triazole, 3-isopropyl-l ,2,4-triazole, 5-phenylthiol-benzotriazole, halo-benzotriazoles (halo = F, CI, Br or I), naphthotriazole, 2-mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4- methyl-2-phenylimidazole, 2-mercaptothiazoline, 5-aminotetrazole, pentylenetetrazole, 5-phenyl-lH- tetrazole, 5-benzyl-lH-tetrazole, Ablumine O, 2-benzylpyridine, succinimide, 2,4-diamino-6-methyl- 1,3,5-triazine, thiazole, triazine, methyltetrazole, 1 ,3-dimethyI-2-imidazolidinone, 1,5- pentamethylenetetrazole, l-phenyl-5-mercaptotetrazole, diaminomethyltriazine, imidazoline thione, 4- methyl-4H-l ,2,4-triazole-3-thiol, benzothiazole, imidazole, indiazole, adenosine, carbazole, saccharin, benzoin oxime, PolyFox PF-159, poly(ethylene glycol), poly(propylene glycol), PEG-PPG copolymers, dodecylbenzenesulfonic acid, benzalkonium chloride, benzyldimethyldodecylammonium chloride, myristyltrimethylammonium bromide, dodecyltrimethylammonium bromide, hexadecylpyridinium chloride, Aliquat 336, benzyldimethylphenylammonium chloride, Crodaquat TES, Rewoquat CPEM, hexadecyltrimethylammonium p-toluenesulfonate, hexadecyltrimethylammonium hydroxide, 1-methyl- r-tetradecyl-4,4'-bipyridium dichloride, alkyltrimethylammonium bromide, amprolium hydrochloride, benzethonium hydroxide, benzethonium chloride, benzyldimethylhexadecylammonium chloride, benzyldimethyltetradecylammonium chloride, benzyldodecyldimethylammonium bromide, benzyldodecyldimethylammonium chloride, cetylpyridinium chloride, choline p-toluenesulfonate salt, dimethyldioctadecylammonium bromide, dodecylethyldimethylammonium bromide, dodecyltrimethylammonium chloride, ethylhexadecyldimethylammonium bromide, Girard's reagent, hexadecyl(2-hydroxyethyl)dimethylammonium dihydrogen phosphate, dexadecylpyridinium bromide, hexadecyltrimethylammonium bromide, hexadecyltrimethylammonium chloride, methylbenzethonium chloride, Hyamine® 1622, Luviquat™, Ν,Ν',Ν'-polyoxyethylene (10)-N-tallow-l,3-diaminopropane liquid, oxyphenonium bromide, tetraheptylammonium bromide, tetrakis(decyl)ammonium bromide, thonzonium bromide, tridodecylammonium chloride, trimethyloctadecylammonium bromide, 1 -methyl- 3-n-octylimidazolium tetrafluoroborate, 1 -decyl-3-methylimidazolium tetrafluoroborate. l-decyl-3- methylimidazolium chloride, tridodecylmethylammonium bromide, dimethyldistearylammonium chloride, hexamethonium chloride, and combinations of two or more of the foregoing.
[00181] In particular embodiments, the cleaning composition may additionally comprise oxidizing agent stabilizer, as for example stabilizer selected from among glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, and lysine, nitrilotriacetic acid, iminodiacetic acid, etidronic acid, cthylcncdiaminctctraacctic acid (EDTA), (l,2-cyclohcxylcncdinitrilo)tctraacctic acid (CDTA), uric acid, tetraglyme, diethylenetriamine pentaacetic acid, propylenediamine tetraacetic acid, ethylendiamine disuccinic acid, sulfanilamide, and combinations of two or more of the foregoing.
[00182] The cleaning composition can be formulated to comprise any of various suitable solvents, as for example, water, water-miscible organic solvents, and combinations of the foregoing. Water-miscible organic solvents that may be usefully employed in specific cleaning compositions include those of the formula R1R2R3C(OH), where R1, R2 and R3 are independent from each other and are selected from to the group consisting of hydrogen, C2-C30alkyls, C2-C30alkenes, cycloalkyls, C2-C30alkoxys, and combinations thereof.
[00183] Specific solvents that may be usefully employed in specific embodiments include those selected from the group consisting of water, methanol, ethanol, isopropanol, butanol, pentanol, hexanol, 2-ethyl-l-hexanol, heptanol, octanol, ethylene glycol, propylene glycol, butylene glycol, butylene carbonate, ethylene carbonate, propylene carbonate, dipropylene glycol, diethylene glycol monomethyl ether, methylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether, triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether (DPGME), tripropylene glycol methyl ether (TPGME).dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n-propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, 2,3-dihydrodecafluoropentane, ethyl perfluorobutylether, methyl perfluorobutylether, alkyl carbonates, alkylene carbonates, 4-methyl-2- pentanol, and combinations of two or more of the foregoing.
[00184] In specific embodiments, the solvent may comprise water, such as deionized water.
[00185] In cleaning compositions in which water is employed as a solvent, the amount of water in the composition may be of a suitable amount that is effective to enable the composition to achieve its intended purpose of reducing defects in the cobalt deposited on the copper that is cleaned with such composition. The cleaning composition may for example comprise water in an amount of from 65 wt% to 95 wt% or more, based on the total weight of the cleaning composition.
[00186] In specific embodiments, the cleaning composition may comprise an aqueous composition including from 0.1 wt% to 10 wt% base, and from 5 wt% to 40 wt% oxidizing agent, based on total weight of the cleaning composition.
[00187] In various embodiments, the cleaning composition may be provided in the form of a cleaning composition concentrate that then is diluted or otherwise mixed with solvent and or other components to constitute the final cleaning composition for use. In this respect, the oxidizing agent may be absent from the concentrate, to avoid issues of instability of the oxidizing agent when the concentrate is stored for a significant period of time, and the concentrate may be mixed with the oxidizing agent at the point of use, e.g., in a semiconductor manufacturing facility.
[00188] In specific implementations, the cleaning composition may comprise any other suitable components, such as surfactants, dielectric passivating agents, stabilizers, dispersing or suspending agents, etc.
[00189] The cleaning composition and pre-deposition cleaning method of the present disclosure are highly effective in achieving substantial reduction of defects in deposited metal that is deposited on other metals in semiconductor substrate cavities such as vias and trenches. This is shown in FIGS. 37 and 38. [00190] FIG. 37 is an electron micrograph at a magnification of 25,000 times, showing a cobalt film that has been deposited on copper that has not been cleaned by a pre-deposition cleaning with the cleaning composition of the present disclosure. The resulting cobalt film exhibits many defects, as is readily visually apparent from such micrograph.
[00191] FIG. 38 is an electron micrograph at a magnification of 25,000 times, showing a cobalt film that has been deposited on copper that has been cleaned with a cleaning composition of the present disclosure, comprising an approximate weight percentage composition of 89% deionized water, 9% oxidizing agent, and 2% base, based on total weight of the cleaning composition, as contacted with the copper for 2 minutes at 50°C. The resulting cobalt film deposited on such cleaned copper, as shown in FIG. 38, exhibits few visual defects (<1%).
[00192] A comparison of FIGS. 37 and 38 shows the striking reduction in cobalt film defects that has been achieved by the cleaning method and composition of the present disclosure.
[00193] In another aspect, the disclosure relates to a method of reducing defects in a deposited metal that is vapor deposited on a base metal, such method comprising cleaning the base metal, prior to vapor deposition of the deposited metal thereon, with a cleaning composition comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4. Such method may be conducted with a base metal such as tungsten, or other suitable metal. The composition may be substantially devoid of hydrogen peroxide, and may be variously constituted with suitable etchant species.
[00194] In various embodiments, the etchant may comprise a species selected from the group consisting of H2ZrF6, H2TiF6, HPF6, HF, ammonium fluoride, tetrafluoroboric acid, hexafluorosilicic acid, tetrabutylammonium tetrafluoroborate (TBA-BF4), ammonium hexafluorosilicate, ammonium hexafluorotitanate, tetraalkylammonium fluoride (NR1R2R3R4F), tetraalkylammonium hydroxide (NR1R2R3R4OH), where R1, R2, R3, R4 may be the same as or different from one another and each is independently selected from the group consisting of straight-chained or branched C1-C6 alkyl groups, weak bases, and combinations thereof.
[00195] In other embodiments, the etchant may comprise tetrafluoroboric acid or hexafluorosilicic acid.
[00196] In the preceding method involving a cleaning composition having pH in a range of from 0 to 4, the oxidizing agent may be of any suitable type and may for example comprise a species selected from the group consisting of FeCl3 (both hydrated and unhydrated), Fe(NO3)3, Sr(NO3)2, CoF3, FeF3, MnF3, oxone (2KHSO5 KHSO4 K2SO4), periodic acid, iodic acid, vanadium (V) oxide, vanadium (IV, V) oxide, ammonium vanadate, ammonium peroxomonosulfate, ammonium chlorite (NH4C1O2), ammonium chlorate (NH4C1O3), ammonium iodate (NH4IO3), ammonium nitrate (NH4NO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4CIO4), ammonium periodate (NH4IO3), ammonium persulfate ((NH4)2S2O8), ammonium hypochlorite (NH4CIO), ammonium tungstate ((NH4)10H2(W2O7)), sodium persulfate (Na2S2Og), sodium hypochlorite (NaCIO), sodium perborate, potassium iodate (KIO3), potassium permanganate (KMnO4), potassium persulfate, nitric acid (HNO3), potassium persulfate (K2S2O8), potassium hypochlorite (KCIO), tetramethylammonium chlorite ((N(CH3)4)C1ClO2), tetramethylammonium chlorate ((N(CH3)4)ClO3), tetramethylammoniixm iodate ((N(CH3)4)IO3), tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)C1O4), tetramethylammonium periodate ((N(CH3)4)IO4), tetramethylammonium persulfate ((N(CH3)4)S2O8), tetrabutylammonium peroxomonosulfate, peroxomonosulfuric acid, ferric nitrate (Fe(NO3)3), peracetic acid (CH3(CO)OOH), 1 ,4-benzoquinone, toluquinone, dimethyl- 1 ,4-benzoquinone, chloranil, alloxan, N- methylmorpholine N-oxide, trimethylamine N-oxide, and combinations thereof.
[00197] In other embodiments of the method involving a cleaning composition having pH in a range of from 0 to 4, the oxidizing agent may comprise a species selected from the group consisting of vanadium oxide, ammonium iodate, ammonium periodate, ammonium vanadate, periodic acid, iodic acid, and 1 ,4-benzoquinone. In still other embodiments, the oxidizing agent in the cleaning composition may comprise a species selected from the group consisting of ammonium iodate, ammonium periodate, iodic acid, and periodic acid.
[00198] The cleaning composition having pH in a range of from 0 to 4 may be constituted as comprising at least one iodine scavenger, such as an iodine scavenger comprising a ketone.
[00199] In other embodiments, the iodine scavenger may be selected from the group consisting of 4- methyl-2-pentanone, 2,4-dimethyl-3-pentanone, cyclohexanone, 5-methyl-3-heptanone, 3-pentanone, 5- hydroxy-2-pentanone, 2,5-hexanedione, 4-hydroxy-4-methyl-2-pentanone, acetone, butanone, 2-methyl- 2-butanone, 3,3-dimethyl-2-butanone, 4-hydroxy-2-butanone, cyclopentanone, 2-pentanone, 3- pentanone, 1 -phenylethanone, acetophenone, benzophenone, 2-hexanone, 3-hexanone, 2-heptanone, 3- heptanone, 4-heptanone, 2,6-dimethyl-4-heptanone, 2-octanone, 3-octanone, 4-octanone, dicyclohexyl ketone, 2,6-dimethylcycIohexanone, 2-acetylcyclohexanone, 2,4-pentanedione, menthone, and combinations thereof.
[00200] In still other embodiments, the iodine scavenger may be selected from the group consisting of 4-methyl-2-pentanone, 2,4-dimethyl-3-pentanone, and cyclohexanone.
[00201] The cleaning composition having pH in a range of from 0 to 4 may further comprise a solvent, such as water, e.g., to constitute a composition comprising at least 98 wt % water, based on total weight of the composition.
[00202] The cleaning composition having pH in a range of from 0 to 4 may comprise a suitable corrosion inhibitor, such as a corrosion inhibitor comprising a species selected from the group consisting of 5-amino-l,3,4-thiadiazole-2-thiol (ATDT), benzotriazole (BTA), 1 ,2,4-triazole (TAZ), tolyltriazole, 5- mcthyl-bcnzotriazolc, 5-phcnyl-bcnzotriazolc, 5-nitro-bcnzotriazolc, benzotriazole carboxylic acid, 3- amino-5-mercapto-l,2,4-triazole, 1 -amino- 1 ,2,4-triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)- benzotriazole, I-amino- l,2,3-triazole, l-amino-5-methyl-l ,2,3-triazole, 3-amino- 1 ,2,4-triazole, 3- mercapto-l ,2,4-triazole, 3-isopropyl-l ,2,4-triazole, 5-phenylthiol-benzotriazole, halo-benzotriazoles (halo = F, CI, Br or I), naphthotriazole, 2-mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4- methyl-2-phenylimidazole, 2-mercaptothiazoline, 5-aminotetrazole, pentylenetetrazole, 5-phenyl-lH- tetrazole, 5-benzyl-lH-tetrazole, Ablumine O, 2-benzylpyridine, succinimide, 2,4-diamino-6-methyl- 1,3,5-triazine, thiazole, triazine, methyltetrazole, 1 ,3-dimethyl-2-imidazolidinone, 1,5- pentamethylenetetrazole, l-phenyl-5-mercaptotetrazole, diaminomethyltriazine, imidazoline thione, 4- methyl-4H-l ,2,4-triazole-3-thiol, benzothiazole, imidazole, indiazole, adenosine, carbazole, saccharin, benzoin oxime, PolyFox PF-159, poly(ethylene glycol), poly(propylene glycol), PEG-PPG copolymers, dodecylbenzenesulfonic acid, sodium dodecylbenzenesulfonate, and combinations thereof.
[00203] In other embodiments, the corrosion inhibitor may comprise a cationic quaternary species selected from the group consisting of cationic quaternary salts such as benzalkonium chloride, benzyldimethyldodecylammonium chloride, myristyltrimethylammonium bromide, dodecyltrimethylammonium bromide, hexadecylpyridinium chloride, Aliquat 336, benzyldimethylphenylammonium chloride, Crodaquat TES, Rewoquat CPEM, hexadecyltrimethylammonium p-toluenesulfonate, hexadecyltrimethylammonium hydroxide, 1 -methyl- r-tetradecyl-4,4'-bipyridium dichloride, alkyltrimethylammonium bromide, amprolium hydrochloride, benzethonium hydroxide, benzethonium chloride, benzyldimethylhexadecylammonium chloride, benzyldimethyltetradecylammonium chloride, benzyldodecyldimethylammonium bromide, benzyldodecyldimethylammonium chloride, cetylpyridinium chloride, choline p-toluenesulfonate salt, dimethyldioctadecylammonium bromide, dodecylethyldimethylammonium bromide, dodecyltrimethylammonium chloride, ethylhexadecyldimethylammonium bromide, Girard's reagent, hexadecyl(2-hydroxyethyl)dimethylammonium dihydrogen phosphate, dexadecylpyridinium bromide, hexadecyltrimethylammonium bromide, hexadecyltrimethylammonium chloride, methylbenzethonium chloride, Hyamine® 1622, Luviquat™, Ν,Ν',Ν'-polyoxyethylene (10)-N-tallow-l ,3-diaminopropane liquid, oxyphenonium bromide, tetraheptylammonium bromide, tetrakis(decyl)ammonium bromide, thonzonium bromide, tridodecylammonium chloride, trimethyloctadecylammonium bromide, 1-methyl- 3-n-octylimidazolium tetrafluoroborate, l-decyl-3-methylimidazolium tetrafluoroborate. l-decyl-3- methylimidazolium chloride, tridodecylmethylammonium bromide, dimethyldistearylammonium chloride, and hexamethonium chloride.
[00204] The cleaning composition having pH in a range of from 0 to 4 may also be constituted as comprising at least one additional component selected from the group consisting of surfactants, low-k passivating agents, silicon-containing compounds, and combinations thereof. The passivating agent may comprise a passivating agent selected from the group consisting of boric acid, ammonium pentaborate, sodium tetraborate, 3-hydroxy-2-naphthoic acid, malonic acid, iminodiacetic acid, and combinations thereof. The silicon-containing compound(s) may be selected from the group consisting of mcthyltrimcthoxysilanc, dimcthyldimcthoxysilanc, phcnyltrimcthoxysiianc, tctracthoxysilanc (TEOS), N-propyltrimethoxysilane, N-propyltriethoxysilane, hexyltrimethoxysilane, hexyltriethoxysilane, ammonium hexaflurorosilicate, sodium silicate, tetramethyl ammonium silicate (TMAS), and combinations thereof.
[00205] The cleaning composition having pH in a range of from 0 to 4 may in various embodiments be substantially devoid of amines, abrasive materials, chloride sources, metal halides, and combinations thereof. [00206] The disclosure in a further aspect relates to a method of reducing defects in a deposited cobalt that is vapor deposited on a base metal, wherein the cobalt is deposited by a process of the present disclosure, such method comprising cleaning the base metal, prior to vapor deposition of the deposited cobalt thereon, wherein the cleaning comprises (i) contacting the base metal with a cleaning composition comprising base and oxidizing agent, having pH in a range of from 5 to 10; (ii) contacting the base metal with a cleaning composition comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4; (iii) treating the base metal with hydrogen plasma; or (iv) treating the base metal with hydrogen fluoride.
[00207] In another aspect, the disclosure relates to a method of forming deposited cobalt on a substrate, wherein prior to vapor deposition of cobalt on the substrate, the substrate is cleaned with a cleaning composition selected from among (i) cleaning compositions comprising base and oxidizing agent, having pH in a range of from 5 to 10, and (ii) cleaning compositions comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4, wherein the cleaning of the substrate is effective for at least one of (a) reducing detectivity of the deposited cobalt, (b) removing CFX components from the substrate, and (c) removing or pulling back TiN present on the substrate. Such method may be carried out for highly effective deposition of cobalt on substrates such as copper substrates and tungsten substrates.
[00208] Another aspect of the disclosure relates to selective growth of cobalt on copper and selective growth of cobalt on barrier and liner materials that are in turn deposited on copper, in the achievement of void-free via fills. As discussed in the background section hereof, semiconductor manufacturing technology faces challenges in reducing interconnect line resistance and achieving high-yield void-free fill in vias in which copper is present and Ta, TaN, Ru, and Ru alloys may be employed, in copper- diffusion barriers and liners in the via.
[00209] The present disclosure contemplates cobalt deposition to achieve void-free via fills in small size vias, e.g. in dual-damascene structures and processes. In various embodiments, the void free via fills of the present disclosure may be carried out in vias having critical dimensions of from 15 nm to 45 nm, with aspect ratios of from 1 : 1 to 5: 1, e.g., in a range of from 1.5: 1 to 4.5: 1, or a range of from 2: 1 to 4: 1, or an aspect ratio of 3 : 1.
[00210] In such via fills, cobalt may be vapor deposited in the via directly on copper therein, or on material that in turn is deposited on copper, e.g., a barrier or liner material comprising any one or more of tantalum, tantalum nitride, ruthenium, and ruthenium alloys. For example, the cobalt may be deposited, by CVD or other vapor deposition process, in the via on any of the following combinations of layers (where copper is the bottom layer in such layer sequence, and cobalt is deposited on the last material layer in the sequence): Cu/Ta TaN; Cu/Ru/Ta TaN; and Cu Ru.
[00211] The disclosure further contemplates vapor deposition of cobalt on another layer of cobalt, in successive separate vapor deposition operations, in various embodiments of the disclosure. [00212] The disclosure thus also contemplates implementations in which the deposited cobalt forms an interconnect line or a core of an interconnect line in the via, so that the cobalt forms the core of the via, and does not serve only as a cap, barrier, or liner.
[00213] The deposition of cobalt for selective growth on copper to achieve void-free via fills is achieved in accordance with the present disclosure, using cobalt precursors such as those described herein, in vapor deposition processes, e.g., chemical vapor deposition (CVD).
[00214] A cobalt process can be conducted in which cobalt only selectively nucleates and grows on a copper surface at the bottom of a via to form a bottom-up fill of the via. This approach can be used in chemical vapor deposition processes, or other vapor deposition processes, for selective growth of cobalt to fill vias of very challenging dimensions. The via fill with cobalt can be followed by another interconnect metal fill of the lines in a dual-damascene structure.
[00215] By way of example, cobalt deposition was carried out using a dual damascene test structure as shown in FIG. 39, in which vias are filled with copper in a lower portion of the structure, and copper in some of such vias is exposed to upper section vias in a layer of SiO2 on which a titanium nitride (TiN) hard mask layer has been formed. The vias had a diameter of 45 nm and a 3: 1 aspect ratio.
[00216] FIG. 40 is a top view micrograph of the via test structure, and FIG. 41 is a cross-sectional view of the test structure, prior to cobalt selective growth and fill.
[00217] Cobalt depositions were performed on the test structures, both with and without removal of the TiN hard mask prior to cobalt deposition. In both cases, cobalt deposition was performed at temperature of approximately 200°C in a 300 mm wafer CVD deposition system.
[00218] FIG. 42 is a scanning electron micrograph (SEM) of the cross-section of the cobalt filled via structure, in which cobalt completely fills the -135 nm tall and 45 nm diameter (3: 1 aspect ratio) via structure. FIG. 43 is an SEM top view of the cobalt filled via, in which the cobalt deposition was carried out after the TiN hard mask was removed. There was no deposition of cobalt in the area where no copper presented (i.e., the area of the SiO2 dielectric), and cobalt deposition only nucleated inside the via where the bottom was the exposed copper surface. This cobalt via fill thus provided highly selective growth of cobalt on the copper in the via, to effect the via fill.
[00219] Comparative deposition of cobalt on wafers in which the TiN hard mask was retained exhibited a significantly higher level defects than when such mask was removed, and the defect areas included various sizes of voids, in contrast to the cobalt fill that was carried out when the TiN hard mask had been removed prior to cobalt deposition. Such results indicate the advantage of removing the TiN hard mask prior to cobalt selective deposition being conducted.
[00220] When cobalt is grown inside a via, the growth is confined by the via and nucleation occurs on the growing surface. When growth of the cobalt passes the top of the via and there is excess growth time, cobalt may nucleate on the sides of the cobalt external to the via and in consequence growth may occur in a lateral direction as well as an upward direction and form exterior "cauliflower' ike overgrowths. Such overgrowths may be acceptable within the overall structure of the device, or the overgrowth or portions thereof may be removed by appropriate etch or planarization techniques. [00221] Scanning transmission electron microscope (STEM) images show that cobalt deposited in vias by the above-described fill process is nano-crystalline or nearly amorphous in nature, when growth temperatures on the order of 200°C were employed. The grain size of the deposited cobalt in such fill process was estimated to be less than 10 nm, reflecting compatibility of the bottom-up fill of cobalt in vias beyond the 14 nm node.
[00222] While the disclosure has been set forth herein in reference to specific aspects, features and illustrative embodiments, it will be appreciated that the utility of the disclosure is not thus limited, but rather extends to and encompasses numerous other variations, modifications and alternative embodiments, as will suggest themselves to those of ordinary skill in the field of the present disclosure, based on the description herein. Correspondingly, the disclosure as hereinafter claimed is intended to be broadly construed and interpreted, as including all such variations, modifications and alternative embodiments, within its spirit and scope.

Claims

THE CLAIMS What is claimed is:
1. A process for forming cobalt on a substrate, comprising: volatilizing a cobalt precursor to form a precursor vapor, wherein the cobalt precursor comprises a precursor selected from the group consisting of: (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substituents selected from the group consisting of: H; C1-C8 alkyl; C6-C10 aryl; C7-C16 alkylaryl; C7-C16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C1-Cg alkyl, amines, or halo substituents; C1-C3 alkoxy; hydroxyl; oximes; hydroxyamines; acetates; carbonyls; beta-diketonates; and beta-ketoiminates; and (ii) cobalt compounds containing acetylenic functionality; and contacting the precursor vapor with the substrate under vapor deposition conditions effective for depositing cobalt on the substrate from the precursor vapor, wherein the vapor deposition conditions include temperature not exceeding 200°C, and wherein the substrate includes copper surface and dielectric material surface.
2. The process of claim 1 , wherein the cobalt precursor comprises a precursor selected from the group consisting of (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substituents selected from the group consisting of: H; C1-C8 alkyl; C6-C10 aryl; C7-C16 alkylaryl; C7-C16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C1-C8 alkyl, amines, or halo substituents; C1-C8 alkoxy; hydroxyl; oximes; hydroxyamines; acetates; carbonyls; beta-diketonates; and beta-ketoiminates.
3. The process of claim 1 , wherein the cobalt precursor comprises a precursor selected from the group consisting of (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substituents selected from the group consisting of: H; C1-C8 alkyl; C6-C10 aryl; C7-C16 alkylaryl; C7-C16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C1-C8 alkyl, amines, or halo substituents.
4. The process of claim 1 , wherein the cobalt precursor comprises:
Figure imgf000038_0001
5. The process of claim 1 , wherein the cobalt precursor comprises a cobalt precursor of the formula {RNCHCHNR}2Co, or {R'NCRCRNR'}2Co, wherein each R and R' is independently selected from among C1-C8 alkyl.
6. The process of claim 1 , wherein the cobalt precursor comprises a cobalt compound containing acetylenic functionality.
7. The process of claim 6, wherein the cobalt precursor comprises a cobalt compound selected from the group consisting of dicobalt hexacarbonyl tert-butylacetylene; dicobalt hexacarbonyl trimethylsilyl acetylene; and cobalt carbonyl bis(trimethylsilyl acetylene.
8. The process of claim 1, wherein the dielectric material comprises an ultra-low k dielectric material.
9. The process of claim 1 , wherein the process is conducted to cap a copper metallization element on the substrate.
10. The process of claim 1 , wherein the precursor vapor is mixed with hydrogen for said contacting.
1 1. The process of claim 1 , further comprising annealing the cobalt deposited on the substrate.
12. The process of claim 1 1 , wherein the annealing comprises rapid thermal anneal.
13. The process of claim 1 , wherein the contacting is carried out at temperature in a range of from 60°C to 200°C.
14. The process of claim 1 , wherein the contacting is carried out at temperature in a range of from 130°C to 200°C.
15. The process of claim 1, wherein the contacting is carried out at temperature in a range of from 180°C to 200°C, and a cobalt deposition rate of greater than 1 nm/minute.
16. The process of claim 1, wherein the cobalt precursor comprises a cobalt precursor with mono- or bis- substituted alkyl-l ,3-diazabutadienyl ligands.
17. The process of claim 1 , wherein said contacting is carried out in an ALD process.
18. The process of claim 1, wherein said contacting is carried out in a CVD process.
19. The process of claim 1 , wherein said contacting is carried out to deposit cobalt on the substrate to form a cobalt compound thereon, wherein said cobalt compound is selected from the group consisting of cobalt oxide, cobalt nitride, cobalt carbide, cobalt silicide, and mixtures thereof.
20. The process of claim 1 , wherein the deposited cobalt forms an electrode.
21. The process of claim 1 , wherein the substrate comprises a gate or capacitor structure.
22. The process of claim 1 , wherein the deposited cobalt forms a capping layer.
23. The process of claim 22, wherein the capping layer overlies a copper structure or via.
24. The process of claim 1 , wherein the deposited cobalt forms an encapsulating layer.
25. The process of claim 24, wherein the encapsulating layer covers a copper interconnect element.
26. The process of claim 1 , wherein the deposited cobalt forms a diffusion barrier.
27. The process of claim 1, wherein the deposited cobalt forms a seed for electroplating of metal thereon.
28. The process of claim 1, wherein said vapor deposition conditions comprise a deposition pressure in a range of from 2 to 1200 torr.
29. The process of claim 1, wherein said vapor deposition conditions comprise a deposition pressure in a range of from 5 to 100 torr.
30. The process of claim 1, wherein the cobalt precursor is volatilized by vaporization of a solvent solution thereof.
31. The process of claim 30, wherein the solvent in the solvent solution comprises an organic solvent.
32. The process of claim 30, wherein the solvent in the solvent solution comprises a hydrocarbon solvent.
33. The process of claim 30, wherein the solvent in the solvent solution comprises a solvent selected from the group consisting of C4-C 10 alkane solvents.
34. The process of claim 30, wherein the solvent comprises octane.
35. The process of claim 1 , wherein the precursor vapor is transported in a carrier gas for the contacting thereof with the substrate.
36. The process of claim 35, wherein the carrier gas comprises gas selected from the group consisting of argon, neon, xenon, krypton, helium, and hydrogen.
37. The process of claim 1, wherein the contacting of the precursor vapor with the substrate is conducted for a period of from 2 to 60 minutes.
38. The process of claim 1, further comprising annealing the cobalt deposited on the substrate by thermal annealing at temperature in a range of from 200°C to 600°C.
39. The process of claim 38, wherein the thermal annealing is conducted at temperature in a range of from 350°C to 550°C.
40. The process of claim 38, wherein the thermal annealing is conducted for a period of time sufficient to reduce resistivity of the deposited cobalt, by an amount in a range of from 25% to 90% of the as- deposited resistivity of the cobalt film.
41. The process of claim 1, wherein said contacting of the precursor vapor with the substrate is carried out for a period of time sufficient to deposit cobalt on the substrate at a thickness in a range of from 2 nm to 1000 nm.
42. The process of claim I, wherein the cobalt deposited on the substrate has a resistivity in a range of from 7 to 48 μΩ-cm.
43. The process of claim I, wherein the cobalt deposited on the substrate has a resistivity in a range of from 10 to 40 μΩ-cm.
44. The process of claim 1, wherein the cobalt is deposited on the substrate as a film thereon.
45. An article comprising cobalt deposited on a substrate, as formed by a method comprising a process according to any one of claims 1 to 44.
46. The article of claim 45, comprising a semiconductor device, flat-panel display, or solar panel.
47. The article of claim 45, wherein the deposited cobalt comprises an electrode.
48. The article of claim 45, comprising a gate or capacitor structure.
49. The article of claim 45, wherein the deposited cobalt forms a capping layer.
50. The article of claim 49, wherein the capping layer overlies a via.
51. The article of claim 45, wherein the deposited cobalt forms an encapsulating layer.
52. The article of claim 51 , wherein the encapsulating layer covers a copper interconnect element.
53. The article of claim 45, wherein the deposited cobalt forms a diffusion barrier.
54. The article of claim 45, wherein the deposited cobalt forms a seed for electroplating of metal thereon.
55. A method of reducing defects in a deposited metal that is vapor deposited on a base metal, said method comprising cleaning the base metal, prior to vapor deposition of the deposited metal thereon, with a cleaning composition comprising base and oxidizing agent, having pH in a range of from 5 to 10.
56. The method of claim 55, wherein the base metal comprises copper.
57. The method of claim 55, wherein the deposited metal comprises cobalt.
58. The method of claim 55, wherein the cleaning composition has a pH in a range of greater than 7 up to 10.
59. The method of claim 55, wherein the cleaning comprises contacting the base metal with the cleaning composition for a period of 0.25 to 30 minutes, at temperature in a range of from about 15°C to about 100°C.
60. The method of claim 55, wherein the base in the cleaning composition comprises base selected from among: ammonium hydroxide compounds of the formula NR^RJR-JOH, wherein Ru R2, R3, R4 may be the same as or different from one another and each is independently selected from the group consisting of: hydrogen; straight-chained or branched C1-C6 alkyl groups (e.g., methyl, ethyl, propyl, butyl, pentyl, hexyl); C1-C6 alkoxy groups; C1-C6 hydroxyalkyl groups, (e.g., hydroxyethyl, hydroxypropyl); and substituted and unsubstituted aryl groups (e.g., benzyl); potassium hydroxide; tetrabutylphosphonium hydroxide (TBPH); 1, 1,3,3-tetramethylguanidine (TMG); guanidine carbonate; arginine; monoethanolamine (MEA); diethanolamine (DEA); triethanolamine (TEA); ethylenediamine; cysteine; and combinations of the foregoing.
61. The method of claim 55, wherein the base in the cleaning composition comprises base selected from among tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide (TBAH), benzyltrimethylammonium hydroxide (BTMAH), benzyltriethylammonium hydroxide (BTEAH), (2- hydroxyethyl) trimethylammonium hydroxide, (2-hydroxyethyl) triethylammonium hydroxide, (2- hydroxyethyl) tripropylammonium hydroxide, (1 -hydroxypropyl) trimethylammonium hydroxide, ethyltrimethylammonium hydroxide, diethyldimethylammonium hydroxide (DEDMAH), and combinations of two or more thereof.
62. The method of claim 55, wherein the oxidizing agent in the cleaning composition comprises oxidizing agent selected from among: hydrogen peroxide; FeCU; FeF3; Fe(NC>3)3; Sr(N(½)2; C0F3; MnF3; oxone (2KHSO5 KHSO4 K2SO4); periodic acid; iodic acid; vanadium (V) oxide; vanadium (IV, V) oxide; ammonium vanadate; ammonium peroxomonosulfate; ammonium chlorite (NH4CIO2); ammonium chlorate (NH4CIO3); ammonium iodate (NH4IO3); ammonium nitrate (NH4NO3); ammonium perborate (NH4BO3); ammonium perchlorate (NH4CIO4); ammonium periodate (NH4IO3); ammonium persulfate ((NH4)2S2Os); ammonium hypochlorite (NH4CIO); ammonium tungstate ((NH4)i0H2(W2O7)); sodium persulfate (Na2S2Og); sodium hypochlorite (NaCIO); sodium perborate; potassium iodate (KIO3); potassium permanganate (KMnO4); potassium persulfate; nitric acid (HNO3); potassium persulfate (K2S2O8); potassium hypochlorite (KCIO); tetramethylammonium chlorite ((N(CH3)4)C1O2); tetramethylammonium chlorate ((N(CH3)4)C1O3); tetramethylammonium iodate ((N(CH3)4)IO3); tetramethylammonium perborate ((N(CH3)4)BO3); tetramethylammonium perchlorate ((N(CH3)4)C1O4); tetramethylammonium periodate ((N(CH3)4)IO4); tetramethylammonium persulfate ((N(CH3)4)S2O8); tetrabutylammonium peroxomonosulfate; peroxomonosulfuric acid; ferric nitrate (Fe(NO3)3); urea hydrogen peroxide ((CO(NH2)2)H2O2); peracetic acid (CH3(CO)OOH); 1 ,4-benzoquinone; toluquinone; dimethyl- 1,4-benzoquinone; chloranil; alloxan; N-methylmorpholine N-oxide; trimethylamine N-oxide; and combinations of two or more of the foregoing.
63. The method of claim 55, wherein the cleaning composition further comprises one or more metal corrosion inhibitor components.
64. The method of claim 63, wherein the one or more metal corrosion inhibitor components is selected from the group consisting of 5-amino-l,3,4-thiadiazole-2-thiol (ATDT), benzotriazole (BTA), 1 ,2,4- triazole (TAZ), tolyltriazole, 5-methyl-benzotriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, benzotriazole carboxylic acid, 3-amino-5-mercapto- 1 ,2,4-triazole, 1 -amino- 1 ,2,4-triazole, hydroxybenzotriazole, 2-(5-amino-pentyl)-benzotriazoIe, l-amino-l ,2,3-triazole, l-amino-5-methyl- 1,2,3-triazole, 3-amino-l ,2,4-triazole, 3-mercapto-l,2,4-triazole, 3-isopropyl-l ,2,4-triazole, 5- phenylthiol-benzotriazole, halo-benzotriazoles (halo = F, CI, Br or I), naphthotriazole, 2- mercaptobenzimidazole (MBI), 2-mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2- mercaptothiazoline, 5-aminotetrazole, pentylenetetrazole, 5-phenyl-l H-tetrazole, 5-benzyl-lH-tetrazole, Ablumine O, 2-benzylpyridine, succinimide, 2,4-diamino-6-methyl-l,3,5-triazine, thiazole, triazine, methyltetrazole, l,3-dimethyl-2-imidazolidinone, 1,5-pentamethylenetetrazole, l-phenyl-5- mercaptotetrazole, diaminomethyltriazine, imidazoline thione, 4-methyl-4H-l,2,4-triazole-3-thiol, benzothiazole, imidazole, indiazole, adenosine, carbazole, saccharin, benzoin oxime, PolyFox PF-159, poly(ethylene glycol), poly(propylene glycol), PEG-PPG copolymers, dodecylbenzenesulfonic acid, benzalkonium chloride, benzyldimethyldodecylammonium chloride, myristyltrimethylammonium bromide, dodecyltrimethylammonium bromide, hexadecylpyridinium chloride, Aliquat 336, benzyldimethylphenylammonium chloride, Crodaquat TES, Rewoquat CPEM, hexadecyltrimethylammonium p-toluenesulfonate, hexadecyltrimethylammonium hydroxide, 1-methyl- r-tetradecyl-4,4'-bipyridium dichloride, alkyltrimethylammonium bromide, amprolium hydrochloride, benzethonium hydroxide, benzethonium chloride, benzyldimethylhexadecylammonium chloride, benzyldimethyltetradecylammonium chloride, benzyldodecyldimethylammonium bromide, benzyldodecyldimethylammonium chloride, cetylpyridinium chloride, choline p-toluenesulfonate salt, dimethyldioctadecylammonium bromide, dodecylethyldimethylammonium bromide, dodecyltrimethylammonium chloride, ethylhexadecyldimethylammonium bromide, Girard's reagent, hexadecyl(2-hydroxyethyl)dimethylammonium dihydrogen phosphate, dexadecylpyridinium bromide, hexadecyltrimethylammonium bromide, hexadecyltrimethylammonium chloride, methylbenzethonium chloride, Hyamine® 1622, Luviquat™, Ν,Ν',Ν'-polyoxyethylene (10)-N-tallow-l,3-diaminopropane liquid, oxyphenonium bromide, tetraheptylammonium bromide, tetrakis(decyl)ammonium bromide, thonzonium bromide, tridodccylammonium chloride, trimcthyloctadccylammonium bromide, 1-mcthyl- 3-n-octylimidazolium tetrafluoroborate, 1 -decyl-3-methylimidazolium tetrafluoroborate. l-decyl-3- methylimidazolium chloride, tridodecylmethylammonium bromide, dimethyldistearylammonium chloride, hexamethonium chloride, and combinations of two or more of the foregoing.
65. The method of claim 55, wherein the cleaning composition additionally comprises oxidizing agent stabilizer.
66. The method of claim 65, wherein the oxidizing agent stabilizer comprises oxidizing agent stabilizer selected from among glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, and lysine, nitrilotriacetic acid, iminodiacetic acid, etidronic acid, ethylenediaminetetraacetic acid (EDTA), (l ,2-cyclohexylenedinitrilo)tetraacetic acid (CDTA), uric acid, tetraglyme, diethylenetriamine pentaacetic acid, propylenediamine tetraacetic acid, ethylendiamine disuccinic acid, sulfanilamide, and combinations of two or more of the foregoing.
67. The method of claim 55, wherein the cleaning composition further comprises solvent.
68. The method of claim 67, wherein the solvent is selected from the group consisting of water, water- miscible organic solvents, and combinations of the foregoing.
69. The method of claim 68, wherein the water-miscible organic solvents comprise solvents of the formula R'R^CCOH), where R1, R2 and R3 are independent from each other and are selected from to the group consisting of hydrogen, C2-C30 alkyls, C2-C30 alkenes, cycloalkyls, C2-C30 alkoxys, and combinations thereof.
70. The method of claim 67, wherein the solvent is selected from the group consisting of water, methanol, ethanol, isopropanol, butanol, pentanol, hexanol, 2-ethyl- 1 -hexanol, heptanol, octanol, ethylene glycol, propylene glycol, butylene glycol, butylene carbonate, ethylene carbonate, propylene carbonate, dipropylene glycol, diethylene glycol monomethyl ether, triethylene glycol monomethyl ether, diethylene glycol monoethyl ether, triethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, diethylene glycol monobutyl ether, triethylene glycol monobutyl ether, ethylene glycol monohexyl ether, diethylene glycol monohexyl ether, ethylene glycol phenyl ether, propylene glycol methyl ether, dipropylene glycol methyl ether (DPGME), tripropylene glycol methyl ether (TPGME),dipropylene glycol dimethyl ether, dipropylene glycol ethyl ether, propylene glycol n- propyl ether, dipropylene glycol n-propyl ether (DPGPE), tripropylene glycol n-propyl ether, propylene glycol n-butyl ether, dipropylene glycol n-butyl ether, tripropylene glycol n-butyl ether, propylene glycol phenyl ether, 2,3-dihydrodecafluoropentane, ethyl perfluorobutylether, methyl perfluorobutylether, alkyl carbonates, alkylene carbonates, 4-methyl-2-pentanol, and combinations of two or more of the foregoing.
71. The method of claim 55, wherein the cleaning composition comprises water.
72. The method of claim 71 , wherein the water in the cleaning composition is in an amount of from 65 wt% to 95 wt%, based on the total weight of the cleaning composition.
73. The method of claim 55, wherein the cleaning composition comprises an aqueous composition comprising from 0.1 wt% to 10 wt% of the base, and from 5 wt% to 40 wt% of the oxidizing agent, based on total weight of the cleaning composition.
74. The method of claim 55, wherein the cleaning composition is constituted from a cleaning composition concentrate by mixing the cleaning composition with solvent and/or other components to constitute the cleaning composition for use.
75. The method of claim 74, wherein the oxidizing agent is absent from the cleaning composition concentrate, and is mixed therewith to constitute the cleaning composition for use.
76. A method of reducing defects in cobalt that is vapor deposited on copper, said method comprising cleaning the copper, prior to deposition of the cobalt thereon, with a cleaning composition comprising base and oxidizing agent having pH in a range of from 5 to 10.
77. The method of claim 76, wherein the copper is disposed in a cavity of a semiconductor substrate.
78. The method of claim 76, wherein the cavity comprises at least one of a via and a trench.
79. The method of claim 76, wherein the pH is in a range of from above 7 up to 10.
80. The method of claim 76, wherein the cleaning composition comprises an aqueous cleaning composition comprising from 0.1 wt% to 10 wt% of the base, and from 5 wt% to 40 wt% of the oxidizing agent, based on total weight of the cleaning composition.
81. A method of reducing defects in a deposited metal that is vapor deposited on a base metal, said method comprising cleaning the base metal, prior to vapor deposition of the deposited metal thereon, with a cleaning composition comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4.
82. The method of claim 81, wherein the base metal comprises tungsten.
83. The method of claim 81 , wherein the composition is substantially devoid of hydrogen peroxide.
84. The method of claim 81, wherein the etchant comprises a species selected from the group consisting of H2ZrF6, H2T1F6, HPFe, HF, ammonium fluoride, tetrafluoroboric acid, hexafluorosilicic acid, tetrabutylammonium tetrafluoroborate (TBA-BF4), ammonium hexafluorosilicate, ammonium hexafluorotitanate, tetraalkylammonium fluoride (NR1R2R3R4F), tetraalkylammonium hydroxide (NRIR^RBRIOH), where RT, R2, R3, R4 may be the same as or different from one another and each is independently selected from the group consisting of straight-chained or branched C1-Ce alkyl groups, weak bases, and combinations thereof.
85. The method of claim 81, wherein the etchant comprises tetrafluoroboric acid or hexafluorosilicic acid.
86. The method of any one of claims 81 to 85, wherein the oxidizing agent comprises a species selected from the group consisting of FeClj (both hydrated and unhydrated), Fe(NO3)3, Sr(NO3)2, CoF3, FeF3, MnFj, oxone (2KHSO5 KHSO4 K2SO4), periodic acid, iodic acid, vanadium (V) oxide, vanadium (IV ,V) oxide, ammonium vanadate, ammonium peroxomonosulfate, ammonium chlorite (NH4CIO2), ammonium chlorate (NH4C1O3), ammonium iodate (NH4IO3), ammonium nitrate (NH4NO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4CIO4), ammonium periodate (NH4IO3), ammonium persulfate ((NH4)2S2Og), ammonium hypochlorite (NH4C10), ammonium tungstate ((NKOwH^W^C^)), sodium persulfate (Na2S2O8), sodium hypochlorite (NaCIO), sodium perborate, potassium iodate (KIO3), potassium permanganate (KMnO4), potassium persulfate, nitric acid (HNO3), potassium persulfate (K2S2O8>, potassium hypochlorite (KCIO), tetramethylammonium chlorite ((N(CH3)4)C1O2), tetramethylammonium chlorate ((N(CH3)4)C103), tetramethylammonium iodate ((N(CH3)4)I03), tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)C104), tetramethylammonium periodate ((N(CH3)4)IO4), tetramethylammonium persulfate ((N(CH3)4)S2O8), tetrabutylammonium peroxomonosulfate, peroxomonosulfuric acid, ferric nitrate (Fe(NO3)3), peracetic acid (CH3(CO)OOH), 1 ,4-benzoquinone, toluquinone, dimethyl- 1 ,4-benzoquinone, chloranil, alloxan, N- methylmorpholine N-oxide, trimethylamine N-oxide, and combinations thereof.
87. The method of any one of claims 81 to 85, wherein the oxidizing agent comprises a species selected from the group consisting of vanadium oxide, ammonium iodate, ammonium periodate, ammonium vanadate, periodic acid, iodic acid, and 1,4-benzoquinone.
88. The method of any one of claims 81 to 85, wherein the oxidizing agent comprises a species selected from the group consisting of ammonium iodate, ammonium periodate, iodic acid, and periodic acid.
89. The method of claim 88, further comprising at least one iodine scavenger comprising a ketone.
90. The method of claim 88, further comprising at least one iodine scavenger selected from the group consisting of 4-methyl-2-pentanone, 2,4-dimethyl-3-pentanone, cyclohexanone, 5-methyl-3-heptanone, 3-pentanone, 5-hydroxy-2-pentanone, 2,5-hexanedione, 4-hydroxy-4-methyl-2-pentanone, acetone, butanone, 2-methyl-2-butanone, 3,3-dimethyl-2-butanone, 4-hydroxy-2-butanone, cyclopentanone, 2- pentanone, 3-pentanone, 1-phenylethanone, acetophenone, benzophenone, 2-hexanone, 3-hexanone, 2- heptanone, 3-heptanone, 4-heptanone, 2,6-dimethyl-4-heptanone, 2-octanone, 3-octanone, 4-octanone, dicyclohexyl ketone, 2,6-dimethylcyclohexanone, 2-acetylcyclohexanone, 2,4-pentanedione, menthone, and combinations thereof.
91. The method of claim 88, further comprising at least one iodine scavenger selected from the group consisting of 4-methyl-2-pentanone, 2,4-dimethyl-3-pentanone, and cyclohexanone.
92. The method of any one of claims 81 to 91, wherein the composition further comprises solvent.
93. The method of claim 92, wherein the solvent comprises water.
94. The method of claim 93, wherein the composition comprises at least 98 wt % water, based on total weight of the composition.
95. The method of any one of claims 81 to 94, wherein the composition comprises corrosion inhibitor.
96. The method of claim 95, wherein the corrosion inhibitor comprises a species selected from the group consisting of 5-amino-l ,3,4-thiadiazole-2-thiol (ATDT), benzotriazole (BTA), 1 ,2,4-triazole (TAZ), tolyltriazole, 5-methyl-benzotriazole, 5-phenyl-benzotriazole, 5-nitro-benzotriazole, benzotriazole carboxylic acid, 3-amino-5-mercapto-l ,2,4-triazole, 1 -amino- 1 ,2,4-triazoIe, hydroxybenzotriazole, 2-(5- amino-pentyl)-benzotriazole, 1 -amino- 1 ,2,3-triazole, l-amino-5-methyl-l,2,3-triazole, 3-amino- 1 ,2,4- triazole, 3-mercapto- 1 ,2,4-triazole, 3-isopropyl-l,2,4-triazole, 5-phenylthiol-benzotriazole, halo- benzotriazoles (halo = F, CI, Br or I), naphthotriazole, 2-mercaptobenzimidazole (MBI), 2- mercaptobenzothiazole, 4-methyl-2-phenylimidazole, 2-mercaptothiazoline, 5-aminotetrazole, pentylenetetrazole, 5-phenyl-lH-tetrazole, 5-benzyl-lH-tetrazole, Ablumine O, 2-benzylpyridine, succinimide, 2,4-diamino-6-methyl-l ,3,5-triazine, thiazole, triazine, methyltetrazole, l ,3-dimethyl-2- imidazolidinone, 1,5-pentamethylenetetrazole, l-phenyl-5-mercaptotetrazole, diaminomethyltriazine, imidazoline thione, 4-methyl-4H- 1 ,2,4-triazole-3-thiol, benzothiazole, imidazole, indiazole, adenosine, carbazole, saccharin, benzoin oxime, Poly Fox PF-159, poly(ethylene glycol), poly(propylene glycol), PEG-PPG copolymers, dodecylbenzenesulfonic acid, sodium dodecylbenzenesuifonate, and combinations thereof.
97. The method of claim 95, wherein the corrosion inhibitor comprises a cationic quaternary species selected from the group consisting of cationic quaternary salts such as benzalkonium chloride, benzyldimethyldodecylammonium chloride, myristyltrimethylammonium bromide, dodecyltrimethylammonium bromide, hexadecylpyridinium chloride, Aliquat 336, benzyldimethylphenylammonium chloride, Crodaquat TES, Rewoquat CPEM, hexadecyltrimethylammonium p-toluenesulfonate, hexadecyltrimethylammonium hydroxide, 1-methyl- r-tetradecyl-4,4'-bipyridium dichloride, alkyltrimethylammonium bromide, amprolium hydrochloride, benzethonium hydroxide, benzethonium chloride, benzyldimethylhexadecylammonium chloride, benzyldimethyltetradecylarnmonium chloride, benzyldodecyldimethylarnmonium bromide, benzyldodecyldimethylarnrnonium chloride, cetylpyridinium chloride, choline p-toluenesulfonate salt, dimethyldioctadecylammonium bromide, dodecylethyldimethylammonium bromide, dodecyltrimethylammonium chloride, ethylhexadecyldimethylammonium bromide, Girard's reagent, hexadecyl(2-hydroxyethyl)dimethylammonium dihydrogen phosphate, dexadecylpyridinium bromide, hexadecyltrimethylammonium bromide, hexadecyltrimethylammonium chloride, methylbenzethonium chloride, Hyamine® 1622, Luviquat™, Ν,Ν',Ν'-polyoxyethylene (10)-N-tallow-l ,3-diaminopropane liquid, oxyphenonium bromide, tetraheptylammonium bromide, tetrakis(decyl)ammonium bromide, thonzonium bromide, tridodecylammonium chloride, trimethyloctadecylammonium bromide, 1-methyl- 3-n-octylimidazolium tetrafluoroborate, 1 -decyl-3-methylimidazolium tetrafluoroborate. l-decyl-3- methylimidazolium chloride, tridodecylmethylammonium bromide, dimethyldistearylammonium chloride, and hexamethonium chloride.
98. The method of any of claims 81 to 97, wherein the composition further comprises at least one additional component selected from the group consisting of surfactants, low-k passivating agents, silicon- containing compounds, and combinations thereof.
99. The method of claim 98, wherein the composition comprises a passivating agent selected from the group consisting of boric acid, ammonium pentaborate, sodium tetraborate, 3-hydroxy-2-naphthoic acid, malonic acid, iminodiacetic acid, and combinations thereof.
100. The method of claim 98, comprising at least one silicon-containing compound selected from the group consisting of methyltrimethoxysilane, dimethyldimethoxysilane, phenyltrimethoxysilane, tetraethoxysilane (TEOS), N-propyltrimethoxysilane, N-propyltriethoxysilane, hexyltrimethoxysilane, hexyltriethoxysilane, ammonium hexaflurorosilicate, sodium silicate, tetramethyl ammonium silicate (TMAS), and combinations thereof.
101. The composition of any one of claims 81 to 100, wherein the composition is substantially devoid of amines, abrasive materials, chloride sources, metal halides, and combinations thereof.
102. A method of reducing defects in a deposited cobalt that is vapor deposited on a base metal, wherein the cobalt is deposited by a process of any one of claims 1 to 44, said method comprising cleaning the base metal, prior to vapor deposition of the deposited cobalt thereon, wherein said cleaning comprises (i) contacting the base metal with a cleaning composition comprising base and oxidizing agent, having pH in a range of from 5 to 10; (ii) contacting the base metal with a cleaning composition comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4; (iii) treating the base metal with hydrogen plasma; or (iv) treating the base metal with hydrogen fluoride.
103. A method of forming deposited cobalt on a substrate, wherein prior to vapor deposition of cobalt on the substrate, the substrate is cleaned with a cleaning composition selected from among (i) cleaning compositions comprising base and oxidizing agent, having pH in a range of from 5 to 10, and (ii) cleaning compositions comprising etchant, oxidizing agent, and optionally corrosion inhibitor, having pH in a range of from 0 to 4, wherein the cleaning of the substrate is effective for at least one of (a) reducing defectivity of the deposited cobalt, (b) removing CFX components from the substrate, and (c) removing or pulling back TiN present on the substrate.
104. The method of claim 103, wherein the substrate comprises a copper substrate.
105. The method of claim 103, wherein the substrate comprises a tungsten substrate.
106. The process of claim 1, wherein the deposited cobalt fills a via of the substrate and is deposited over the copper surface in the via.
107. The process of claim 1 , wherein the copper surface has one or more layers deposited thereon, and said cobalt is deposited on an outermost surface of said one or more layers.
108. The process of claim 107, wherein said one or more layers comprises a layer of tantalum on the copper surface, and a layer of tantalum nitride on the tantalum layer, wherein said outermost surface comprises surface of said layer of tantalum nitride.
109. The process of claim 107, wherein said one or more layers comprises a layer of ruthenium on the copper surface, a layer of tantalum on the layer of ruthenium, and a layer of tantalum nitride on the layer of tantalum, wherein said outermost surface comprises surface of said layer of tantalum nitride.
1 10. The process of claim 107, wherein said one or more layers comprises a layer of ruthenium on the copper surface, wherein said outermost surface comprises surface of said layer of ruthenium.
1 1 1. The process of claim 106, wherein the via has a diameter in a range of from 15 nm to 45 nm.
1 12. The process of claim 106, wherein the via has a diameter of less than 15 nm.
1 13. The process of any one of claims 11 1 and 1 12, wherein the via has an aspect ratio in a range of from 1: 1 to 5: 1.
1 14. The process of any one of claims 1 1 1 and 1 12, wherein the via has an aspect ratio of 3: 1.
1 15. The process of any one of claims 1 to 44, and 106 to 1 14, further comprising vapor deposition of cobalt in a separate vapor deposition operation, on the cobalt deposited on the substrate.
1 16. A via fill process, comprising vapor depositing cobalt in a via for fill thereof, wherein the cobalt is vapor deposited over a copper surface in the via.
1 17. The process of claim 1 16, wherein said vapor depositing comprises chemical vapor deposition.
1 18. The process of claim 1 16, wherein the cobalt is vapor deposited from a precursor selected from the group consisting of: (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substituents selected from the group consisting of: H; C1-C8 alkyl; C5-C10 aryl; C7-C16 alkylaryl; C7-C16 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C1-C8 alkyl, amines, or halo substituents; C1-C8 alkoxy; hydroxyl; oximes; hydroxyamines; acetates; carbonyls; beta-diketonates; and beta-ketoiminates; and (ii) cobalt compounds containing acetylenic functionality.
1 19. The process of claim 1 16, as conducted for via fill in a dual-damascene structure.
120. The process of claim 1 16, as conducted on a substrate from which a TiN hard mask has been removed.
121. The process of claim 1 16, wherein the copper surface is at a lower portion or at a bottom of the via.
122. The process according to any one of claims 116 to 121, wherein the cobalt is vapor deposited at temperature not exceeding about 200°C.
123. A void-free filled via as formed by a process according to any one of claims 1 16 to 122.
124. A process for forming cobalt on a substrate comprising metal-containing surface and oxide material surface, the process comprising contacting the substrate, under vapor deposition conditions effective for depositing cobalt on the substrate, with vapor of a cobalt precursor that is effective under the vapor deposition conditions to selectively deposit cobalt on the metal-containing surface of the substrate but not the oxide material surface of the substrate.
125. The process of claim 124, wherein the metal-containing surface comprises metal selected from the group consisting of copper, tantalum, ruthenium, tungsten, aluminum, and cobalt.
126. The process of claim 124, wherein the metal-containing surface comprises a metal nitride.
127. The process of claim 126, wherein the metal nitride comprises tantalum nitride.
128. The process of claim 124, wherein the oxide material surface comprises dielectric material.
129. The process of claim 124, wherein the oxide material comprises a silicon oxide material.
130. The process of claim 124, wherein the cobalt precursor comprises a precursor selected from the group consisting of (i) cobalt bis-diazadiene compounds whose diazadiene moieties are optionally independently substituted on nitrogen and/or carbon atoms thereof with substituents selected from the group consisting of: H; C1 -C8 alkyl; C6-C1 0 aryl; C7 -C1 6 alkylaryl; C7-C1 6 arylalkyl; halo; amines; amidinates; guanidinates; cyclopentadienyls, optionally substituted with C1 -C8 alkyl, amines, or halo substituents; C1 -C8 alkoxy; hydroxyl; oximes; hydroxyamines; acetates; carbonyls; beta-diketonates; and beta-ketoiminates; and (ii) cobalt compounds containing acetylenic functionality.
131. The process of claim 124, wherein the vapor deposition conditions comprise temperature not exceeding about 200°C.
132. The process of claim 124, wherein the vapor deposition comprises chemical vapor deposition.
133. The process of claim 124, wherein the substrate comprises copper surface and Si02 surface.
134. The process of claim 124, wherein the substrate comprises tantalum surface and Si02 surface.
135. The process of claim 124, wherein the substrate comprises tantalum nitride surface and Si02 surface.
PCT/US2015/048216 2014-09-14 2015-09-03 Cobalt deposition selectivity on copper and dielectrics WO2016040077A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020177006577A KR102487441B1 (en) 2014-09-14 2015-09-03 Cobalt deposition selectivity on copper and dielectrics
US15/510,732 US11476158B2 (en) 2014-09-14 2015-09-03 Cobalt deposition selectivity on copper and dielectrics

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201462050166P 2014-09-14 2014-09-14
US62/050,166 2014-09-14
US201562107273P 2015-01-23 2015-01-23
US62/107,273 2015-01-23
US201562110078P 2015-01-30 2015-01-30
US62/110,078 2015-01-30

Publications (1)

Publication Number Publication Date
WO2016040077A1 true WO2016040077A1 (en) 2016-03-17

Family

ID=55459434

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2015/048216 WO2016040077A1 (en) 2014-09-14 2015-09-03 Cobalt deposition selectivity on copper and dielectrics

Country Status (4)

Country Link
US (1) US11476158B2 (en)
KR (1) KR102487441B1 (en)
TW (1) TWI741967B (en)
WO (1) WO2016040077A1 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107229193A (en) * 2017-07-25 2017-10-03 上海新阳半导体材料股份有限公司 A kind of cleaning agent, its preparation method and application
WO2018085257A1 (en) * 2016-11-01 2018-05-11 Versum Materials Us, Llc Cobalt compounds, method of making and method of use thereof
US10355204B2 (en) 2017-03-07 2019-07-16 International Business Machines Corporation Selective growth of seed layer for magneto-resistive random access memory
EP3584250A1 (en) 2018-06-19 2019-12-25 Versum Materials US, LLC Bis(diazadiene)cobalt compounds, method of making and method of use thereof
CN114959810A (en) * 2022-07-12 2022-08-30 上海电力大学 Novel electro-coppering additive and application thereof
US11476158B2 (en) 2014-09-14 2022-10-18 Entegris, Inc. Cobalt deposition selectivity on copper and dielectrics
WO2023076116A1 (en) * 2021-10-27 2023-05-04 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers
US20230173468A1 (en) * 2021-12-03 2023-06-08 Changzhou University Isopoly-vanadic acid coordination polymer catalyst, method of manufacturing the same, and application thereof
US11967523B2 (en) 2021-10-11 2024-04-23 Applied Materials, Inc. Self-assembled monolayer for selective deposition
US12005428B2 (en) * 2021-12-03 2024-06-11 Changzhou University Isopoly-vanadic acid coordination polymer catalyst, method of manufacturing the same, and application thereof

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020513440A (en) * 2016-11-25 2020-05-14 インテグリス・インコーポレーテッド Cleaning composition for removing residues after etching
GB201813368D0 (en) 2018-08-16 2018-10-03 Lam Res Ag Etchant composition
US10971398B2 (en) * 2018-10-26 2021-04-06 International Business Machines Corporation Cobalt interconnect structure including noble metal layer
US11312922B2 (en) 2019-04-12 2022-04-26 Ecolab Usa Inc. Antimicrobial multi-purpose cleaner comprising a sulfonic acid-containing surfactant and methods of making and using the same
US11139201B2 (en) 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
US11854876B2 (en) 2019-12-20 2023-12-26 Asm Ip Holding B.V. Systems and methods for cobalt metalization
CN115369405B (en) * 2021-10-21 2023-12-12 江苏和达电子科技有限公司 Hydrogen peroxide metal etching solution composition and use method thereof
CN114752939A (en) * 2022-05-24 2022-07-15 光华科学技术研究院(广东)有限公司 Etching solution and preparation method and application thereof
CN116230631B (en) * 2023-05-09 2024-01-30 北京超弦存储器研究院 Method for preparing metal interconnection structure, metal interconnection structure and semiconductor component

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040224866A1 (en) * 2003-02-19 2004-11-11 Hiroshi Matsunaga Cleaning solution and cleaning process using the solution
US20060237853A1 (en) * 2005-04-25 2006-10-26 Takeshi Nogami Cobalt tungsten phosphate used to fill voids arising in a copper metallization process
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US20130251903A1 (en) * 2010-11-17 2013-09-26 Up Chemical Co., Ltd. Diazadiene-based metal compound, method for preparing same and method for forming a thin film using same
WO2013173743A2 (en) * 2012-05-18 2013-11-21 Advanced Technology Materials, Inc. Aqueous clean solution with low copper etch rate for organic residue removal improvement

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6777565B2 (en) * 2000-06-29 2004-08-17 Board Of Trustees, The University Of Illinois Organometallic compounds and their use as precursors for forming films and powders of metal or metal derivatives
US6527855B2 (en) * 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
WO2006029160A2 (en) * 2004-09-07 2006-03-16 Phifer-Smith Corporation Copper processing using an ozone-solvent solution
TW200704833A (en) * 2005-06-13 2007-02-01 Mitsui Mining & Smelting Co Surface treated copper foil, process for producing surface treated copper foil, and surface treated copper foil with very thin primer resin layer
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
JP5398378B2 (en) * 2009-06-24 2014-01-29 株式会社東芝 Semiconductor memory device and manufacturing method thereof
US20120276412A1 (en) * 2009-12-24 2012-11-01 Jx Nippon Mining & Metals Corporation Surface-Treated Copper Foil
WO2012027357A2 (en) 2010-08-24 2012-03-01 Wayne State University Thermally stable volatile precursors
WO2013101907A1 (en) 2011-12-28 2013-07-04 Advanced Technology Materials, Inc. Compositions and methods for selectively etching titanium nitride
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
WO2014189671A1 (en) * 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
KR102398920B1 (en) * 2014-04-07 2022-05-17 엔테그리스, 아이엔씨. Cobalt cvd
KR101936162B1 (en) * 2014-06-13 2019-01-08 주식회사 유피케미칼 Liquid precursor compositions, preparation methods thereof, and methods for forming layer using the composition
KR102487441B1 (en) 2014-09-14 2023-01-12 엔테그리스, 아이엔씨. Cobalt deposition selectivity on copper and dielectrics
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
KR101962355B1 (en) * 2017-09-26 2019-03-26 주식회사 한솔케미칼 Precursor for vapor deposition having excellent thermal stability and reactivity and preparing method thereof
US11440929B2 (en) * 2018-06-19 2022-09-13 Versum Materials Us, Llc Bis(diazadiene)cobalt compounds, method of making and method of use thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040224866A1 (en) * 2003-02-19 2004-11-11 Hiroshi Matsunaga Cleaning solution and cleaning process using the solution
US20060237853A1 (en) * 2005-04-25 2006-10-26 Takeshi Nogami Cobalt tungsten phosphate used to fill voids arising in a copper metallization process
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US20130251903A1 (en) * 2010-11-17 2013-09-26 Up Chemical Co., Ltd. Diazadiene-based metal compound, method for preparing same and method for forming a thin film using same
WO2013173743A2 (en) * 2012-05-18 2013-11-21 Advanced Technology Materials, Inc. Aqueous clean solution with low copper etch rate for organic residue removal improvement

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11476158B2 (en) 2014-09-14 2022-10-18 Entegris, Inc. Cobalt deposition selectivity on copper and dielectrics
WO2018085257A1 (en) * 2016-11-01 2018-05-11 Versum Materials Us, Llc Cobalt compounds, method of making and method of use thereof
US10355204B2 (en) 2017-03-07 2019-07-16 International Business Machines Corporation Selective growth of seed layer for magneto-resistive random access memory
CN107229193A (en) * 2017-07-25 2017-10-03 上海新阳半导体材料股份有限公司 A kind of cleaning agent, its preparation method and application
CN107229193B (en) * 2017-07-25 2019-04-23 上海新阳半导体材料股份有限公司 A kind of cleaning agent, preparation method and application
US11440929B2 (en) 2018-06-19 2022-09-13 Versum Materials Us, Llc Bis(diazadiene)cobalt compounds, method of making and method of use thereof
JP2022023872A (en) * 2018-06-19 2022-02-08 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Bis(diazadiene)cobalt compounds, and methods of making and using the same
JP2019218347A (en) * 2018-06-19 2019-12-26 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Bis(diazadiene)cobalt compounds, and methods of making and using the same
EP3584250A1 (en) 2018-06-19 2019-12-25 Versum Materials US, LLC Bis(diazadiene)cobalt compounds, method of making and method of use thereof
JP7393136B2 (en) 2018-06-19 2023-12-06 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー Bis(diazadiene)cobalt compounds, synthesis methods, methods for depositing cobalt-containing films, methods for selectively depositing cobalt, cobalt-containing films and containers
US11967523B2 (en) 2021-10-11 2024-04-23 Applied Materials, Inc. Self-assembled monolayer for selective deposition
WO2023076116A1 (en) * 2021-10-27 2023-05-04 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers
WO2023076115A1 (en) * 2021-10-27 2023-05-04 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers
US11848229B2 (en) 2021-10-27 2023-12-19 Applied Materials, Inc. Selective blocking of metal surfaces using bifunctional self-assembled monolayers
US20230173468A1 (en) * 2021-12-03 2023-06-08 Changzhou University Isopoly-vanadic acid coordination polymer catalyst, method of manufacturing the same, and application thereof
US12005428B2 (en) * 2021-12-03 2024-06-11 Changzhou University Isopoly-vanadic acid coordination polymer catalyst, method of manufacturing the same, and application thereof
CN114959810A (en) * 2022-07-12 2022-08-30 上海电力大学 Novel electro-coppering additive and application thereof

Also Published As

Publication number Publication date
TW201631193A (en) 2016-09-01
US20180130706A1 (en) 2018-05-10
KR20170057253A (en) 2017-05-24
TWI741967B (en) 2021-10-11
KR102487441B1 (en) 2023-01-12
US11476158B2 (en) 2022-10-18

Similar Documents

Publication Publication Date Title
US11476158B2 (en) Cobalt deposition selectivity on copper and dielectrics
US10392560B2 (en) Compositions and methods for selectively etching titanium nitride
US10472567B2 (en) Compositions and methods for selectively etching titanium nitride
TWI651396B (en) Compositions and methods for selectively etching titanium nitride
TWI633624B (en) Doped tantalum nitride for copper barrier applications
TW201619354A (en) Compositions for etching titanium nitride having compatibility with silicon germanide and tungsten
US20090017636A1 (en) Titanium nitride-stripping liquid, and method for stripping titanium nitride coating film
TWI654340B (en) Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
CN104823267A (en) Cleaning liquid for semiconductor elements and cleaning method using same
JP2013004871A (en) Metal etching composition, and method of manufacturing semiconductor device using metal etching composition
TWI716348B (en) Ni SELECTIVE ETCHING COMPOSITION THAT IS COMPATIBLE WITH NiGe AND Ge
TW202313935A (en) Etching composition for metal nitride layer, etching method using the same, and method of maunfacturing microelectronic device using the same
KR102487249B1 (en) Etching solution composition for a tungsten layer
TW202223157A (en) Method for manufacturing ruthenium wiring

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15840027

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 20177006577

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 15510732

Country of ref document: US

122 Ep: pct application non-entry in european phase

Ref document number: 15840027

Country of ref document: EP

Kind code of ref document: A1