WO2016007394A1 - Extreme ultraviolet capping layer and method of manufacturing and lithography thereof - Google Patents

Extreme ultraviolet capping layer and method of manufacturing and lithography thereof Download PDF

Info

Publication number
WO2016007394A1
WO2016007394A1 PCT/US2015/039158 US2015039158W WO2016007394A1 WO 2016007394 A1 WO2016007394 A1 WO 2016007394A1 US 2015039158 W US2015039158 W US 2015039158W WO 2016007394 A1 WO2016007394 A1 WO 2016007394A1
Authority
WO
WIPO (PCT)
Prior art keywords
capping layer
layer
extreme ultraviolet
ruthenium
multilayer stack
Prior art date
Application number
PCT/US2015/039158
Other languages
French (fr)
Inventor
Cara BEASLEY
Ralf Hofmann
Majeed A. Foad
Rudy BECKSTROM III
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to EP15818360.8A priority Critical patent/EP3167472A4/en
Priority to SG11201610504QA priority patent/SG11201610504QA/en
Priority to MYPI2016002248A priority patent/MY182792A/en
Priority to KR1020177003861A priority patent/KR102405253B1/en
Priority to JP2017500330A priority patent/JP6559218B2/en
Priority to CN201580036643.4A priority patent/CN106663601B/en
Publication of WO2016007394A1 publication Critical patent/WO2016007394A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5846Reactive treatment
    • C23C14/5853Oxidation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/32Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one pure metallic layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/30Coatings combining at least one metallic layer and at least one inorganic non-metallic layer
    • C23C28/34Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates
    • C23C28/345Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer
    • C23C28/3455Coatings combining at least one metallic layer and at least one inorganic non-metallic layer including at least one inorganic non-metallic material layer, e.g. metal carbide, nitride, boride, silicide layer and their mixtures, enamels, phosphates and sulphates with at least one oxide layer with a refractory ceramic layer, e.g. refractory metal oxide, ZrO2, rare earth oxides or a thermal barrier system comprising at least one refractory oxide layer
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/14Protective coatings, e.g. hard coatings
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • G02B5/085Multilayer mirrors, i.e. having two or more reflecting layers at least one of the reflecting layers comprising metal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure

Definitions

  • the present invention relates generally to extreme ultraviolet lithography, and more particularly to capping layers, manufacturing systems, and lithography systems for extreme ultraviolet reflective elements for extreme ultraviolet lithography.
  • EUV Extreme ultraviolet
  • Extreme ultraviolet light which can generally in the 5 to 50 nanometer wavelength range, is strongly absorbed by most materials. For that reason, extreme ultraviolet systems work by reflection rather than by transmission of light. Extreme ultraviolet radiation can be projected through a series of reflective components, including mirror assemblies and mask blanks coated with a non-reflective mask pattern, and directed onto semiconductor wafers to form high density, small feature size semiconductor devices.
  • the reflective components of extreme ultraviolet lithography systems can include reflective multilayer coatings of materials. Because of the high power levels of the extreme ultraviolet light, the remaining non-reflected extreme ultraviolet light causes thermal heating that can degrade reflectivity of the reflective components over time and can result in limited lifetimes for the reflective components.
  • the present invention provides a method of manufacture of an extreme ultraviolet reflective element that includes: providing a substrate; forming a multilayer stack on the substrate, the multilayer stack includes a plurality of reflective layer pairs having a first reflective layer and a second reflective layer for forming a Bragg reflector; and forming a capping layer on and over the multilayer stack, the capping layer formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium, and the capping layer for protecting the multilayer stack by reducing oxidation and mechanical erosion.
  • the present invention provides an extreme ultraviolet reflective element that includes: a substrate; a multilayer stack on the substrate, the multilayer stack includes a plurality of reflective layer pairs having a first reflective layer and a second reflective layer; and a capping layer on and over the multilayer stack, the capping layer formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium, and the capping layer for protecting the multilayer stack by reducing oxidation and mechanical erosion.
  • the present invention provides an extreme ultraviolet reflective element production system that includes: a first deposition system for depositing a multilayer stack on a substrate, the multilayer stack includes a plurality of reflective layer pairs having a first reflective layer and a second reflective layer; and a second deposition system for forming a capping layer on the multilayer stack, the capping layer formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium.
  • FIG. 1 is an exemplary diagram of an extreme ultraviolet lithography system in a first embodiment of the present invention.
  • horizontal is defined as a plane parallel to the plane or surface of a mask blank, regardless of its orientation.
  • vertical refers to a direction perpendicular to the horizontal as just defined. Terms, such as “above”, “below”, “bottom”, “top”, “side” (as in “sidewall”), “higher”, “lower”, “upper”, “over”, and “under”, are defined with respect to the horizontal plane, as shown in the figures.
  • processing includes deposition of material or photoresist, patterning, exposure, development, etching, sputtering, cleaning, implantation, and/or removal of the material or photoresist as required in forming a described structure.
  • processing includes deposition of material or photoresist, patterning, exposure, development, etching, sputtering, cleaning, implantation, and/or removal of the material or photoresist as required in forming a described structure.
  • the terms “about” and “approximately” indicate that the size of an element can be determined within engineering tolerances.
  • the extreme ultraviolet lithography system 100 can include an extreme ultraviolet light source 102 for producing extreme ultraviolet light 112, a set of reflective components, and a target wafer 1 10.
  • the reflective components can include a condenser 104, a reflective mask 106, an optical reduction assembly 108, a mask blank, a mirror, or a combination thereof.
  • the extreme ultraviolet light source 102 can generate the extreme ultraviolet light 112.
  • the extreme ultraviolet light 112 is electromagnetic radiation having a wavelength in the range of 5 to 50 nanometers (nm).
  • the extreme ultraviolet light source 102 can include a laser, a laser produced plasma, a discharge produced plasma, a free-electron laser, synchrotron radiation, or a combination thereof.
  • the extreme ultraviolet light source 102 can generate the extreme ultraviolet light 112 having a variety of characteristics.
  • the extreme ultraviolet light source 102 can produce broadband extreme ultraviolet radiation over a range of wavelengths.
  • the extreme ultraviolet light source 102 can generate the extreme ultraviolet light 112 having wavelengths ranging from 5 to 50 nm.
  • the extreme ultraviolet light source 102 can produce the extreme ultraviolet light
  • the extreme ultraviolet light source 102 can generate the extreme ultraviolet light 112 at 13.5 nm.
  • the center of the wavelength peak is 13.5 nm.
  • the condenser 104 is an optical unit for reflecting and focusing the extreme ultraviolet light 112.
  • the condenser 104 can reflect and concentrate the extreme ultraviolet light 112 from the extreme ultraviolet light source 102 to illuminate the reflective mask 106.
  • the condenser 104 is shown as a single element, it is understood that the condenser 104 can include one or more reflective elements such as concave mirrors, convex mirrors, fiat mirrors, or a combination thereof, for reflecting and concentrating the extreme ultraviolet light 112.
  • the condenser 104 can be a single concave mirror or an optical assembly having convex, concave, and fiat optical elements.
  • the reflective mask 106 is a reflective element having a mask pattern 114.
  • the reflective mask 106 creates a lithographic pattern to form a circuitry layout to be formed on the target wafer 110.
  • the reflective mask 106 can reflect the extreme ultraviolet light 112.
  • the mask pattern 114 can define a portion of a circuitry layout.
  • the optical reduction assembly 108 is an optical unit for reducing the image of the mask pattern 114.
  • the reflection of the extreme ultraviolet light 112 from the reflective mask 106 can be reduced by the optical reduction assembly 108 and reflected on to the target wafer 110.
  • the optical reduction assembly 108 can include mirrors and other optical elements to reduce the size of the image of the mask pattern 114.
  • the optical reduction assembly 108 can include concave mirrors for reflecting and focusing the extreme ultraviolet light 112.
  • the optical reduction assembly 108 can reduce the size of the image of the mask pattern 114 on the target wafer 110.
  • the mask pattern 114 can be imaged at a 4: 1 ratio by the optical reduction assembly 108 on the target wafer 110 to form the circuitry represented by the mask pattern 114 on the target wafer 110.
  • the extreme ultraviolet light 112 can scan the reflective mask 106 synchronously with the target wafer 110 to form the mask pattern 114 on the target wafer 110.
  • the extreme ultraviolet reflective element can include a mask blank 204, an extreme ultraviolet (EUV) mirror 205, or other reflective element.
  • EUV extreme ultraviolet
  • the extreme ultraviolet reflective element production system 200 can produce mask blanks, mirrors, or other elements that reflect the extreme ultraviolet light 112 of FIG. 1.
  • the extreme ultraviolet reflective element production system 200 can fabricate the extreme ultraviolet reflective elements applying thin coatings to source substrates 203.
  • the mask blank 204 is a multilayered structure for forming the reflective mask 106 of FIG. 1.
  • the mask blank 204 can be formed using semiconductor fabrication techniques.
  • the reflective mask 106 can have the mask pattern 114 of FIG. 1 formed on the mask blank
  • the extreme ultraviolet mirror 205 is a multilayered structure reflective in the range of extreme ultraviolet light.
  • the extreme ultraviolet mirror 205 can be formed using semiconductor fabrication techniques.
  • the extreme ultraviolet mirror 205 does not have the mask pattern 114.
  • the extreme ultraviolet reflective elements are efficient reflectors of the extreme ultraviolet light 112.
  • the mask blank 204 and the extreme ultraviolet mirror 205 can have an extreme ultraviolet reflectivity of greater than 60%.
  • the extreme ultraviolet reflective elements are efficient if they reflect more than 60% of the extreme ultraviolet light 112.
  • the extreme ultraviolet reflective element production system 200 includes a wafer loading and carrier handling system 202 into which the source substrates 203 are loaded and from which the extreme ultraviolet reflective elements are unloaded.
  • An atmospheric handling system 206 provides access to a wafer handling vacuum chamber 208.
  • the wafer loading and carrier handling system 202 can include substrate transport boxes, loadlocks, and other components to transfer a substrate from atmosphere to vacuum inside the system. Because the mask blank 204 is used to form devices at a very small scale, the mask blank 204 must be processed in a vacuum system to prevent contamination and other defects.
  • the wafer handling vacuum chamber 208 can contain two vacuum chambers, a first vacuum chamber 210 and a second vacuum chamber 212.
  • the first vacuum chamber 210 can include a first wafer handling system 214 and the second vacuum chamber 212 can include a second wafer handling system 216.
  • the wafer handling vacuum chamber 208 is described with two vacuum chambers, it is understood that the system can have any number of vacuum chambers.
  • the wafer handling vacuum chamber 208 can have a plurality of ports around its periphery for attachment of various other systems.
  • the first vacuum chamber 210 can have a degas system 218, a first physical vapor deposition system 220, a second physical vapor deposition system 222, and a pre-clean system 224.
  • the degas system 218 is for thermally desorbing moisture from the substrates.
  • the pre-clean system 224 is for cleaning the surfaces of the wafers, mask blanks, mirrors, or other optical components.
  • the physical vapor deposition systems can be used to form thin films of materials on the source substrates 203.
  • the physical vapor deposition systems can include vacuum deposition system such as magnetron sputtering systems, ion sputtering systems, pulsed laser deposition, cathode arc deposition, or a combination thereof.
  • the physical vapor deposition systems, such as the magnetron sputtering system can form thin layers on the source substrates 203 including the layers of silicon, metals, alloys, oxides, compounds, or a combination thereof.
  • the physical vapor deposition system can form reflective layers, capping layers, and absorber layers.
  • the physical vapor deposition systems can form layers of silicon, molybdenum, titanium oxide, titanium dioxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, ruthenium niobium, chromium, tantalum, nitrides, compounds, or a combination thereof.
  • some compounds are described as an oxide, it is understood that the compounds can include oxides, dioxides, atomic mixtures having oxygen atoms, or a combination thereof.
  • the second vacuum chamber 212 can have a first multi-cathode source 226, a chemical vapor deposition system 228, a cure chamber 230, and an ultra-smooth deposition chamber 232 connected to it.
  • the chemical vapor deposition system 228 can include a flowable chemical vapor deposition system (FCVD), a plasma assisted chemical vapor deposition system (CVD), an aerosol assisted CVD, a hot filament CVD system, or a similar system.
  • the chemical vapor deposition system 228, the cure chamber 230, and the ultra-smooth deposition chamber 232 can be in a separate system from the extreme ultraviolet reflective element production system 200.
  • the chemical vapor deposition system 228 can form thin films of material on the source substrates 203.
  • the chemical vapor deposition system 228 can be used to form layers of materials on the source substrates 203 including mono-crystalline layers, polycrystalline layers, amorphous layers, epitaxial layers, or a combination thereof.
  • the chemical vapor deposition system 228 can form layers of silicon, silicon oxides, silicon oxycarbide, carbon, tungsten, silicon carbide, silicon nitride, titanium nitride, metals, alloys, and other materials suitable for chemical vapor deposition.
  • the chemical vapor deposition system can form planarization layers.
  • the first wafer handling system 214 is capable of moving the source substrates 203 between the atmospheric handling system 206 and the various systems around the periphery of the first vacuum chamber 210 in a continuous vacuum.
  • the second wafer handling system 216 is capable of moving the source substrates 203 around the second vacuum chamber 212 while maintaining the source substrates 203 in a continuous vacuum.
  • the extreme ultraviolet reflective element production system 200 can transfer the source substrates 203 and the mask blank 204 between the first wafer handling system 214, the second wafer handling system 216 in continuous vacuum conditions.
  • the extreme ultraviolet reflective element 302 can be the mask blank 204 or the extreme ultraviolet mirror 205 of FIG. 2.
  • the mask blank 204 and the extreme ultraviolet mirror 205 are structures for reflecting the extreme ultraviolet light 112 of FIG. 1.
  • the extreme ultraviolet reflective element 302 such as the extreme ultraviolet mirror 205, can include a substrate 304, a multilayer stack 306, and a capping layer 308.
  • the extreme ultraviolet mirror 205 can be used to form reflecting structures for use in the condenser 104 of FIG. 1 or the optical reduction assembly 108 of FIG. 1.
  • the mask blank 204 can include the substrate 304, the multilayer stack 306, the capping layer 308, and an absorber layer 310.
  • the mask blank 204 can be used to form the reflective mask 106 of FIG. 1 by patterning the absorber layer 310 with the layout of the circuitry required.
  • the term for the mask blank 204 can be used interchangeably with the term of the extreme ultraviolet mirror 205 for simplicity.
  • the mask blank 204 can include the components of the extreme ultraviolet mirror 205 with the absorber layer 310 added in addition to form the mask pattern 114 of FIG. 1.
  • the mask blank 204 is an optically flat structure used for forming the reflective mask 106 having the mask pattern 114.
  • the reflective surface of the mask blank 204 can form a flat focal plane for reflecting the incident light, such as the extreme ultraviolet light 112 of FIG. 1.
  • the substrate 304 is an element for providing structural support to the extreme ultraviolet reflective element 302.
  • the substrate 304 can be made from a material having a low coefficient of thermal expansion (CTE) to provide stability during temperature changes.
  • CTE coefficient of thermal expansion
  • the substrate 304 can have properties such as stability against mechanical cycling, thermal cycling, crystal formation, or a combination thereof.
  • the substrate 304 can be formed from a material such as silicon, glass, oxides, ceramics, glass ceramics, or a combination thereof.
  • the multilayer stack 306 is a structure that is reflective to the extreme ultraviolet light 112.
  • the multilayer stack 306 includes alternating reflective layers of a first reflective layer 312 and a second reflective layer 314.
  • the first reflective layer 312 and the second reflective layer 314 can form a reflective layer pair 316.
  • the alternating layers can be formed from molybdenum and silicon. However, it is understood that the alternating layers can be formed from other substances.
  • the first reflective layer 312 can be formed from silicon and the second reflective layer 314 can be formed from molybdenum.
  • Each of the alternating layers can have dissimilar optical constants for the extreme ultraviolet light 112.
  • the alternating layers can provide a resonant reflectivity when the period of the thickness of the alternating layers is one half the wavelength of the extreme ultraviolet light.
  • the alternating layers can be about 6.5 nm thick. It is understood that the sizes and dimensions provided are within normal engineering tolerances for typical elements.
  • the multilayer stack 306 can be formed using the physical vapor deposition technique.
  • the first reflective layer 312 and the second reflective layer 314 of the multilayer stack 306 can have the characteristics of being formed by the physical vapor deposition technique including precise thickness, low roughness, and clean interfaces between the layers.
  • the extreme ultraviolet reflective elements can include the multilayer stack 306 having alternating thin layers of materials having different optical properties which creates a Bragg reflector or mirror. Each of the alternating layers can have dissimilar optical constants for the extreme ultraviolet light 112.
  • the physical dimensions of the layers of the multilayer stack 306 formed using the physical vapor deposition technique can be precisely controlled to increase reflectivity.
  • the first reflective layer 312, such as a layer of silicon can have a thickness of 4.1 nm.
  • the second reflective layer 314, such as a layer of molybdenum, can have a thickness of 2.8 nm.
  • the thickness of the layers dictates the peak reflectivity wavelength of the extreme ultraviolet reflective element. If the thickness of the layers is incorrect, the reflectivity at the desired wavelength 13.5 nm can be reduced.
  • the capping layer 308 is a protective layer allowing the transmission of the extreme ultraviolet light 112.
  • the capping layer 308 can be formed directly on the multilayer stack
  • the capping layer 308 can protect the multilayer stack 306 from contaminants and mechanical damage.
  • the multilayer stack 306 can be sensitive to contamination by oxygen, carbon, hydrocarbons, or a combination thereof.
  • the capping layer 308 can interact with the contaminants to neutralize them.
  • the capping layer 308 is an optically uniform structure that is transparent to the extreme ultraviolet light 112.
  • the extreme ultraviolet light 112 can pass through the capping layer 308 to reflect off of the multilayer stack 306.
  • the capping layer 308 can have a total reflectivity loss of 1% to 2%.
  • Each of the different materials can have a different reflectivity loss depending on thickness, but all of them will be in the range of 1% to 2%.
  • the capping layer 308 has a smooth surface.
  • the surface of the capping layer 308 can have a roughness of less than 0.2 nm RMS (root mean square measure).
  • the surface of the capping layer 308 can have a roughness of 0.08 nm RMS for a length between 1/100 nm and 1/1 ⁇ .
  • the RMS roughness will vary depending on the range it is measured over. For the specific range of 100 nm to 1 micron that roughness needs to be 0.08 nm or less. Over a larger range the roughness will be higher.
  • the capping layer 308 can be formed in a variety of methods.
  • the capping layer 308 can be formed on or directly on the multilayer stack 306 with magnetron sputtering, ion sputtering systems, ion beam deposition, electron beam evaporation, radio frequency (RF) sputtering, atomic layer deposition (ALD), pulsed laser deposition, physical vapor deposition, or a combination thereof.
  • the capping layer 308 can have the physical characteristics of being formed by the magnetron sputtering technique including precise thickness, low roughness, and clean interfaces between the layers.
  • the capping layer 308 can have the physical characteristics of being formed by the physical vapor deposition including precise thickness, low roughness, and clean interfaces between the layers.
  • the capping layer 308 can be formed on intervening layers located between the multilayer stack 306 and the capping layer 308.
  • Intervening layers can include passivation layers, protective layers, seed layers, or a combination thereof.
  • One of the causes of reflectivity loss is oxidation of the multilayer stack 306 due to the periodic cleaning process.
  • the capping layer 308 can be formed on or directly on the top of the multilayer stack 306 before the absorber layer 310 is formed.
  • the reflective mask 106 must be cleaned with greater frequency than with other lithographic systems.
  • the cleaning procedures are often aggressive. However, the harsh cleaning procedures, such as the Megasonic process, can causes pitting and degradation of the capping layer 308, which can lead to reflectivity loss and oxidation of the multilayer stack 306. Increasing the hardness and durability of the capping layer 308 can reduce the amount of damage of the multilayer stack 306 due to the cleaning procedures.
  • ruthenium has been used as a capping layer material because it is a good etch stop and is relatively inert under the operating conditions.
  • the level of ruthenium oxidation and the chemical resistance and behavior of ruthenium and ruthenium oxide are very different, which can lead to irregularities and pitting of the surface during operation and cleaning.
  • the pitting can be decreased to some degree by changing the cleaning chemistry and procedure, but often there is an associated loss of cleaning efficiency.
  • Using a more chemically inert and mechanically harder material for the capping layer 308 can result in the reflective mask 106 lasting longer.
  • Titanium oxide is also highly resistant to most etch and clean chemistries and makes an acceptable etch stop and would survive a harsher clean with less surface damage than ruthenium.
  • Increasing the chemical resistance and hardness of the capping layer 308 can also be done by replacing ruthenium with a ruthenium alloy such as ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium. These alloys increase the hardness of the film and reduce surface pitting and mechanical damage to the surface during cleaning. The chemical resistance of these alloys is sufficient to allow these layers to continue to act as the absorber etch stop and to allow for multiple cleans using harsher chemistries.
  • ruthenium alloy such as ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium.
  • the capping layer 308 can be formed from a hard material to provide resilience against mechanical and chemical erosion during a cleaning process, such as an acoustic cleaning process like Megasonic cleaning.
  • the capping layer 308 can be chemically resistant to cleaning solvents such as ammonium hydroxide, hydrogen peroxide, water, or a combination thereof.
  • the capping layer 308 can be formed from a variety of materials having a hardness sufficient to resist erosion during cleaning.
  • the capping layer 308 can be formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium.
  • the capping layer 308 can have a thickness of between 25 and 50 angstroms.
  • titanium oxides (TiOx) are generally considered chemically resistant to most solvents used in cleaning.
  • the capping layer 308 can have the physical characteristics of being exposed to a cleaning process.
  • the capping layer 308 can have physical characteristics of erosion marks, reduced thickness, uneven wear, solvent residue, residue from the absorber layer 310, or a combination thereof.
  • the capping layer 308 can exhibit additional physical characteristics including chemical residue caused by the interaction of the cleaning solvents and the material of the capping layer 308.
  • the capping layer 308 can have a minimum hardness.
  • the degree of hardness is related to the resistance to mechanical erosion.
  • the hardness of the capping layer 308 can vary based on the material and configuration selected. For example, ruthenium can have a Mohs hardness of 6.5 and a Brinell hardness of 2.160 gigapascals (GPa). Titanium oxide can have a Mohs hardness of 5.5-6.5. Ruthenium oxides (RuOx) can have a Brinell hardness of between 19-20 GPa. Niobium oxides (NbOx) can have a Brinell hardness of about 2 GPa.
  • the extreme ultraviolet reflective element 302, such as the extreme ultraviolet mirror 205 can be formed with the substrate 304, the multilayer stack 306, and the capping layer 308.
  • the extreme ultraviolet mirror 205 has an optically flat surface and can efficiently and uniformly reflect the extreme ultraviolet light 112.
  • the capping layer 308 formed from a hard material such as titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium improves reflectivity.
  • the capping layer 308 can prevent damage to the multilayer stack 306 during manufacturing and cleaning operations.
  • the capping layer 308 can prevent oxidation to maintain reflectivity and prevent reflectivity loss of the multilayer stack 306 during use and cleaning.
  • the multilayer stack 306 can have a reflectivity between 62-70%.
  • the multilayer stack 306 formed using physical vapor deposition can have reflectivity between than 66%-67%.
  • Forming the capping layer 308 over the multilayer stack 306 formed with harder materials can help maintain the degree of reflectivity throughout the lifetime of the mask. In some cases, reflectivity up to 72% can be achieved using low roughness layers, clean interfaces between layers, improved layer materials, or a combination thereof. Using a thinner capping layer that is more resilient decreases the reflectivity loss caused by the capping layer 308.
  • capping layer 308 it has been discovered that using a robust, chemically inert, harder material for the capping layer 308 increases the operational lifetime of the reflective mask 106 and allow for more efficient cleaning of the refiective masks.
  • Forming the capping layer 308 from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium provides a more resilient layer to help reduce damage to the refiective mask 106.
  • the capping layer 308 using titanium oxide increases reflectivity and reduces degradation.
  • the titanium oxide film is self-cleaning with regard to carbon and hydrocarbon contamination due to its photo-catalytic properties.
  • the photo-catalytic process involves the absorption of deep ultraviolet light to extreme ultraviolet light which would provide the advantage of decreasing the reflectance of out-of-band light, which contributes to the black boarder issues seen during exposure.
  • capping layer 308 reduces the level of contaminants in the system. Using materials like titanium oxide, titanium dioxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium reduces the amount of contaminants because the materials can scavenge oxygen and carbon from the environment and prevent contamination of the multilayer stack 306.
  • the absorber layer 310 is a layer that can absorb the extreme ultraviolet light 112.
  • the absorber layer 310 can be used to form the pattern on the reflective mask 106 by providing areas that do not reflect the extreme ultraviolet light 112.
  • the absorber layer 310 can be a material having a high absorption coefficient for a particular frequency of the extreme ultraviolet light 112, such as about 13.5 nm.
  • the absorber layer 310 can be formed from chromium, tantalum, nitrides, nickel, alloys, or a combination thereof.
  • the absorber layer can be formed from an alloy of tantalum, boron, and nitrogen.
  • the material of the absorber layer 310 are heat tolerant and have a low coefficient of thermal expansion.
  • the absorber layer 310 can be formed on or directly on the capping layer 308.
  • the absorber layer 310 can be etched using a photolithography process to form the pattern of the reflective mask 106. It is understood that the absorber layer 310 can be formed on intervening layers can include passivation layers, protective layers, seed layers, planarization layers, or a combination thereof.
  • the extreme ultraviolet reflective element such as the mask blank 204
  • the mask blank 204 can be formed with the substrate 304, the multilayer stack 306, the capping layer 308, and the absorber layer 310.
  • the mask blank 204 has an optically flat surface and can efficiently and uniformly reflect the extreme ultraviolet light 112.
  • the mask pattern 114 can be formed with the absorber layer 310 of the mask blank 204.
  • the capping layer 308 acts as an etch stop layer for the absorber layer 310.
  • the capping layer 308 beneath the absorber layer 310 can stop the etching action to protect the multilayer stack 306.
  • the first reflective layer 312, the second reflective layer 314, the capping layer 308, and the absorber layer 310 can be formed with physical vapor deposition systems.
  • the physical vapor deposition systems can include the first physical vapor deposition system 220 of FIG. 2, the second physical vapor deposition system 222 of FIG. 2, or a combination thereof.
  • the extreme ultraviolet reflective element is shown with the substrate 304, the multilayer stack 306, the capping layer 308, and the absorber layer 310, it is understood that other layers may be included. Additional protective layers, passivation layers, or other layers can be included.
  • the extreme ultraviolet reflective element can include a planarization layer below the multilayer stack 306.
  • the provisioning phase can include a method to provide the substrate 304.
  • the provisioning phase can provide the substrate 304 formed from an ultra-low thermal expansion material, silicon, glass, or a combination thereof.
  • the layering phase can include a method to form the multilayer stack 306 on or directly on the substrate 304.
  • the multilayer stack 306 can form alternating layers of the first refiective layer 312 and the second refiective layer 314 on the substrate 304.
  • the multilayer stack 306 can have between 10 and 50 alternating layers of molybdenum and silicon.
  • the protective phase can include a method to form the capping layer 308 on the multilayer stack 306.
  • the multilayer stack 306 can include alternating layers of the first reflective layer 312 and the second reflective layer 314 on the substrate 304.
  • the protective phase can use magnetron sputtering to deposit a metallic material on the multilayer stack 306.
  • the pre-patterning phase can include a method to form the absorber layer 310 directly on the capping layer 308.
  • the pre-patterning phase can plate the absorber layer 310 on the capping layer 308.
  • the capping layer 308 is over the multilayer stack 306.
  • the multilayer stack 306 can include alternating layers of the first reflective layer 312 and the second reflective layer 314 on the substrate 304.
  • the metal plating phase can include a method to form the capping layer
  • the multilayer stack 306 can include alternating layers of the first reflective layer 312 and the second reflective layer 314 on the substrate 304.
  • the plating phase can deposit a metallic material on the multilayer stack 306.
  • the metallic material can be titanium, ruthenium, or niobium.
  • the oxidizing phase can include a method to form the capping layer
  • the metal layer 802 can be directly on the multilayer stack 306.
  • the multilayer stack 306 can include alternating layers of the first reflective layer 312 and the second reflective layer 314 on the substrate 304.
  • the oxidizing phase can expose the metal layer 802 to oxygen to convert the metal layer 802, such as titanium, ruthenium, or niobium, into the metal oxide layer 902, such as a layer formed from titanium oxide, ruthenium oxide, and niobium oxide, respectively.
  • the oxidizing phase can oxidize a portion of the metal layer 802 to form the metal oxide layer 902.
  • the capping layer 308 can include both the metal layer 802 and the metal oxide layer 902. Although the oxidizing phase shows both the metal layer 802 and the metal oxide layer 902, it is understood that the metal layer 802 can be completely oxidized to form the capping layer 308 having only the metal oxide layer 902.
  • the capping layer 308 can be formed by oxidizing the metal layer 802 to form the metal oxide layer 902.
  • the capping layer 308 can have the physical characteristics of being formed by oxidizing the metal layer 802 including partial conversion of the metal layer 802, complete conversion of the metal layer 802, uniform conversion of the metal layer 802 to the metal oxide layer 902, formation of the metal oxide layer 902 on the side of the metal layer 802 exposed from the multilayer stack 306, or a combination thereof.
  • the pre-patterning phase can include a method to form the absorber layer 310 directly on the metal oxide layer 902.
  • the capping layer 308 having the metal layer 802 and the metal oxide layer 902 is over the multilayer stack 306.
  • the multilayer stack 306 can include alternating layers of the first reflective layer 312 and the second reflective layer 314 on the substrate 304.
  • the pre-patterning phase can plate the absorber layer 310 on the metal oxide layer 902, such as a layer formed from titanium oxide, ruthenium oxide, or niobium oxide.
  • the capping layer 308 can form an erosion 1102 at the interface between the capping layer 308 and the absorber layer 310.
  • the erosion 1102 is a location where material has been removed.
  • the absorber layer 310 can be etched to form the mask pattern 114 of FIG. 1. During the etching of the absorber layer 310, the erosion 1102 can be formed in the capping layer 308 during the removal of the material of the absorber layer 310.
  • capping layer 308 from titanium oxide, titanium dioxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium improves the lifespan of the mask blank 204 by providing a degree of hardness and chemical resilience sufficient to resist erosion and corrosion.
  • the method 1200 includes: providing a substrate in a block 1202; forming a multilayer stack on the substrate, the multilayer stack includes a plurality of reflective layer pairs having a first reflective layer and a second reflective layer for forming a Bragg reflector in a block 1204; and forming a capping layer on and over the multilayer stack, the capping layer formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium, and the capping layer for protecting the multilayer stack by reducing oxidation and mechanical erosion in a block 1206.
  • the extreme ultraviolet reflective element production system of the present invention furnishes important and heretofore unknown and unavailable solutions, capabilities, and functional aspects for the extreme ultraviolet reflective element production system.
  • the resulting method, process, apparatus, device, product, and/or system is straightforward, cost-effective, uncomplicated, highly versatile and effective, can be surprisingly and unobviously implemented by adapting known technologies, and are thus readily suited for efficiently and economically manufacturing extreme ultraviolet reflective element production systems fully compatible with conventional manufacturing methods or processes and technologies.
  • Another important aspect of the present invention is that it valuably supports and services the historical trend of reducing costs, simplifying manufacturing, and increasing performance. These and other valuable aspects of the present invention consequently further the state of the technology to at least the next level.

Abstract

A method of manufacture of an extreme ultraviolet reflective element includes: providing a substrate; forming a multilayer stack on the substrate, the multilayer stack includes a plurality of reflective layer pairs having a first reflective layer and a second reflective layer for forming a Bragg reflector; and forming a capping layer on and over the multilayer stack, the capping layer formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium, and the capping layer for protecting the multilayer stack by reducing oxidation and mechanical erosion.

Description

EXTREME ULTRAVIOLET CAPPING LAYER AND METHOD OF MANUFACTURING AND LITHOGRAPHY THEREOF
TECHNICAL FIELD
[0001] The present invention relates generally to extreme ultraviolet lithography, and more particularly to capping layers, manufacturing systems, and lithography systems for extreme ultraviolet reflective elements for extreme ultraviolet lithography.
BACKGROUND
[0002] Modern consumer and industrial electronic systems are growing ever more complex. Electronic devices require higher density electronic components in smaller and more flexible packages. As component densities increase, technology changes are required to satisfy the demand for higher density devices with smaller feature sizes. Extreme ultraviolet (EUV) lithography, also known as soft x-ray projection lithography, is a photolithographic process for the manufacture of 0.13 micron, and smaller, minimum feature size semiconductor devices.
[0003] Extreme ultraviolet light, which can generally in the 5 to 50 nanometer wavelength range, is strongly absorbed by most materials. For that reason, extreme ultraviolet systems work by reflection rather than by transmission of light. Extreme ultraviolet radiation can be projected through a series of reflective components, including mirror assemblies and mask blanks coated with a non-reflective mask pattern, and directed onto semiconductor wafers to form high density, small feature size semiconductor devices.
[0004] The reflective components of extreme ultraviolet lithography systems can include reflective multilayer coatings of materials. Because of the high power levels of the extreme ultraviolet light, the remaining non-reflected extreme ultraviolet light causes thermal heating that can degrade reflectivity of the reflective components over time and can result in limited lifetimes for the reflective components.
[0005] In view of the need for the increasingly smaller feature size of electronic components, it is increasingly critical that answers be found to these problems. In view of the ever-increasing commercial competitive pressures, along with growing consumer expectations, it is critical that answers be found for these problems. Additionally, the need to reduce costs, improve efficiencies and performance, and meet competitive pressures adds an even greater urgency to the critical necessity for finding answers to these problems.
[0006] Solutions to these problems have been long sought but prior developments have not taught or suggested any solutions and, thus, solutions to these problems have long eluded those skilled in the art.
SUMMARY
[0007] The present invention provides a method of manufacture of an extreme ultraviolet reflective element that includes: providing a substrate; forming a multilayer stack on the substrate, the multilayer stack includes a plurality of reflective layer pairs having a first reflective layer and a second reflective layer for forming a Bragg reflector; and forming a capping layer on and over the multilayer stack, the capping layer formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium, and the capping layer for protecting the multilayer stack by reducing oxidation and mechanical erosion.
[0008] The present invention provides an extreme ultraviolet reflective element that includes: a substrate; a multilayer stack on the substrate, the multilayer stack includes a plurality of reflective layer pairs having a first reflective layer and a second reflective layer; and a capping layer on and over the multilayer stack, the capping layer formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium, and the capping layer for protecting the multilayer stack by reducing oxidation and mechanical erosion.
[0009] The present invention provides an extreme ultraviolet reflective element production system that includes: a first deposition system for depositing a multilayer stack on a substrate, the multilayer stack includes a plurality of reflective layer pairs having a first reflective layer and a second reflective layer; and a second deposition system for forming a capping layer on the multilayer stack, the capping layer formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium.
[0010] Certain embodiments of the invention have other phases or elements in addition to or in place of those mentioned above. The phases or element will become apparent to those skilled in the art from a reading of the following detailed description when taken with reference to the accompanying drawings. BRIEF DESCRIPTION OF THE DRAWINGS
[0011] FIG. 1 is an exemplary diagram of an extreme ultraviolet lithography system in a first embodiment of the present invention.
[0012] FIG
[0013] FIG
[0014] FIG
[0015] FIG
[0016] FIG
[0017] FIG
[0018] FIG
[0019] FIG
[0020] FIG
[0021] FIG
[0022] FIG
reflective element in a further embodiment of the present invention.
DETAILED DESCRIPTION
[0023] The following embodiments are described in sufficient detail to enable those skilled in the art to make and use the invention. It is to be understood that other embodiments would be evident based on the present disclosure, and that system, process, or mechanical changes may be made without departing from the scope of the present invention.
[0024] In the following description, numerous specific details are given to provide a thorough understanding of the invention. However, it will be apparent that the invention may be practiced without these specific details. In order to avoid obscuring the present invention, some well-known circuits, system configurations, and process phases are not disclosed in detail.
[0025] The drawings showing embodiments of the system are semi-diagrammatic and not to scale and, particularly, some of the dimensions are for the clarity of presentation and are shown exaggerated in the drawing FIGs. Similarly, although the views in the drawings for ease of description generally show similar orientations, this depiction in the FIGs. is arbitrary for the most part. Generally, the invention can be operated in any orientation. [0026] Where multiple embodiments are disclosed and described having some features in common, for clarity and ease of illustration, description, and comprehension thereof, similar and like features will be described with similar reference numerals.
[0027] For expository purposes, the term "horizontal" as used herein is defined as a plane parallel to the plane or surface of a mask blank, regardless of its orientation. The term "vertical" refers to a direction perpendicular to the horizontal as just defined. Terms, such as "above", "below", "bottom", "top", "side" (as in "sidewall"), "higher", "lower", "upper", "over", and "under", are defined with respect to the horizontal plane, as shown in the figures.
[0028] The term "on" indicates that there is direct contact between elements. The term "directly on" indicates that there is direct contact between elements with no intervening elements.
[0029] The term "processing" as used herein includes deposition of material or photoresist, patterning, exposure, development, etching, sputtering, cleaning, implantation, and/or removal of the material or photoresist as required in forming a described structure. The terms "about" and "approximately" indicate that the size of an element can be determined within engineering tolerances.
[0030] Referring now to FIG. 1, therein is shown an exemplary diagram of an extreme ultraviolet lithography system 100 in a first embodiment of the present invention. The extreme ultraviolet lithography system 100 can include an extreme ultraviolet light source 102 for producing extreme ultraviolet light 112, a set of reflective components, and a target wafer 1 10. The reflective components can include a condenser 104, a reflective mask 106, an optical reduction assembly 108, a mask blank, a mirror, or a combination thereof.
[0031] The extreme ultraviolet light source 102 can generate the extreme ultraviolet light 112. The extreme ultraviolet light 112 is electromagnetic radiation having a wavelength in the range of 5 to 50 nanometers (nm). For example, the extreme ultraviolet light source 102 can include a laser, a laser produced plasma, a discharge produced plasma, a free-electron laser, synchrotron radiation, or a combination thereof.
[0032] The extreme ultraviolet light source 102 can generate the extreme ultraviolet light 112 having a variety of characteristics. The extreme ultraviolet light source 102 can produce broadband extreme ultraviolet radiation over a range of wavelengths. For example, the extreme ultraviolet light source 102 can generate the extreme ultraviolet light 112 having wavelengths ranging from 5 to 50 nm.
[0033] The extreme ultraviolet light source 102 can produce the extreme ultraviolet light
112 having a narrow bandwidth. For example, the extreme ultraviolet light source 102 can generate the extreme ultraviolet light 112 at 13.5 nm. The center of the wavelength peak is 13.5 nm.
[0034] The condenser 104 is an optical unit for reflecting and focusing the extreme ultraviolet light 112. The condenser 104 can reflect and concentrate the extreme ultraviolet light 112 from the extreme ultraviolet light source 102 to illuminate the reflective mask 106.
[0035] Although the condenser 104 is shown as a single element, it is understood that the condenser 104 can include one or more reflective elements such as concave mirrors, convex mirrors, fiat mirrors, or a combination thereof, for reflecting and concentrating the extreme ultraviolet light 112. For example, the condenser 104 can be a single concave mirror or an optical assembly having convex, concave, and fiat optical elements.
[0036] The reflective mask 106 is a reflective element having a mask pattern 114. The reflective mask 106 creates a lithographic pattern to form a circuitry layout to be formed on the target wafer 110. The reflective mask 106 can reflect the extreme ultraviolet light 112. The mask pattern 114 can define a portion of a circuitry layout.
[0037] The optical reduction assembly 108 is an optical unit for reducing the image of the mask pattern 114. The reflection of the extreme ultraviolet light 112 from the reflective mask 106 can be reduced by the optical reduction assembly 108 and reflected on to the target wafer 110. The optical reduction assembly 108 can include mirrors and other optical elements to reduce the size of the image of the mask pattern 114. For example, the optical reduction assembly 108 can include concave mirrors for reflecting and focusing the extreme ultraviolet light 112.
[0038] The optical reduction assembly 108 can reduce the size of the image of the mask pattern 114 on the target wafer 110. For example, the mask pattern 114 can be imaged at a 4: 1 ratio by the optical reduction assembly 108 on the target wafer 110 to form the circuitry represented by the mask pattern 114 on the target wafer 110. The extreme ultraviolet light 112 can scan the reflective mask 106 synchronously with the target wafer 110 to form the mask pattern 114 on the target wafer 110.
[0039] Referring now to FIG. 2, therein is shown an example of an extreme ultraviolet reflective element production system 200. The extreme ultraviolet reflective element can include a mask blank 204, an extreme ultraviolet (EUV) mirror 205, or other reflective element.
[0040] The extreme ultraviolet reflective element production system 200 can produce mask blanks, mirrors, or other elements that reflect the extreme ultraviolet light 112 of FIG. 1. The extreme ultraviolet reflective element production system 200 can fabricate the extreme ultraviolet reflective elements applying thin coatings to source substrates 203.
[0041] The mask blank 204 is a multilayered structure for forming the reflective mask 106 of FIG. 1. The mask blank 204 can be formed using semiconductor fabrication techniques. The reflective mask 106 can have the mask pattern 114 of FIG. 1 formed on the mask blank
204 for representing electronic circuitry.
[0042] The extreme ultraviolet mirror 205 is a multilayered structure reflective in the range of extreme ultraviolet light. The extreme ultraviolet mirror 205 can be formed using semiconductor fabrication techniques. The mask blank 204 and the extreme ultraviolet mirror
205 can be similar structures, however the extreme ultraviolet mirror 205 does not have the mask pattern 114.
[0043] The extreme ultraviolet reflective elements are efficient reflectors of the extreme ultraviolet light 112. The mask blank 204 and the extreme ultraviolet mirror 205 can have an extreme ultraviolet reflectivity of greater than 60%. The extreme ultraviolet reflective elements are efficient if they reflect more than 60% of the extreme ultraviolet light 112.
[0044] The extreme ultraviolet reflective element production system 200 includes a wafer loading and carrier handling system 202 into which the source substrates 203 are loaded and from which the extreme ultraviolet reflective elements are unloaded. An atmospheric handling system 206 provides access to a wafer handling vacuum chamber 208. The wafer loading and carrier handling system 202 can include substrate transport boxes, loadlocks, and other components to transfer a substrate from atmosphere to vacuum inside the system. Because the mask blank 204 is used to form devices at a very small scale, the mask blank 204 must be processed in a vacuum system to prevent contamination and other defects.
[0045] The wafer handling vacuum chamber 208 can contain two vacuum chambers, a first vacuum chamber 210 and a second vacuum chamber 212. The first vacuum chamber 210 can include a first wafer handling system 214 and the second vacuum chamber 212 can include a second wafer handling system 216. Although the wafer handling vacuum chamber 208 is described with two vacuum chambers, it is understood that the system can have any number of vacuum chambers.
[0046] The wafer handling vacuum chamber 208 can have a plurality of ports around its periphery for attachment of various other systems. The first vacuum chamber 210 can have a degas system 218, a first physical vapor deposition system 220, a second physical vapor deposition system 222, and a pre-clean system 224. The degas system 218 is for thermally desorbing moisture from the substrates. The pre-clean system 224 is for cleaning the surfaces of the wafers, mask blanks, mirrors, or other optical components.
[0047] The physical vapor deposition systems, such as the first physical vapor deposition system 220 and the second physical vapor deposition system 222, can be used to form thin films of materials on the source substrates 203. For example, the physical vapor deposition systems can include vacuum deposition system such as magnetron sputtering systems, ion sputtering systems, pulsed laser deposition, cathode arc deposition, or a combination thereof. The physical vapor deposition systems, such as the magnetron sputtering system, can form thin layers on the source substrates 203 including the layers of silicon, metals, alloys, oxides, compounds, or a combination thereof.
[0048] The physical vapor deposition system can form reflective layers, capping layers, and absorber layers. For example, the physical vapor deposition systems can form layers of silicon, molybdenum, titanium oxide, titanium dioxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, ruthenium niobium, chromium, tantalum, nitrides, compounds, or a combination thereof. Although some compounds are described as an oxide, it is understood that the compounds can include oxides, dioxides, atomic mixtures having oxygen atoms, or a combination thereof.
[0049] The second vacuum chamber 212 can have a first multi-cathode source 226, a chemical vapor deposition system 228, a cure chamber 230, and an ultra-smooth deposition chamber 232 connected to it. For example, the chemical vapor deposition system 228 can include a flowable chemical vapor deposition system (FCVD), a plasma assisted chemical vapor deposition system (CVD), an aerosol assisted CVD, a hot filament CVD system, or a similar system. In another example, the chemical vapor deposition system 228, the cure chamber 230, and the ultra-smooth deposition chamber 232 can be in a separate system from the extreme ultraviolet reflective element production system 200.
[0050] The chemical vapor deposition system 228 can form thin films of material on the source substrates 203. For example, the chemical vapor deposition system 228 can be used to form layers of materials on the source substrates 203 including mono-crystalline layers, polycrystalline layers, amorphous layers, epitaxial layers, or a combination thereof. The chemical vapor deposition system 228 can form layers of silicon, silicon oxides, silicon oxycarbide, carbon, tungsten, silicon carbide, silicon nitride, titanium nitride, metals, alloys, and other materials suitable for chemical vapor deposition. For example, the chemical vapor deposition system can form planarization layers. [0051] The first wafer handling system 214 is capable of moving the source substrates 203 between the atmospheric handling system 206 and the various systems around the periphery of the first vacuum chamber 210 in a continuous vacuum. The second wafer handling system 216 is capable of moving the source substrates 203 around the second vacuum chamber 212 while maintaining the source substrates 203 in a continuous vacuum. The extreme ultraviolet reflective element production system 200 can transfer the source substrates 203 and the mask blank 204 between the first wafer handling system 214, the second wafer handling system 216 in continuous vacuum conditions.
[0052] Referring now to FIG. 3, therein is shown an example of an extreme ultraviolet reflective element 302. The extreme ultraviolet reflective element 302 can be the mask blank 204 or the extreme ultraviolet mirror 205 of FIG. 2. The mask blank 204 and the extreme ultraviolet mirror 205 are structures for reflecting the extreme ultraviolet light 112 of FIG. 1.
[0053] The extreme ultraviolet reflective element 302, such as the extreme ultraviolet mirror 205, can include a substrate 304, a multilayer stack 306, and a capping layer 308. The extreme ultraviolet mirror 205 can be used to form reflecting structures for use in the condenser 104 of FIG. 1 or the optical reduction assembly 108 of FIG. 1.
[0054] The mask blank 204 can include the substrate 304, the multilayer stack 306, the capping layer 308, and an absorber layer 310. The mask blank 204 can be used to form the reflective mask 106 of FIG. 1 by patterning the absorber layer 310 with the layout of the circuitry required.
[0055] In the following sections, the term for the mask blank 204 can be used interchangeably with the term of the extreme ultraviolet mirror 205 for simplicity. The mask blank 204 can include the components of the extreme ultraviolet mirror 205 with the absorber layer 310 added in addition to form the mask pattern 114 of FIG. 1.
[0056] The mask blank 204 is an optically flat structure used for forming the reflective mask 106 having the mask pattern 114. For example, the reflective surface of the mask blank 204 can form a flat focal plane for reflecting the incident light, such as the extreme ultraviolet light 112 of FIG. 1.
[0057] The substrate 304 is an element for providing structural support to the extreme ultraviolet reflective element 302. The substrate 304 can be made from a material having a low coefficient of thermal expansion (CTE) to provide stability during temperature changes.
The substrate 304 can have properties such as stability against mechanical cycling, thermal cycling, crystal formation, or a combination thereof. The substrate 304 can be formed from a material such as silicon, glass, oxides, ceramics, glass ceramics, or a combination thereof. [0058] The multilayer stack 306 is a structure that is reflective to the extreme ultraviolet light 112. The multilayer stack 306 includes alternating reflective layers of a first reflective layer 312 and a second reflective layer 314.
[0059] The first reflective layer 312 and the second reflective layer 314 can form a reflective layer pair 316. For example, the alternating layers can be formed from molybdenum and silicon. However, it is understood that the alternating layers can be formed from other substances. In another example, the first reflective layer 312 can be formed from silicon and the second reflective layer 314 can be formed from molybdenum.
[0060] Each of the alternating layers can have dissimilar optical constants for the extreme ultraviolet light 112. The alternating layers can provide a resonant reflectivity when the period of the thickness of the alternating layers is one half the wavelength of the extreme ultraviolet light. For example, for the extreme ultraviolet light 112 at a wavelength of 13 nm, the alternating layers can be about 6.5 nm thick. It is understood that the sizes and dimensions provided are within normal engineering tolerances for typical elements.
[0061] The multilayer stack 306 can be formed using the physical vapor deposition technique. The first reflective layer 312 and the second reflective layer 314 of the multilayer stack 306 can have the characteristics of being formed by the physical vapor deposition technique including precise thickness, low roughness, and clean interfaces between the layers.
[0062] The extreme ultraviolet reflective elements can include the multilayer stack 306 having alternating thin layers of materials having different optical properties which creates a Bragg reflector or mirror. Each of the alternating layers can have dissimilar optical constants for the extreme ultraviolet light 112.
[0063] The physical dimensions of the layers of the multilayer stack 306 formed using the physical vapor deposition technique can be precisely controlled to increase reflectivity. For example, the first reflective layer 312, such as a layer of silicon, can have a thickness of 4.1 nm. The second reflective layer 314, such as a layer of molybdenum, can have a thickness of 2.8 nm. The thickness of the layers dictates the peak reflectivity wavelength of the extreme ultraviolet reflective element. If the thickness of the layers is incorrect, the reflectivity at the desired wavelength 13.5 nm can be reduced.
[0064] The capping layer 308 is a protective layer allowing the transmission of the extreme ultraviolet light 112. The capping layer 308 can be formed directly on the multilayer stack
306. The capping layer 308 can protect the multilayer stack 306 from contaminants and mechanical damage. For example, the multilayer stack 306 can be sensitive to contamination by oxygen, carbon, hydrocarbons, or a combination thereof. The capping layer 308 can interact with the contaminants to neutralize them.
[0065] The capping layer 308 is an optically uniform structure that is transparent to the extreme ultraviolet light 112. The extreme ultraviolet light 112 can pass through the capping layer 308 to reflect off of the multilayer stack 306. The capping layer 308 can have a total reflectivity loss of 1% to 2%. Each of the different materials can have a different reflectivity loss depending on thickness, but all of them will be in the range of 1% to 2%.
[0066] The capping layer 308 has a smooth surface. For example, the surface of the capping layer 308 can have a roughness of less than 0.2 nm RMS (root mean square measure). In another example, the surface of the capping layer 308 can have a roughness of 0.08 nm RMS for a length between 1/100 nm and 1/1 μιη. The RMS roughness will vary depending on the range it is measured over. For the specific range of 100 nm to 1 micron that roughness needs to be 0.08 nm or less. Over a larger range the roughness will be higher.
[0067] The capping layer 308 can be formed in a variety of methods. For example, the capping layer 308 can be formed on or directly on the multilayer stack 306 with magnetron sputtering, ion sputtering systems, ion beam deposition, electron beam evaporation, radio frequency (RF) sputtering, atomic layer deposition (ALD), pulsed laser deposition, physical vapor deposition, or a combination thereof. The capping layer 308 can have the physical characteristics of being formed by the magnetron sputtering technique including precise thickness, low roughness, and clean interfaces between the layers. The capping layer 308 can have the physical characteristics of being formed by the physical vapor deposition including precise thickness, low roughness, and clean interfaces between the layers.
[0068] It is understood that the capping layer 308 can be formed on intervening layers located between the multilayer stack 306 and the capping layer 308. Intervening layers can include passivation layers, protective layers, seed layers, or a combination thereof.
[0069] One of the causes of reflectivity loss is oxidation of the multilayer stack 306 due to the periodic cleaning process. To prevent this oxidation the capping layer 308 can be formed on or directly on the top of the multilayer stack 306 before the absorber layer 310 is formed.
[0070] Because most materials are opaque to the extreme ultraviolet light 112, the general contamination level in the extreme ultraviolet system must be minimized. Any contaminants can result in unwanted heating and damage from the exposure to the extreme ultraviolet light 112 if not removed. Thus, in an extreme ultraviolet system, the reflective mask 106 must be cleaned with greater frequency than with other lithographic systems. [0071] In order to remove the small particles and other contaminants commonly found on the reflective mask 106 during use, the cleaning procedures are often aggressive. However, the harsh cleaning procedures, such as the Megasonic process, can causes pitting and degradation of the capping layer 308, which can lead to reflectivity loss and oxidation of the multilayer stack 306. Increasing the hardness and durability of the capping layer 308 can reduce the amount of damage of the multilayer stack 306 due to the cleaning procedures.
[0072] In an illustrative example, ruthenium has been used as a capping layer material because it is a good etch stop and is relatively inert under the operating conditions. However, the level of ruthenium oxidation and the chemical resistance and behavior of ruthenium and ruthenium oxide are very different, which can lead to irregularities and pitting of the surface during operation and cleaning.
[0073] The pitting can be decreased to some degree by changing the cleaning chemistry and procedure, but often there is an associated loss of cleaning efficiency. Using a more chemically inert and mechanically harder material for the capping layer 308 can result in the reflective mask 106 lasting longer.
[0074] Much of the contamination found in the extreme ultraviolet system is carbon and hydrocarbon residue from the resist outgassing. Reducing the amount of contamination provides the reflective mask 106 that could be used longer between cleaning operations. Titanium oxide is also highly resistant to most etch and clean chemistries and makes an acceptable etch stop and would survive a harsher clean with less surface damage than ruthenium.
[0075] Increasing the chemical resistance and hardness of the capping layer 308 can also be done by replacing ruthenium with a ruthenium alloy such as ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium. These alloys increase the hardness of the film and reduce surface pitting and mechanical damage to the surface during cleaning. The chemical resistance of these alloys is sufficient to allow these layers to continue to act as the absorber etch stop and to allow for multiple cleans using harsher chemistries.
[0076] The capping layer 308 can be formed from a hard material to provide resilience against mechanical and chemical erosion during a cleaning process, such as an acoustic cleaning process like Megasonic cleaning. The capping layer 308 can be chemically resistant to cleaning solvents such as ammonium hydroxide, hydrogen peroxide, water, or a combination thereof.
[0077] The capping layer 308 can be formed from a variety of materials having a hardness sufficient to resist erosion during cleaning. For example, the capping layer 308 can be formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium. The capping layer 308 can have a thickness of between 25 and 50 angstroms. For example, titanium oxides (TiOx) are generally considered chemically resistant to most solvents used in cleaning.
[0078] After cleaning, the capping layer 308 can have the physical characteristics of being exposed to a cleaning process. The capping layer 308 can have physical characteristics of erosion marks, reduced thickness, uneven wear, solvent residue, residue from the absorber layer 310, or a combination thereof. The capping layer 308 can exhibit additional physical characteristics including chemical residue caused by the interaction of the cleaning solvents and the material of the capping layer 308.
[0079] The capping layer 308 can have a minimum hardness. The degree of hardness is related to the resistance to mechanical erosion. The hardness of the capping layer 308 can vary based on the material and configuration selected. For example, ruthenium can have a Mohs hardness of 6.5 and a Brinell hardness of 2.160 gigapascals (GPa). Titanium oxide can have a Mohs hardness of 5.5-6.5. Ruthenium oxides (RuOx) can have a Brinell hardness of between 19-20 GPa. Niobium oxides (NbOx) can have a Brinell hardness of about 2 GPa.
[0080] The extreme ultraviolet reflective element 302, such as the extreme ultraviolet mirror 205, can be formed with the substrate 304, the multilayer stack 306, and the capping layer 308. The extreme ultraviolet mirror 205 has an optically flat surface and can efficiently and uniformly reflect the extreme ultraviolet light 112.
[0081] Protecting the multilayer stack 306 with the capping layer 308 formed from a hard material such as titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium improves reflectivity. The capping layer 308 can prevent damage to the multilayer stack 306 during manufacturing and cleaning operations. The capping layer 308 can prevent oxidation to maintain reflectivity and prevent reflectivity loss of the multilayer stack 306 during use and cleaning.
[0082] For example, the multilayer stack 306 can have a reflectivity between 62-70%. The multilayer stack 306 formed using physical vapor deposition can have reflectivity between than 66%-67%. Forming the capping layer 308 over the multilayer stack 306 formed with harder materials can help maintain the degree of reflectivity throughout the lifetime of the mask. In some cases, reflectivity up to 72% can be achieved using low roughness layers, clean interfaces between layers, improved layer materials, or a combination thereof. Using a thinner capping layer that is more resilient decreases the reflectivity loss caused by the capping layer 308. [0083] It has been discovered that using a robust, chemically inert, harder material for the capping layer 308 increases the operational lifetime of the reflective mask 106 and allow for more efficient cleaning of the refiective masks. Forming the capping layer 308 from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium provides a more resilient layer to help reduce damage to the refiective mask 106.
[0084] It has been discovered that forming the capping layer 308 using fully oxidized materials, such as titanium oxide, ruthenium oxide, or niobium oxide, improves optical performance and reduces pitting. The level of additional degradation via oxidation due to the cleaning process is reduced by using already oxidized materials.
[0085] It has been discovered that forming the capping layer 308 using titanium oxide increases reflectivity and reduces degradation. In an environment with low levels of oxygen or water vapor present, the titanium oxide film is self-cleaning with regard to carbon and hydrocarbon contamination due to its photo-catalytic properties. The photo-catalytic process involves the absorption of deep ultraviolet light to extreme ultraviolet light which would provide the advantage of decreasing the reflectance of out-of-band light, which contributes to the black boarder issues seen during exposure.
[0086] It has been discovered that forming the capping layer 308 using materials having a hardness sufficient to resist mechanical erosion and abrasion increases the operational lifetime of the mask blank 204. Using materials like titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium reduces the amount of abrasion due to cleaning processes and other operational processes.
[0087] It has been discovered that forming the capping layer 308 reduces the level of contaminants in the system. Using materials like titanium oxide, titanium dioxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium reduces the amount of contaminants because the materials can scavenge oxygen and carbon from the environment and prevent contamination of the multilayer stack 306.
[0088] The absorber layer 310 is a layer that can absorb the extreme ultraviolet light 112.
The absorber layer 310 can be used to form the pattern on the reflective mask 106 by providing areas that do not reflect the extreme ultraviolet light 112. The absorber layer 310 can be a material having a high absorption coefficient for a particular frequency of the extreme ultraviolet light 112, such as about 13.5 nm. In an illustrative example, the absorber layer 310 can be formed from chromium, tantalum, nitrides, nickel, alloys, or a combination thereof. In another example, the absorber layer can be formed from an alloy of tantalum, boron, and nitrogen. The material of the absorber layer 310 are heat tolerant and have a low coefficient of thermal expansion.
[0089] The absorber layer 310 can be formed on or directly on the capping layer 308. The absorber layer 310 can be etched using a photolithography process to form the pattern of the reflective mask 106. It is understood that the absorber layer 310 can be formed on intervening layers can include passivation layers, protective layers, seed layers, planarization layers, or a combination thereof.
[0090] The extreme ultraviolet reflective element, such as the mask blank 204, can be formed with the substrate 304, the multilayer stack 306, the capping layer 308, and the absorber layer 310. The mask blank 204 has an optically flat surface and can efficiently and uniformly reflect the extreme ultraviolet light 112. The mask pattern 114 can be formed with the absorber layer 310 of the mask blank 204.
[0091] It has been discovered that forming the absorber layer 310 over the capping layer 308 increases reliability of the reflective mask 106. The capping layer 308 acts as an etch stop layer for the absorber layer 310. When the mask pattern 114 of FIG. 1 is etched into the absorber layer 310, the capping layer 308 beneath the absorber layer 310 can stop the etching action to protect the multilayer stack 306.
[0092] The first reflective layer 312, the second reflective layer 314, the capping layer 308, and the absorber layer 310 can be formed with physical vapor deposition systems. The physical vapor deposition systems can include the first physical vapor deposition system 220 of FIG. 2, the second physical vapor deposition system 222 of FIG. 2, or a combination thereof.
[0093] Although the extreme ultraviolet reflective element is shown with the substrate 304, the multilayer stack 306, the capping layer 308, and the absorber layer 310, it is understood that other layers may be included. Additional protective layers, passivation layers, or other layers can be included. For example, the extreme ultraviolet reflective element can include a planarization layer below the multilayer stack 306.
[0094] Referring now to FIG. 4, therein is shown the structure of FIG. 3 in a provisioning phase of manufacturing. The provisioning phase can include a method to provide the substrate 304. For example, the provisioning phase can provide the substrate 304 formed from an ultra-low thermal expansion material, silicon, glass, or a combination thereof.
[0095] Referring now to FIG. 5, therein is shown the structure of FIG. 4 in a layering phase of manufacturing. The layering phase can include a method to form the multilayer stack 306 on or directly on the substrate 304. The multilayer stack 306 can form alternating layers of the first refiective layer 312 and the second refiective layer 314 on the substrate 304. For example, the multilayer stack 306 can have between 10 and 50 alternating layers of molybdenum and silicon.
[0096] Referring now to FIG. 6, therein is shown the structure of FIG. 5 in a protective phase of manufacturing. The protective phase can include a method to form the capping layer 308 on the multilayer stack 306. The multilayer stack 306 can include alternating layers of the first reflective layer 312 and the second reflective layer 314 on the substrate 304. For example, the protective phase can use magnetron sputtering to deposit a metallic material on the multilayer stack 306.
[0100] Referring now to FIG. 7, therein is shown the structure of FIG. 6 in a pre-patterning phase of manufacturing. The pre-patterning phase can include a method to form the absorber layer 310 directly on the capping layer 308. For example, the pre-patterning phase can plate the absorber layer 310 on the capping layer 308.
[0101] The capping layer 308 is over the multilayer stack 306. The multilayer stack 306 can include alternating layers of the first reflective layer 312 and the second reflective layer 314 on the substrate 304.
[0102] Referring now to FIG. 8, therein is shown the structure of FIG. 5 in a plating phase of manufacturing. The metal plating phase can include a method to form the capping layer
308 of FIG. 3 on the multilayer stack 306 by first forming a metal layer 802 on or directly on the multilayer stack 306. The multilayer stack 306 can include alternating layers of the first reflective layer 312 and the second reflective layer 314 on the substrate 304.
[0103] For example, the plating phase can deposit a metallic material on the multilayer stack 306. The metallic material can be titanium, ruthenium, or niobium.
[0104] Referring now to FIG. 9, therein is shown the structure of FIG. 8 in an oxidizing phase of manufacturing. The oxidizing phase can include a method to form the capping layer
308 by oxidizing the metal layer 802 to form a metal oxide layer 902.
[0105] The metal layer 802 can be directly on the multilayer stack 306. The multilayer stack 306 can include alternating layers of the first reflective layer 312 and the second reflective layer 314 on the substrate 304.
[0106] For example, the oxidizing phase can expose the metal layer 802 to oxygen to convert the metal layer 802, such as titanium, ruthenium, or niobium, into the metal oxide layer 902, such as a layer formed from titanium oxide, ruthenium oxide, and niobium oxide, respectively. [0107] In another example, the oxidizing phase can oxidize a portion of the metal layer 802 to form the metal oxide layer 902. The capping layer 308 can include both the metal layer 802 and the metal oxide layer 902. Although the oxidizing phase shows both the metal layer 802 and the metal oxide layer 902, it is understood that the metal layer 802 can be completely oxidized to form the capping layer 308 having only the metal oxide layer 902.
[0108] The capping layer 308 can be formed by oxidizing the metal layer 802 to form the metal oxide layer 902. The capping layer 308 can have the physical characteristics of being formed by oxidizing the metal layer 802 including partial conversion of the metal layer 802, complete conversion of the metal layer 802, uniform conversion of the metal layer 802 to the metal oxide layer 902, formation of the metal oxide layer 902 on the side of the metal layer 802 exposed from the multilayer stack 306, or a combination thereof.
[0109] Referring now to FIG. 10, therein is shown the structure of FIG. 9 in a pre-patterning phase of manufacturing. The pre-patterning phase can include a method to form the absorber layer 310 directly on the metal oxide layer 902. The capping layer 308 having the metal layer 802 and the metal oxide layer 902 is over the multilayer stack 306.
[0110] The multilayer stack 306 can include alternating layers of the first reflective layer 312 and the second reflective layer 314 on the substrate 304. For example, the pre-patterning phase can plate the absorber layer 310 on the metal oxide layer 902, such as a layer formed from titanium oxide, ruthenium oxide, or niobium oxide.
[0111] Referring now to FIG. 11, therein is shown an example of erosion of the capping layer 308. The capping layer 308 can form an erosion 1102 at the interface between the capping layer 308 and the absorber layer 310. The erosion 1102 is a location where material has been removed. The absorber layer 310 can be etched to form the mask pattern 114 of FIG. 1. During the etching of the absorber layer 310, the erosion 1102 can be formed in the capping layer 308 during the removal of the material of the absorber layer 310.
[0112] It has been discovered that forming the capping layer 308 from titanium oxide, titanium dioxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium improves the lifespan of the mask blank 204 by providing a degree of hardness and chemical resilience sufficient to resist erosion and corrosion.
[0113] Referring now to FIG. 12, therein is shown a flow chart of a method 1200 of manufacture of the extreme ultraviolet reflective element in a further embodiment of the present invention. The method 1200 includes: providing a substrate in a block 1202; forming a multilayer stack on the substrate, the multilayer stack includes a plurality of reflective layer pairs having a first reflective layer and a second reflective layer for forming a Bragg reflector in a block 1204; and forming a capping layer on and over the multilayer stack, the capping layer formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium, and the capping layer for protecting the multilayer stack by reducing oxidation and mechanical erosion in a block 1206.
[0114] Thus, it has been discovered that the extreme ultraviolet reflective element production system of the present invention furnishes important and heretofore unknown and unavailable solutions, capabilities, and functional aspects for the extreme ultraviolet reflective element production system. The resulting method, process, apparatus, device, product, and/or system is straightforward, cost-effective, uncomplicated, highly versatile and effective, can be surprisingly and unobviously implemented by adapting known technologies, and are thus readily suited for efficiently and economically manufacturing extreme ultraviolet reflective element production systems fully compatible with conventional manufacturing methods or processes and technologies.
[0115] Another important aspect of the present invention is that it valuably supports and services the historical trend of reducing costs, simplifying manufacturing, and increasing performance. These and other valuable aspects of the present invention consequently further the state of the technology to at least the next level.
[0116] While the invention has been described in conjunction with a specific best mode, it is to be understood that many alternatives, modifications, and variations will be apparent to those skilled in the art in light of the aforegoing description. Accordingly, it is intended to embrace all such alternatives, modifications, and variations that fall within the scope of the included claims. All matters hitherto fore set forth herein or shown in the accompanying drawings are to be interpreted in an illustrative and non- limiting sense.

Claims

What is claimed is:
1. A method of manufacture for an extreme ultraviolet reflective element comprising:
providing a substrate;
forming a multilayer stack on the substrate, the multilayer stack includes a plurality of reflective layer pairs having a first reflective layer and a second reflective layer for forming a Bragg reflector; and
forming a capping layer on and over the multilayer stack, the capping layer formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium, and the capping layer for protecting the multilayer stack by reducing oxidation and mechanical erosion.
2. The method as claimed in claim 1, wherein forming the capping layer includes forming the capping layer using physical vapor deposition and forming the capping layer having a thickness between 20 angstroms and 50 angstroms and the capping layer transparent to extreme ultraviolet light.
3. The method as claimed in claim 1, wherein forming the capping layer includes forming the capping layer having a Mohs hardness of 5.5 or greater.
4. The method as claimed in claim 1, wherein forming the capping layer includes:
forming a metal layer on the multilayer stack, the metal layer formed from titanium, ruthenium, or niobium; and
forming a metal oxide layer by oxidizing a portion of the metal layer, the metal oxide layer formed from titanium oxide, ruthenium oxide, or niobium oxide, for forming the capping layer.
5. The method as claimed in claim 1, wherein forming the capping layer includes forming the capping layer having a surface roughness of less than 0.2 nanometers root mean square (RMS).
6. An extreme ultraviolet reflective element comprising:
a substrate;
a multilayer stack on the substrate, the multilayer stack includes a plurality of reflective layer pairs having a first reflective layer and a second reflective layer; and a capping layer on and over the multilayer stack, the capping layer formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium, and the capping layer for protecting the multilayer stack by reducing oxidation and mechanical erosion.
7. The extreme ultraviolet reflective element as claimed in claim 6, wherein the capping layer has the characteristics of being formed by physical vapor deposition, has a thickness between 20 angstroms and 50 angstroms, and the capping layer transparent to extreme ultraviolet light.
8. The extreme ultraviolet reflective element as claimed in claim 6, wherein the capping layer has a Mohs hardness of 5.5 or greater.
9. The extreme ultraviolet reflective element as claimed in claim 6, wherein the capping layer includes a metal oxide layer formed from titanium oxide, ruthenium oxide, or niobium oxide.
10. The extreme ultraviolet reflective element as claimed in claim 6, wherein the capping layer has a surface roughness of less than 0.2 nanometers root mean square (RMS).
11. An extreme ultraviolet reflective element production system comprising:
a first deposition system for depositing a multilayer stack on a substrate, the multilayer stack includes a plurality of reflective layer pairs having a first reflective layer and a second reflective layer; and
a second deposition system for forming a capping layer on the multilayer stack, the capping layer formed from titanium oxide, ruthenium oxide, niobium oxide, ruthenium tungsten, ruthenium molybdenum, or ruthenium niobium.
12. The extreme ultraviolet reflective element production system as claimed in claim 11, wherein the second deposition system is for forming the capping layer using physical vapor deposition, the capping layer having a thickness between 20 angstroms and 50 angstroms, and the capping layer transparent to extreme ultraviolet light.
13. The extreme ultraviolet reflective element production system as claimed in claim 11 , wherein the second deposition system is for forming the capping layer having a Mohs hardness of 5.5 or greater.
14. The extreme ultraviolet reflective element production system as claimed in claim 11 , wherein the second deposition system is for forming the capping layer having a metal oxide layer formed from titanium oxide, ruthenium oxide, or niobium oxide.
15. The extreme ultraviolet reflective element production system as claimed in claim 11 , wherein the second deposition system is for forming the capping layer having a surface roughness of less than 0.2 nanometers root mean square (RMS).
PCT/US2015/039158 2014-07-11 2015-07-03 Extreme ultraviolet capping layer and method of manufacturing and lithography thereof WO2016007394A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
EP15818360.8A EP3167472A4 (en) 2014-07-11 2015-07-03 Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
SG11201610504QA SG11201610504QA (en) 2014-07-11 2015-07-03 Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
MYPI2016002248A MY182792A (en) 2014-07-11 2015-07-03 Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
KR1020177003861A KR102405253B1 (en) 2014-07-11 2015-07-03 Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
JP2017500330A JP6559218B2 (en) 2014-07-11 2015-07-03 Extreme ultraviolet capping layer, and method of manufacturing and lithography of extreme ultraviolet capping layer
CN201580036643.4A CN106663601B (en) 2014-07-11 2015-07-03 Extreme ultraviolet covering layer and manufacturing and photoetching method thereof

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462023438P 2014-07-11 2014-07-11
US62/023,438 2014-07-11
US14/696,322 2015-04-24
US14/696,322 US9739913B2 (en) 2014-07-11 2015-04-24 Extreme ultraviolet capping layer and method of manufacturing and lithography thereof

Publications (1)

Publication Number Publication Date
WO2016007394A1 true WO2016007394A1 (en) 2016-01-14

Family

ID=55064725

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2015/039158 WO2016007394A1 (en) 2014-07-11 2015-07-03 Extreme ultraviolet capping layer and method of manufacturing and lithography thereof

Country Status (9)

Country Link
US (1) US9739913B2 (en)
EP (1) EP3167472A4 (en)
JP (1) JP6559218B2 (en)
KR (1) KR102405253B1 (en)
CN (1) CN106663601B (en)
MY (1) MY182792A (en)
SG (1) SG11201610504QA (en)
TW (1) TWI655458B (en)
WO (1) WO2016007394A1 (en)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010061950A1 (en) * 2010-11-25 2012-05-31 Carl Zeiss Smt Gmbh Method and device for determining the heating state of a mirror in an optical system
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9690016B2 (en) 2014-07-11 2017-06-27 Applied Materials, Inc. Extreme ultraviolet reflective element with amorphous layers and method of manufacturing thereof
KR20230023066A (en) 2016-04-25 2023-02-16 에이에스엠엘 네델란즈 비.브이. Membrane for EUV lithography
US10061191B2 (en) * 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask
WO2018013757A2 (en) * 2016-07-14 2018-01-18 Corning Incorporated Methods of reducing surface roughness of reflectance coatings for duv mirrors
TWI774375B (en) 2016-07-27 2022-08-11 美商應用材料股份有限公司 Extreme ultraviolet mask blank with multilayer absorber and method of manufacture
TWI821984B (en) * 2016-07-27 2023-11-11 美商應用材料股份有限公司 Extreme ultraviolet mask blank with alloy absorber and method of manufacturing extreme ultraviolet mask blank
DE102016217633A1 (en) * 2016-09-15 2018-03-15 Carl Zeiss Smt Gmbh Optical arrangement, in particular in a projection exposure apparatus for EUV lithography
US10443125B2 (en) * 2017-05-10 2019-10-15 Applied Materials, Inc. Flourination process to create sacrificial oxy-flouride layer
KR102655267B1 (en) * 2017-06-26 2024-04-08 에이에스엠엘 네델란즈 비.브이. Plasma-cleaning stations for chillers and chillers
US11275300B2 (en) * 2018-07-06 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask blank defect reduction
TW202026770A (en) 2018-10-26 2020-07-16 美商應用材料股份有限公司 Ta-cu alloy material for extreme ultraviolet mask absorber
TW202028495A (en) 2018-12-21 2020-08-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber and processes for manufacture
US11268911B2 (en) * 2019-01-04 2022-03-08 Kla-Tencor Corporation Boron-based capping layers for EUV optics
TWI828843B (en) 2019-01-31 2024-01-11 美商應用材料股份有限公司 Extreme ultraviolet (euv) mask blanks and methods of manufacturing the same
TW202035792A (en) 2019-01-31 2020-10-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
EP3703114A1 (en) * 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
TW202043905A (en) 2019-03-01 2020-12-01 美商應用材料股份有限公司 Physical vapor deposition system and processes
TW202037742A (en) 2019-03-01 2020-10-16 美商應用材料股份有限公司 Physical vapor deposition system and processes
TWI818151B (en) 2019-03-01 2023-10-11 美商應用材料股份有限公司 Physical vapor deposition chamber and method of operation thereof
TW202104957A (en) 2019-04-19 2021-02-01 美商應用材料股份有限公司 Graded interface in bragg reflector
TW202104667A (en) 2019-05-22 2021-02-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TW202111420A (en) 2019-05-22 2021-03-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TW202104666A (en) 2019-05-22 2021-02-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11211271B2 (en) * 2019-08-23 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for semiconductor structure sample preparation and analysis
US11448956B2 (en) * 2019-09-05 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV mask
US11440060B2 (en) 2019-09-27 2022-09-13 Taiwan Semiconductor Manufacturing Company Ltd. Method for cleaning substrate
DE102020114854A1 (en) 2019-09-27 2021-04-01 Taiwan Semiconductor Manufacturing Company Ltd. METHOD OF CLEANING A SUBSTRATE
KR102511751B1 (en) * 2019-11-05 2023-03-21 주식회사 에스앤에스텍 Blankmask and Photomask for Extreme Ultra-Violet Lithography
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202129401A (en) 2020-01-27 2021-08-01 美商應用材料股份有限公司 Extreme ultraviolet mask blank hard mask materials
TWI817073B (en) 2020-01-27 2023-10-01 美商應用材料股份有限公司 Extreme ultraviolet mask blank hard mask materials
TW202131087A (en) 2020-01-27 2021-08-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
TW202141165A (en) 2020-03-27 2021-11-01 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR102612254B1 (en) * 2020-06-15 2023-12-08 취안저우 산안 세미컨덕터 테크놀러지 컴퍼니 리미티드 light emitting diode
TW202202641A (en) 2020-07-13 2022-01-16 美商應用材料股份有限公司 Extreme ultraviolet mask absorber materials
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
EP4298479A1 (en) * 2021-02-25 2024-01-03 Applied Materials, Inc. Methods and apparatus for ruthenium oxide reduction on extreme ultraviolet photomasks
JP2022170865A (en) * 2021-04-30 2022-11-11 信越化学工業株式会社 Reflective mask blank and method for manufacturing reflective mask
US20230032950A1 (en) * 2021-07-30 2023-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Euv photo masks and manufacturing method thereof
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040121134A1 (en) * 2000-03-31 2004-06-24 Frederik Bijkerk Multilayer system with protecting layer system and production method
KR20050049011A (en) * 2003-11-20 2005-05-25 학교법인 한양학원 Fabrication method of extreme ultraviolet radiation mask mirror using atomic force microscope lithography
JP2005302860A (en) * 2004-04-08 2005-10-27 Nikon Corp Optical element for extremely short ultraviolet optical system and extremely short ultraviolet exposure device
US20100119981A1 (en) * 2004-07-28 2010-05-13 Siegfried Schwarzl Passivation of Multi-Layer Mirror for Extreme Ultraviolet Lithography

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6228512B1 (en) 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
JP2001024199A (en) * 1999-07-09 2001-01-26 Toshiba Corp Thin film semiconductor device
DE10016008A1 (en) * 2000-03-31 2001-10-11 Zeiss Carl Village system and its manufacture
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6396900B1 (en) 2001-05-01 2002-05-28 The Regents Of The University Of California Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
US6841238B2 (en) 2002-04-05 2005-01-11 Flex Products, Inc. Chromatic diffractive pigments and foils
US6613666B2 (en) * 2001-12-07 2003-09-02 Applied Materials Inc. Method of reducing plasma charging damage during dielectric etch process for dual damascene interconnect structures
JP2003227898A (en) * 2002-02-01 2003-08-15 Nikon Corp Multi-layer film reflecting mirror, soft x-ray optical equipment, exposure device and method for cleaning it
US6756163B2 (en) 2002-06-27 2004-06-29 Intel Corporation Re-usable extreme ultraviolet lithography multilayer mask blank
US6869734B1 (en) 2002-07-31 2005-03-22 Advanced Micro Devices, Inc. EUV reflective mask having a carbon film and a method of making such a mask
DE10258709A1 (en) * 2002-12-12 2004-07-01 Carl Zeiss Smt Ag Protection system for reflective optical elements, reflective optical element and method for their production
US7300724B2 (en) 2004-06-09 2007-11-27 Intel Corporation Interference multilayer capping design for multilayer reflective mask blanks
US7282307B2 (en) 2004-06-18 2007-10-16 Freescale Semiconductor, Inc. Reflective mask useful for transferring a pattern using extreme ultra violet (EUV) radiation and method of making the same
JP2006049761A (en) * 2004-08-09 2006-02-16 Nikon Corp Optical element, manufacturing method thereof, and projection aligner
US20060127780A1 (en) 2004-12-15 2006-06-15 Manish Chandhok Forming a capping layer for a EUV mask and structures formed thereby
JP2006173490A (en) 2004-12-17 2006-06-29 Nikon Corp Optical element and projection aligner using the same
JP2006170916A (en) * 2004-12-17 2006-06-29 Nikon Corp Optical element and projection exposure device using it
JP2006173497A (en) 2004-12-17 2006-06-29 Nikon Corp Optical element and projection aligner using the same
US7432201B2 (en) 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US7599112B2 (en) * 2005-10-11 2009-10-06 Nikon Corporation Multilayer-film mirrors, lithography systems comprising same, and methods for manufacturing same
EP1947682B1 (en) * 2005-10-11 2012-01-18 Nikon Corporation Multilayer reflecting mirror, multilayer reflecting mirror manufacturing method, optical system, exposure apparatus and device manufacturing method
US20070090084A1 (en) 2005-10-20 2007-04-26 Pei-Yang Yan Reclaim method for extreme ultraviolet lithography mask blank and associated products
US7771895B2 (en) 2006-09-15 2010-08-10 Applied Materials, Inc. Method of etching extreme ultraviolet light (EUV) photomasks
TWI427334B (en) * 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Reflective optical element for euv lithography devices
US8194322B2 (en) * 2007-04-23 2012-06-05 Nikon Corporation Multilayer-film reflective mirror, exposure apparatus, device manufacturing method, and manufacturing method of multilayer-film reflective mirror
US20080266651A1 (en) * 2007-04-24 2008-10-30 Katsuhiko Murakami Optical apparatus, multilayer-film reflective mirror, exposure apparatus, and device
JP2008288299A (en) * 2007-05-16 2008-11-27 Nikon Corp Multilayer-film reflecting mirror, illuminator, exposure apparatus, and manufacturing method for device
EP2210147B1 (en) * 2007-10-02 2013-05-22 Universita Degli Studi Di Padova Aperiodic multilayer structures
FR2924863B1 (en) * 2007-12-07 2017-06-16 Saint Gobain IMPROVEMENTS TO ELEMENTS CAPABLE OF COLLECTING LIGHT.
JP4602430B2 (en) * 2008-03-03 2010-12-22 株式会社東芝 Reflective mask and manufacturing method thereof
JP2010108540A (en) * 2008-10-29 2010-05-13 Showa Denko Kk Method for manufacturing magnetic recording medium, magnetic recording medium, and magnetic recording and reproducing device
WO2010074125A1 (en) * 2008-12-26 2010-07-01 Hoya株式会社 Reflective mask blank and reflective mask manufacturing method
KR101096248B1 (en) 2009-05-26 2011-12-22 주식회사 하이닉스반도체 Method for fabricating phase shift mask in Extrea Ultra-Violet lithography
KR20110077950A (en) 2009-12-30 2011-07-07 주식회사 하이닉스반도체 Euv blank mask and method of fabricating a euv mask using the same
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8426085B2 (en) 2010-12-02 2013-04-23 Intermolecular, Inc. Method and apparatus for EUV mask having diffusion barrier
TWI437290B (en) * 2011-06-08 2014-05-11 Extend Optronics Corp Multilayer light-reflecting film and method for manufacturing the same
WO2013027412A1 (en) * 2011-08-25 2013-02-28 凸版印刷株式会社 Reflective mask and method for manufacturing same
WO2013046627A1 (en) * 2011-09-28 2013-04-04 凸版印刷株式会社 Mask blank for reflection-type exposure, and mask for reflection-type exposure
KR20130085774A (en) 2012-01-20 2013-07-30 에스케이하이닉스 주식회사 Euv mask
WO2013141268A1 (en) * 2012-03-23 2013-09-26 Hoya株式会社 Substrate with multilayer reflective film, reflective mask blank for euv lithography, method for producing reflective mask for euv lithography, and method for manufacturing semiconductor device
TWI455333B (en) * 2012-04-09 2014-10-01 Sino American Silicon Prod Inc Solar cell
JP2014116498A (en) * 2012-12-11 2014-06-26 Nikon Corp Optical element, exposure device, and manufacturing method of device
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
JP6389896B2 (en) * 2013-09-23 2018-09-12 カール・ツァイス・エスエムティー・ゲーエムベーハー Multilayer mirror
US9690016B2 (en) 2014-07-11 2017-06-27 Applied Materials, Inc. Extreme ultraviolet reflective element with amorphous layers and method of manufacturing thereof
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040121134A1 (en) * 2000-03-31 2004-06-24 Frederik Bijkerk Multilayer system with protecting layer system and production method
KR20050049011A (en) * 2003-11-20 2005-05-25 학교법인 한양학원 Fabrication method of extreme ultraviolet radiation mask mirror using atomic force microscope lithography
JP2005302860A (en) * 2004-04-08 2005-10-27 Nikon Corp Optical element for extremely short ultraviolet optical system and extremely short ultraviolet exposure device
US20100119981A1 (en) * 2004-07-28 2010-05-13 Siegfried Schwarzl Passivation of Multi-Layer Mirror for Extreme Ultraviolet Lithography

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP3167472A4 *

Also Published As

Publication number Publication date
TW201606358A (en) 2016-02-16
EP3167472A4 (en) 2018-03-14
JP2017521711A (en) 2017-08-03
US9739913B2 (en) 2017-08-22
CN106663601A (en) 2017-05-10
SG11201610504QA (en) 2017-01-27
CN106663601B (en) 2020-01-10
TWI655458B (en) 2019-04-01
US20160011344A1 (en) 2016-01-14
EP3167472A1 (en) 2017-05-17
KR102405253B1 (en) 2022-06-02
KR20170031199A (en) 2017-03-20
MY182792A (en) 2021-02-05
JP6559218B2 (en) 2019-08-14

Similar Documents

Publication Publication Date Title
US9739913B2 (en) Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
US10012908B2 (en) Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9690016B2 (en) Extreme ultraviolet reflective element with amorphous layers and method of manufacturing thereof
US11366379B2 (en) Extreme ultraviolet mask with embedded absorber layer
JP7199531B2 (en) TA-CU alloy for extreme ultraviolet mask absorber
KR20210109670A (en) Extreme UV Mask Absorber Materials
JP7434581B2 (en) Extreme UV mask blank hard mask material
KR20210109058A (en) Extreme UV Mask Absorber Materials
KR20220158067A (en) Extreme UV Mask Absorber Materials
KR20210158408A (en) Extreme UV Mask Absorber Materials
KR20210066016A (en) Extreme UV Mask with Back Coating
KR20210109671A (en) Extreme UV Mask Absorber Materials
KR20210122909A (en) Extreme ultraviolet mask blank with multilayer absorber and manufacturing method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15818360

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2017500330

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

REEP Request for entry into the european phase

Ref document number: 2015818360

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2015818360

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20177003861

Country of ref document: KR

Kind code of ref document: A