WO2015166976A1 - Etching solution, method for etching in which same is used, method for manufacturing semiconductor substrate product, metal corrosion inhibitor, and metal corrosion-inhibiting composition - Google Patents

Etching solution, method for etching in which same is used, method for manufacturing semiconductor substrate product, metal corrosion inhibitor, and metal corrosion-inhibiting composition Download PDF

Info

Publication number
WO2015166976A1
WO2015166976A1 PCT/JP2015/062947 JP2015062947W WO2015166976A1 WO 2015166976 A1 WO2015166976 A1 WO 2015166976A1 JP 2015062947 W JP2015062947 W JP 2015062947W WO 2015166976 A1 WO2015166976 A1 WO 2015166976A1
Authority
WO
WIPO (PCT)
Prior art keywords
group
carbon atoms
compound
etching solution
layer
Prior art date
Application number
PCT/JP2015/062947
Other languages
French (fr)
Japanese (ja)
Inventor
智美 高橋
恭平 荒山
篤史 水谷
哲 村山
Original Assignee
富士フイルム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 富士フイルム株式会社 filed Critical 富士フイルム株式会社
Priority to JP2016516401A priority Critical patent/JP6256851B2/en
Publication of WO2015166976A1 publication Critical patent/WO2015166976A1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks

Definitions

  • the present invention relates to an etching solution, an etching method using the same, a method for producing a semiconductor substrate product, and a metal anticorrosive and a metal anticorrosive composition.
  • Integrated circuit manufacturing consists of various processing steps in multiple stages. In the manufacturing process, deposition of various materials, lithography, etching, and the like are repeated many times. Among them, etching is an important process. Certain materials must be selectively etched, and other materials must remain without being corroded. In some cases, it is required to remove only a predetermined layer while leaving layers made of similar metal species or layers made of a more corrosive material. The size of wirings and integrated circuits in a semiconductor substrate is becoming increasingly smaller, and the importance of performing etching accurately without corroding the components to be left is increasing.
  • An object of the present invention is to provide an etching solution capable of etching a predetermined metal layer while suppressing damage to the predetermined metal layer, an etching method using the same, a method for manufacturing a semiconductor substrate product, and a metal anticorrosive and a metal anticorrosive composition. It is in the provision of things.
  • An etching solution for a semiconductor process An etchant containing a compound P having a plurality of adsorbing groups and having a weight average molecular weight of 1000 or more.
  • An etching solution for a semiconductor process An etching solution containing a compound P having a plurality of adsorbing groups and a steric repulsion site.
  • Q is a repeating unit containing an organic compound residue having a weight average molecular weight of 1000 or more.
  • a metal anticorrosive comprising a compound P having a plurality of adsorbing groups and having a weight average molecular weight of 1000 or more, or a compound P having a plurality of adsorbing groups and having a steric repulsion site, or a metal anticorrosive composition containing the same.
  • a predetermined metal layer can be etched while suppressing damage to the predetermined metal layer.
  • FIG. 1 is a cross-sectional view schematically showing an example of a manufacturing process of a semiconductor substrate in one embodiment of the present invention.
  • FIG. 2 is a process diagram showing an example of manufacturing a MOS transistor according to an embodiment of the present invention.
  • FIG. 3 is a cross-sectional view schematically showing a substrate structure according to another embodiment of the present invention.
  • FIG. 4 is an apparatus configuration diagram showing a part of a wet etching apparatus according to a preferred embodiment of the present invention.
  • FIG. 5 is a plan view schematically showing the movement trajectory line of the nozzle with respect to the semiconductor substrate in one embodiment of the present invention.
  • FIG. 1 shows the semiconductor substrate before and after etching.
  • a metal layer (second layer) 1 is disposed on the upper surface of a silicon or germanium-containing layer (first layer) 2.
  • a SiGe epitaxial layer constituting a source electrode and a drain electrode is applied.
  • the first layer may be composed of Si, but is preferably a SiGe or Ge epitaxial layer.
  • Metal species single metal or composite metal.
  • the metal layer can be formed by a method usually applied to this type of metal film, and specifically, film formation by CVD (Chemical Vapor Deposition) can be mentioned.
  • the thickness of the metal layer at this time is not particularly limited, but examples include a film having a thickness of 5 nm to 50 nm.
  • the metal layer is a Ti layer because the removal performance of the etching solution is sufficiently exhibited.
  • the metal layer may contain other elements in addition to the metal atoms listed above. For example, oxygen and nitrogen inevitably mixed in may exist.
  • the amount of inevitable impurities is preferably suppressed to, for example, about 1 ppt to 10 ppm (mass basis).
  • annealing is performed, and a metal-Si reaction film (third layer: silicide layer) is formed at the interface. 3 is formed (step (b)).
  • Annealing may be performed under conditions normally applied to the manufacture of this type of device, and for example, treatment at 200 to 1000 ° C. may be mentioned.
  • the thickness of the silicide layer 3 at this time is not particularly limited, but examples include a layer of 50 nm or less, and an example of a layer of 10 nm or less. Although there is no lower limit in particular, it is practical that it is 1 nm or more.
  • This silicide layer is applied as a low-resistance film, and functions as a conductive portion that electrically connects a source electrode and a drain electrode located below the silicide layer and a wiring disposed thereon. Accordingly, when defects or corrosion occur in the silicide layer, this conduction is hindered, which may lead to quality degradation such as device malfunction. In particular, recently, the integrated circuit structure inside the substrate has been miniaturized, and even a minute damage can have a great influence on the performance of the element. Therefore, it is desirable to prevent such defects and corrosion.
  • the silicide layer is a concept included in the first silicon-containing or germanium-containing layer in a broad sense.
  • the second layer when the second layer is selectively removed with respect to the first layer, not only a mode in which the second layer (metal layer) is preferentially removed with respect to the silicon or germanium-containing layer that is not silicided. This means that the second layer (metal layer) is preferentially removed with respect to the silicide layer.
  • the first silicon or germanium-containing layer (excluding the silicide layer) and the third silicide layer are distinguished from each other, they are referred to as the first layer and the third layer, respectively.
  • the silicon- or germanium-containing layer 2 is composed of a SiGe epitaxial layer, and can be formed by crystal growth on a silicon substrate having specific crystallinity by a chemical vapor deposition (CVD) method. Alternatively, an epitaxial layer formed with desired crystallinity may be formed by an electron beam epitaxy (MBE) method or the like.
  • CVD chemical vapor deposition
  • MBE electron beam epitaxy
  • boron (B) having a concentration of about 1 ⁇ 10 14 cm ⁇ 3 to 1 ⁇ 10 21 cm ⁇ 3 is doped.
  • phosphorus (P) is preferably doped at a concentration of 1 ⁇ 10 14 cm ⁇ 3 to 1 ⁇ 10 21 cm ⁇ 3 .
  • the Ge concentration is preferably 20% by mass or more, and more preferably 40% by mass or more. As an upper limit, 100 mass% or less is preferable, and 90 mass% or less is more preferable.
  • the layer formed by annealing with the alloy of the second layer contains germanium and the specific metal element of the second layer, and does not contain silicon. Is referred to as a germanium silicide layer. (Metal concentration)
  • the concentration of a metal such as germanium is a value measured by the following measuring method.
  • a substrate of a layer containing a specific metal is analyzed in the depth direction from 0 to 30 nm by etching ESCA (Quanta by ULVAC-PHI), and the average value of the concentration of metal (Ge, etc.) in the analysis result of 3 to 15 nm is calculated for the metal. Concentration (mass%).
  • the silicide layer is formed between the silicon or germanium-containing layer (first layer) and the metal layer (second layer), and silicon (Si) or germanium (Ge) and the components of the second layer (above It is formed as a layer containing a specific metal species).
  • This silicide layer is included in the first layer in a broad sense, but is referred to as a “third layer” when distinguished from this in a narrow sense.
  • the composition is not particularly limited, it is preferably a germanium silicide layer containing germanium.
  • z is preferably 0.2 ⁇ z ⁇ 0.8, and more preferably 0.3 ⁇ z ⁇ 0.7.
  • a preferred range of the ratio of x and y is as defined above.
  • the third layer may contain other elements. This is the same as described for the metal layer (second layer).
  • silicide materials there may be materials that are not desired to be etched in the semiconductor substrate.
  • the etching solution of this embodiment it is preferable to minimize corrosion of a material that is not desired to be etched.
  • the material (fourth layer) that is not desired to be etched include at least one selected from the group consisting of Al, SiO 2 , SiN, SiOC, HfO, and TiAlC.
  • a fourth layer such as TiAlC may be applied to the gate electrode 23 (FIG. 2) to protect the material of the fourth layer separately from or simultaneously with the protection of the first layer and the third layer. It is preferable that etching is possible.
  • FIG. 2 is a process diagram showing an example of manufacturing a MOS transistor.
  • A is a MOS transistor structure formation process
  • B is a metal film sputtering process
  • C is a first annealing process
  • D is a metal film selective removal process
  • E is a second annealing process. It is a process.
  • a gate electrode 23 is formed through a gate insulating film 22 formed on the surface of the silicon substrate 21. Extension regions may be separately formed on both sides of the gate electrode 23 of the silicon substrate 21.
  • a protective layer (not shown) for preventing contact with the Ti layer may be formed on the gate electrode 23.
  • a sidewall 25 made of a silicon oxide film or a silicon nitride film is formed, and a source region 26 and a drain region 27 are formed by ion implantation.
  • a Ti film 28 is formed and subjected to a rapid annealing process.
  • the elements in the Ti film 28 are diffused into the silicon substrate for silicidation (in this specification, alloying by annealing is referred to as silicidation for the sake of convenience, including when germanium is 100% by mass).
  • the upper portions of the source electrode 26 and the drain electrode 27 are silicided to form the TiGeSi source electrode portion 26A and the TiSiGe drain electrode portion 27A.
  • the electrode member is changed to a desired state (annealed silicide source electrode 26B, annealed silicide drain electrode 27B) by performing the second annealing as shown in FIG. be able to.
  • first and second annealing temperatures are not particularly limited, for example, the annealing can be performed at 300 to 1100 ° C.
  • the remaining Ti film 28 without contributing to silicidation can be removed by using the etching solution of the present embodiment (FIGS. 2C and 2D).
  • FIGS. 2C and 2D etching solution of the present embodiment
  • a semiconductor substrate including a high dielectric film / metal gate FinFET having a silicide pattern on the source and / or drain region may be used.
  • the description mainly focuses on the protection of the silicide layers (first layer and third layer), but the present invention is not construed as being limited thereto.
  • the etching of the second layer such as Ti may be realized while the protection of the fourth layer such as TiAlC is realized.
  • FIG. 3 is a cross-sectional view schematically showing a substrate structure according to another embodiment of the present invention.
  • 90A is a first gate stack located in the first device region.
  • Reference numeral 90B denotes a second gate stack located in the second element region.
  • the gate stack contains a conductive tantalum alloy layer or TiAlC.
  • the first gate stack will be described.
  • 92A is a well.
  • 94A is a first source / drain extension region
  • 96A is a first source / drain region
  • 91A is a first metal semiconductor alloy portion.
  • Reference numeral 95A denotes a first gate spacer.
  • 97A is a first gate insulating film
  • 81 is a first work function material layer (81)
  • 82A is a second work function material layer (second work function material layer).
  • Reference numeral 83A denotes a first metal portion that serves as an electrode.
  • 93 is a trench structure, and 99 is a planarizing dielectric layer.
  • Reference numeral 80 denotes a lower semiconductor layer.
  • the first gate stack has the same structure, and 91B, 92B, 94B, 95B, 96B, 97B, 82B, 83B are 91A, 92A, 94A, 95A, 96A, 97A, 82A of the first gate stack, respectively. , 83A.
  • the first gate stack has a first work function material layer 81, but the second gate stack is not provided with it.
  • the work function material layer may be either a p-type work function material layer or an n-type work function material layer.
  • a p-type work function material refers to a material having a work function between the valence band energy level and the mid band gap energy level of silicon. That is, in the energy level of silicon, the energy level of the conduction band and the valence band energy level are equivalently separated.
  • An n-type work function material refers to a material having a work function between the energy level of the conduction band of silicon and the mid band gap energy level of silicon.
  • the material of the work function material layer is preferably a conductive tantalum alloy layer or TiAlC.
  • the conductive tantalum alloy layer can comprise a material selected from (i) an alloy of tantalum and aluminum, (ii) an alloy of tantalum and carbon, (iii) an alloy of tantalum, aluminum, and carbon.
  • TiAlC is a material containing titanium, aluminum, and carbon.
  • TaAl In an alloy of tantalum and aluminum, the atomic concentration of tantalum can be 10% to 99%. The atomic concentration of aluminum can be 1% to 90%.
  • TaC In an alloy of tantalum and carbon the atomic concentration of tantalum can be 20% to 80%. The atomic concentration of carbon can be 20% to 80%.
  • the atomic concentration of tantalum can be 15% to 80%.
  • the atomic concentration of aluminum can be 1% to 60%.
  • the atomic concentration of carbon can be 15% to 80%.
  • the work function material layer can be (iv) a titanium nitride layer consisting essentially of titanium nitride or (v) a layer of titanium, aluminum and carbon alloy.
  • TiN In the titanium nitride layer, the atomic concentration of titanium can be 30% to 90%.
  • the atomic concentration of nitrogen can be 10% to 70%.
  • TiAlC In the titanium / aluminum / carbon alloy layer the atomic concentration of titanium can be 15% to 45%.
  • the atomic concentration of aluminum can be 5% to 40%.
  • the atomic concentration of carbon can be 5% to 50%.
  • the work function material layer can be formed by atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), or the like.
  • the work function material layer is preferably formed so as to cover the gate electrode, and the film thickness is preferably 100 nm or less, more preferably 50 nm or less, and further preferably 1 nm to 10 nm.
  • the gate dielectric layer is made of a high-k material containing a metal and oxygen.
  • the high-k gate dielectric material known materials can be used.
  • the film can be deposited by conventional methods. Examples include chemical vapor deposition (CVD), physical vapor deposition (PVD), molecular beam vapor deposition (MBD), pulsed laser vapor deposition (PLD, liquid source mist chemical deposition (LSMCD), atomic layer deposition (ALD), and the like.
  • high-k dielectric materials examples include HfO 2 , ZrO 2 , La 2 O 3 , Al 2 O 3 , TiO 2 , SrTiO 3 , LaAlO 3 , Y 2 O 3 , HfO x N y , ZrO x N y , La 2 O x N y , Al 2 O x N y , TiO x N y , SrTiO x N y , LaAlO x N y , Y 2 O x N y, etc., where x is 0.5-3. y is 0 to 2.
  • the thickness of the gate dielectric layer is preferably 0.9 to 6 nm, more preferably 1 to 3 nm, and in particular, the gate dielectric layer is made of hafnium oxide (HfO 2). It is preferable Ranaru.
  • Other members and structures can be appropriately formed by ordinary methods using ordinary materials. For details thereof, reference can be made to US Publication No. 2013/0214364 and US Publication No. 2013/0341631, which are incorporated herein by reference.
  • the silicide metal (Ni) is effectively suppressed while suppressing damage to the layer. , Pt, Ti, etc.) can be removed.
  • the etching solution for the semiconductor process of this embodiment includes a compound P (P1) having a plurality of adsorbing groups and a weight average molecular weight of 1000 or more, or a compound P (P2) having a plurality of adsorbing groups and a steric repulsion site. contains.
  • the compound P having a plurality of adsorbing groups and having a weight average molecular weight of 1000 or more suppresses the dissolution of a desired metal without degrading the performance of the metal-dissolving component in the etching solution.
  • the compound P is sometimes referred to as a metal anticorrosive and a composition containing the compound P is sometimes referred to as a metal anticorrosive composition.
  • a composition containing the compound P is sometimes referred to as a metal anticorrosive composition.
  • Compound P is particularly excellent in the anticorrosive effect of Al.
  • the weight average molecular weight is more preferably 3000 or more, and particularly preferably 5000 or more.
  • A is an adsorbing group.
  • n is an integer of 2 or more.
  • Pa is a residue of an organic compound (polymer compound) having a weight average molecular weight of 1,000 or more, and is also a steric repulsion site.
  • the weight average molecular weight is preferably 5,000 or more, and more preferably 7,000 or more.
  • the upper limit is preferably about 100,000, but more preferably 10,000 or less.
  • P a is preferably a residue of a polymer compound as defined in P1 below.
  • a compound having a partial structure represented by the following formula (II) is also preferable.
  • the weight average molecular weight is more preferably 3000 or more, and particularly preferably 5000 or more.
  • -(BQ) m- (II) B is a repeating unit having an adsorbing group.
  • the adsorbing group has the same meaning as in the above formula (I).
  • m is an integer of 2 or more.
  • Q is a repeating unit containing an organic compound residue having a weight average molecular weight of 1000 or more.
  • the structure of the polymer compound residue is the same as in formula (I).
  • the molecular weight of the polymer means the weight average molecular weight unless otherwise specified, and the weight average molecular weight in terms of standard polystyrene is measured by gel permeation chromatography (GPC).
  • the weight average molecular weight was measured by HPC-8220GPC (manufactured by Tosoh Corporation), guard column: TSKguardcolumn SuperHZ-L, column: TSKgel SuperHZM-M, TSKgel SuperHZ4000, TSKgel SuperHZ3000, TSKgel Z 10 ⁇ l of a 1 mass% tetrahydrofuran solution was injected, tetrahydrofuran as an elution solvent was allowed to flow at a flow rate of 0.35 ml / min, and the sample peak was detected with an RI detector. Calculation was performed using a calibration curve prepared using standard polystyrene.
  • A may be an adsorption group
  • n may be an integer of 2 or more
  • P may be a hydrophobic group (polymer).
  • hydrophobic group those having ClogP of 3 or more are preferable, those having 10 or more are more preferable, and those having 100 or less are preferable.
  • Adsorptive group A is particularly preferably the following substituent A 1.
  • the compound P represented by the above formula (I) is preferably a polymer compound represented by the formula (1).
  • a 1 is an acid group, a group having a basic nitrogen atom, a urea group, a urethane group, a group having a coordinating oxygen atom, a phenol group, an alkyl group, an aryl group, a group having an alkyleneoxy chain, an imide group, an alkyl group
  • a 1 preferably functions as a group having an adsorption ability for a specific metal.
  • a 1 present in the same compound may be the same or different.
  • R 1 represents a (m + n) -valent linking group
  • R 2 represents a single bond or a divalent linking group.
  • m represents a positive number of 8 or less
  • n represents 1 to 9
  • m + n satisfies 3 to 10.
  • P 1 represents a polymer chain. The m P 1 may be the same or different.
  • adsorption sites within the A 1.
  • a linear saturated hydrocarbon group which may be linear or branched and preferably having 1 to 10 carbon atoms
  • a cyclic saturated hydrocarbon group having 3 to 10 carbon atoms
  • an aromatic group preferably having 5 to 10 carbon atoms, for example, a phenylene group
  • an embodiment in which two or more adsorption sites are bonded via a chain saturated hydrocarbon group is preferable.
  • adsorption sites themselves constitute a monovalent substituent adsorption sites themselves may also be a monovalent substituent represented by A 1.
  • the “acid group” for example, a carboxyl group, a sulfonic acid group, a monosulfate group, a phosphoric acid group, a monophosphate group, a phosphonic acid group, a phosphinic acid group, and a boric acid group are preferable.
  • Group, monosulfate group, phosphoric acid group, monophosphate group, phosphonic acid group and phosphinic acid group are more preferred, and carboxyl group is particularly preferred.
  • the “urea group” for example, —NR N CONR N 2 is mentioned as a preferred example, —NR N CONHR N is more preferred, and —NHCONHR N is particularly preferred.
  • Examples of the “urethane group” include —NHCOOR N , —NR N COOR N , —OCONHR N , —OCONR N 2 and the like, and —NHCOOR N and —OCONHR N are more preferable, and —NHCOOR N , —OCONHR N and the like are particularly preferable.
  • RN is defined as follows.
  • Examples of the “group having a coordinating oxygen atom” include an acetylacetonato group and a crown ether.
  • Examples of the “group having a basic nitrogen atom” include an amino group (—NH 2 ), a substituted imino group (—NHR N , —NR N 2 ), a guanidyl group represented by the following formula (a1), Preferred examples include an amidinyl group represented by (a2).
  • R N are each independently an alkyl group having 1 to 20 carbon atoms, an aryl group having 6 to 14 carbon atoms, or an aralkyl group having 7 to 15 carbon atoms.
  • an alkyl group having 1 to 10 carbon atoms, an aryl group having 6 to 10 carbon atoms, or an aralkyl group having 7 to 11 carbon atoms is preferable.
  • An alkyl group having 1 to 5 carbon atoms, a phenyl group, or a benzyl group is more preferable.
  • an amino group (—NH 2 ), a substituted imino group (—NHR N , —NR N 2 ), a guanidyl group represented by the formula (a1), an amidinyl group represented by the formula (a2) and the like are more preferable. preferable.
  • the “alkyl group” may be linear or branched and is preferably an alkyl group having 1 to 40 carbon atoms, more preferably an alkyl group having 4 to 30 carbon atoms. An alkyl group having 10 to 18 carbon atoms is more preferable.
  • the “aryl group” is preferably an aryl group having 6 to 10 carbon atoms.
  • the terminal preferably forms an alkyloxy group or a hydroxyl group, and more preferably an alkyloxy group having 1 to 20 carbon atoms.
  • the alkyleneoxy chain is not particularly limited as long as it has at least one alkyleneoxy group, but is preferably an alkyleneoxy group having 1 to 6 carbon atoms.
  • alkyleneoxy group examples include — (R C ) mc —.
  • R C is preferably an alkylene group having 1 to 3 carbon atoms, an alkylene group having 2 or 3 is more preferable.
  • mc is preferably 1 to 6, and more preferably 1 to 3.
  • the alkyl group moiety in the “alkyloxycarbonyl group” is preferably an alkyl group having 1 to 20 carbon atoms.
  • the alkyl group moiety in the “alkylaminocarbonyl group” is preferably an alkyl group having 1 to 20 carbon atoms.
  • Examples of the “carboxylic acid group” include groups composed of ammonium salts of carboxylic acids.
  • a hydrogen atom bonded to a nitrogen atom may be substituted with an alkyl group (such as a methyl group), an acyl group (such as an acetyl group or a trifluoroacetyl group), and the like.
  • an alkyl group such as a methyl group
  • an acyl group such as an acetyl group or a trifluoroacetyl group
  • heterocyclic group examples include thiophene group, furan group, xanthene group, pyrrole group, pyrroline group, pyrrolidine group, dioxolane group, pyrazole group, pyrazoline group, pyrazolidine group, imidazole group, oxazole group, thiazole group, oxalate group.
  • the cyclic ketone containing an anthraquinone group shall be contained in a heterocyclic ring. Examples of the “imide group” include succinimide, phthalimide, naphthalimide and the like.
  • the “heterocyclic group” and the “imide group” may further have a substituent.
  • substituents include an alkyl group having 1 to 20 carbon atoms and an aryl group having 6 to 16 carbon atoms.
  • An acyloxy group having 1 to 6 carbon atoms such as a hydroxyl group, amino group, carboxyl group, sulfonamido group, N-sulfonylamide group and acetoxy group, an alkoxy group having 1 to 20 carbon atoms such as methoxy group and ethoxy group, halogen Examples thereof include C2-C7 alkoxycarbonyl groups such as atoms, methoxycarbonyl groups, ethoxycarbonyl groups, and cyclohexyloxycarbonyl groups, cyano groups, and carbonate ester groups such as t-butyl carbonate.
  • alkoxysilyl group may be any of monoalkoxysilyl group, dialkoxysilyl group, trialkoxysilyl group, but is preferably trialkoxysilyl group, such as trimethoxysilyl group, triethoxysilyl group, etc. Is mentioned.
  • examples of the “epoxy group” include a substituted or unsubstituted oxiranyl group (ethylene oxide group).
  • a 1 is preferably a monovalent substituent having at least one functional group of pKa5 or higher, and more preferably a monovalent substituent having at least one functional group of pKa5 to 14.
  • pKa has the definition described in Chemical Handbook (II) (4th revised edition, 1993, edited by The Chemical Society of Japan, Maruzen Co., Ltd.).
  • the functional group having a pKa of 5 or more includes a group having a coordinating oxygen atom, a group having a basic nitrogen atom, a phenol group, a urea group, a urethane group, an alkyl group, an aryl group, an alkyloxycarbonyl group, and an alkylaminocarbonyl group.
  • a value calculated using ACD / Labs (manufactured by Advanced Chemistry Development) or the like can be used.
  • Specific examples of the functional group having a pKa of 5 or more include, for example, a phenol group (about pKa 8 to 10), an alkyl group (about pKa 46 to 53), an aryl group (about pKa 40 to 43), and a urea group (pKa 12 to 14).
  • urethane group about pKa 11 to 13
  • —COCH 2 CO— as a coordinating oxygen atom about pKa 8 to 10
  • sulfonamide group about pKa 9 to 11
  • hydroxyl group pKa 15 to 17
  • a heterocyclic group pKa 12-30
  • a 1 group, hydroxyphenyl group, an alkyl group, an aryl group, an alkyleneoxy chain groups having a hydroxyl group, a urea group, a urethane group, a sulfonamido group, an imido group and coordinating oxygen atom It is preferable that it is a monovalent substituent having at least one group selected from the group consisting of groups containing Among them as A 1, group, hydroxyphenyl group or more preferably a hydroxyl group, an acid group (in particular carboxyl groups) it is particularly preferred.
  • R 2 represents a single bond or a divalent linking group.
  • n R 2 may be the same or different.
  • the divalent linking group represented by R 2 includes 1 to 100 carbon atoms, 0 to 10 nitrogen atoms, 0 to 50 oxygen atoms, and 1 to 200 carbon atoms.
  • a group consisting of a hydrogen atom and 0 to 20 sulfur atoms is included, which may be unsubstituted or may further have a substituent.
  • R 2 is a single bond or 1 to 10 carbon atoms, 0 to 5 nitrogen atoms, 0 to 10 oxygen atoms, 1 to 30 hydrogen atoms, and A divalent linking group consisting of 0 to 5 sulfur atoms is preferred.
  • R 2 includes a chain saturated hydrocarbon group (which may be linear or branched, preferably having 1 to 20 carbon atoms), or a cyclic saturated hydrocarbon group (having 3 to 20 carbon atoms). Selected from the group consisting of an aromatic group (preferably having 5 to 20 carbon atoms, such as a phenylene group), a thioether bond, an ester bond, an amide bond, an ether bond, a nitrogen atom, and a carbonyl group.
  • a group or a combination of two or more of these selected from the group consisting of a chain saturated hydrocarbon group, a cyclic saturated hydrocarbon group, an aromatic group, a thioether bond, an ester bond, an ether bond, and an amide bond Or a combination of two or more of these, a chain saturated hydrocarbon group, a thioether bond, an ester bond, an ether bond, and an amide A group selected from the group consisting of a bond, or a group obtained by combining two or more of these is particularly preferable.
  • the divalent linking group represented by R 2 has a substituent
  • substituents include an alkyl group having 1 to 20 carbon atoms, an aryl group having 6 to 16 carbon atoms, a hydroxyl group, C1-C6 acyloxy groups such as amino groups, carboxyl groups, sulfonamido groups, N-sulfonylamide groups, acetoxy groups, etc., C1-C6 alkoxy groups such as methoxy groups, ethoxy groups, chlorine, bromine, etc.
  • Examples thereof include a C2-C7 alkoxycarbonyl group such as a halogen atom, a methoxycarbonyl group, an ethoxycarbonyl group, and a cyclohexyloxycarbonyl group, a cyano group, and a carbonate group such as t-butyl carbonate.
  • a C2-C7 alkoxycarbonyl group such as a halogen atom, a methoxycarbonyl group, an ethoxycarbonyl group, and a cyclohexyloxycarbonyl group, a cyano group, and a carbonate group such as t-butyl carbonate.
  • R 1 represents a (m + n) -valent linking group.
  • m + n satisfies 3 to 10.
  • Examples of the (m + n) -valent linking group represented by R 1 include 1 to 100 carbon atoms, 0 to 10 nitrogen atoms, 0 to 50 oxygen atoms, and 1 to 200.
  • the (m + n) -valent linking group represented by R 1 is preferably a group represented by any of the following formulae.
  • L represents a trivalent to hexavalent group.
  • T represents a single bond or a divalent linking group. 3 to 6 Ts may be the same as or different from each other.
  • L is a carbon atom, an aryl linking group (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 and particularly preferably 6 to 10), and a heterocyclic linking group (preferably having 2 to 12 carbon atoms and more preferably having 2 to 6 carbon atoms). Preferred).
  • T is an alkylene group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), a carbonyl group (CO), an oxy group (O), an imino group (NR N ), a sulfide group ( S) or a group related to these combinations.
  • RN is as defined above.
  • the most preferred (m + n) -valent linking group is the above (1), (2), (10), (11), (16) and (17).
  • m represents a positive number of 8 or less.
  • m is preferably 0.5 to 5, more preferably 1 to 4, and particularly preferably 1 to 3.
  • n represents 1 to 9.
  • n is preferably 2 to 8, more preferably 2 to 7, and particularly preferably 3 to 6.
  • m and n have a decimal, it means that it is a mixture of compounds having different m and n.
  • P 1 represents a polymer chain and can be selected from known polymers according to the purpose and the like.
  • the m P 1 may be the same or different.
  • the polymers a vinyl monomer polymer or copolymer, an ester polymer, an ether polymer, a urethane polymer, an amide polymer, an epoxy polymer, a silicone polymer, and modifications thereof are used to form a polymer chain.
  • copolymer for example, polyether / polyurethane copolymer, copolymer of polyether / vinyl monomer polymer, etc. (any of random copolymer, block copolymer, graft copolymer, etc. May also be included).
  • At least one selected from the group consisting of vinyl monomers selected from the group consisting of polymers or copolymers of vinyl monomers, ester polymers, ether polymers, urethane polymers, and modified products or copolymers thereof. At least one kind is more preferred, and a polymer or copolymer of vinyl monomers is particularly preferred.
  • Polymers or copolymers of vinyl monomers the polymer chain P 1 may have, ester-based polymer, Examples of the ether-based polymer, respectively, the following formula (L), (M), represented by any one of (N) It preferably has a structure.
  • X 1 represents a hydrogen atom or a monovalent organic group.
  • a hydrogen atom or an alkyl group having 1 to 12 carbon atoms is preferable, a hydrogen atom or a methyl group is more preferable, and a methyl group is particularly preferable.
  • R 10 represents a hydrogen atom or a monovalent organic group.
  • they are a hydrogen atom, an alkyl group, an aryl group, or a heteroaryl group, More preferably, they are a hydrogen atom or an alkyl group.
  • the alkyl group may be a linear alkyl group having 1 to 20 carbon atoms, a branched alkyl group having 3 to 20 carbon atoms, or a cyclic alkyl group having 5 to 20 carbon atoms.
  • a linear alkyl group having 1 to 20 carbon atoms is more preferable, and a linear alkyl group having 1 to 6 carbon atoms is particularly preferable.
  • the different R 10 structurally or may have two or more in the formula (L).
  • R 11 and R 12 each represent a branched or straight chain alkylene group (the number of carbon atoms is preferably 1 to 10, more preferably 2 to 8, and still more preferably 3 to 6).
  • Different R 11 or R 12 of the structure may have two or more in each formula.
  • k1, k2, and k3 each independently represents a number of 5 to 140.
  • the polymer chain P 1 preferably contains at least one repeating unit.
  • the number k (k1, k2, k3) of at least one repeating unit in the polymer chain P 1 is preferably 5 or more from the viewpoint of exhibiting steric repulsion and improving dispersion stability, and 7 or more. It is more preferable that The number k of repeating units is preferably 140 or less, more preferably 130 or less, and still more preferably 60 or less.
  • the polymer is preferably soluble in an organic solvent. If the affinity with the organic solvent is low, the affinity with the dispersion medium is weakened, and it may be impossible to secure an adsorption layer sufficient for stabilizing the dispersion.
  • a vinyl monomer For example, (meth) acrylic acid esters, crotonic acid esters, vinyl esters, vinyl monomers having an acid group, maleic acid diesters, fumaric acid diesters, itaconic acid diesters , (Meth) acrylamides, styrenes, vinyl ethers, vinyl ketones, olefins, maleimides, (meth) acrylonitrile, etc.
  • (meth) acrylic acid esters, crotonic acid esters, vinyl esters, acid groups The vinyl monomer is more preferably, and (meth) acrylic acid esters and crotonic acid esters are more preferable.
  • Preferable examples of these vinyl monomers include paragraphs 0089 to 0094, 0096 and 0097 of JP-A-2007-277514 (paragraphs 0105 to 0117 and 0119 to 0120 in the corresponding US 2010/233595). ), The contents of which are incorporated herein.
  • vinyl monomers having a functional group such as a urethane group, a urea group, a sulfonamide group, a hydroxyphenyl group, and an imide group
  • a monomer having a urethane group or a urea group can be appropriately synthesized using, for example, an addition reaction between an isocyanate group and a hydroxyl group or an amino group.
  • an addition reaction between an isocyanate group-containing monomer and a compound containing one hydroxyl group or a compound containing one primary or secondary amino group, or a hydroxyl group-containing monomer or primary or secondary amino group It can be appropriately synthesized by an addition reaction between the containing monomer and monoisocyanate.
  • the compound represented by the formula (1) is preferably represented by the following formula (2).
  • a 2 has the same meaning as A 1 in formula (1), a preferable embodiment thereof is also the same.
  • R 4 and R 5 each independently represents a single bond or a divalent linking group.
  • the n R 4 s may be the same or different.
  • the m R 5 s may be the same or different.
  • the divalent linking group represented by R 4 or R 5 the same divalent linking groups as those represented by R 2 in the formula (1) are used, and the preferred embodiments are also the same. It is.
  • R 3 represents a (m + n) -valent linking group. m + n satisfies 3 to 10.
  • R ⁇ 3 > the thing similar to what was mentioned as a coupling group represented by R ⁇ 1 > is used, and its preferable aspect is also the same.
  • m and n have the same meanings as m and n in formula (1), respectively, and the preferred embodiments are also the same.
  • P 2 in the formula (2) has the same meaning as P 1 in formula (1), a preferable embodiment thereof is also the same.
  • the m P 2 can be the same or different.
  • R 3 Specific example (1), (2), (10), (11), (16), or (17) above
  • R 4 a single bond or a group selected from the group consisting of a chain saturated hydrocarbon group, a cyclic saturated hydrocarbon group, an aromatic group, an ester bond, an amide bond, an ether bond, a nitrogen atom, and a carbonyl group, or these two or more combined group
  • R 5 a single bond, an ethylene group, a propylene group, the following group (a), or the following group (b)
  • R 12 represents a hydrogen atom or a methyl group
  • l represents 1 or 2.
  • P 2 Polymer or copolymer of vinyl monomer, ester polymer, ether polymer, urethane polymer, and modified products thereof m: 1 to 3 n: 3-6
  • Compound P (a polymer compound represented by the formula (1) or (2)) is not particularly limited, but conforms to the synthesis method described in paragraphs 0114 to 0140 and 0266 to 0348 of JP-A-2007-277514. Can be synthesized. Furthermore, specific examples of the compound P include those described below. Compounds disclosed in Examples after paragraph 0265 of JP-A-2007-277514 (B-1 to B-24, C-1 to C-57, D-1 to D-12) WO2014 / 034813A1 Compounds disclosed in Examples after paragraph 0200 (C-1 to C-136) WO2014 / 034815A1 Compounds disclosed in Examples after paragraph 0194 (C-1 to C-199) The above-mentioned publications can be referred to for the method for producing compound P.
  • the concentration of the compound P is preferably 0.01% by mass or more, more preferably 0.05% by mass or more, and particularly preferably 0.1% by mass or more in the etching solution. As an upper limit, 20 mass% or less is preferable, 10 mass% or less is more preferable, 5 mass% or less is further more preferable, and 1 mass% or less is especially preferable.
  • Compound P may be used alone or in combination of two or more.
  • a metal dissolving component is contained.
  • the metal dissolving component halogen ions are preferable, and fluorine ions are more preferable.
  • the metal-dissolving component serves as a ligand (complexing agent) for the metal (Ti, etc.) of the second layer and promotes dissolution in the etching solution.
  • the concentration of the metal-soluble component (particularly fluorine ions) is preferably 0.1% by mass or more, more preferably 0.5% by mass or more, and particularly preferably 1% by mass or more in the etching solution.
  • the metal-dissolved component particularly fluorine ions
  • dissolution component may use only 1 type, or may use it combining several things.
  • the amount may be specified by quantifying the amount of salt to be added. Examples of the fluorine ion supply source include the fluorine compounds shown in the table below.
  • the etching solution according to the present invention preferably contains an acid assistant (pKa4 or lower acid is preferred).
  • the pKa is further preferably 3 or less, more preferably 2 or less, further preferably 1.5 or less, further preferably 1 or less, and particularly preferably 0.5 or less. preferable. It is practical that the lower limit is pKa-20 or more.
  • the acid assistant plays a role of accelerating the oxidation of the second layer metal (such as Ti) even in a prescription with a small amount of water in the etching solution. In this respect, when pKa exceeds the above range, dissolution of metal (not oxidized) Ti or the like may not proceed.
  • the acid assistant boric acid compounds, phosphoric acid compounds, phosphonic acid compounds, HBF 4 , HBr, HCl, HI, H 2 SO 4 , F 3 CCOOH, Cl 3 CCOOH and the like are preferable. Among these, an inorganic acid is preferable, and an inorganic acid containing a halogen atom is more preferable.
  • pKa has the same definition as above. Below, the calculation example of a typical substituent is shown.
  • Examples of the phosphonic acid compound include alkylphosphonic acid (preferably having 1 to 30 carbon atoms, more preferably 3 to 24, and particularly preferably 4 to 18), and arylphosphonic acid (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms). 6 to 10 are particularly preferred) and aralkylphosphonic acid (preferably 7 to 23 carbon atoms, more preferably 7 to 15 carbon atoms, and particularly preferably 7 to 11 carbon atoms). Alternatively, it may be polyvinyl phosphonic acid. The weight average molecular weight may be appropriately selected, but is preferably 3000 or more and 50000 or less.
  • the boron-containing acid compound examples include boric acid, boronic acid, and tetrafluoroboric acid.
  • the boronic acid is preferably a boronic acid having 1 to 24 carbon atoms, more preferably a boronic acid having 1 to 12 carbon atoms. Specific examples include phenylboronic acid and methylboronic acid.
  • the counter ion is not particularly limited, and examples thereof include alkali metal cations and organic cations.
  • the concentration of the acid assistant is preferably 0.1% by mass or more, more preferably 0.5% by mass or more, and particularly preferably 1% by mass or more in the etching solution.
  • 20 mass% or less is preferable, 10 mass% or less is more preferable, 5 mass% or less is further more preferable, and 3 mass% or less is especially preferable.
  • 10 mass parts or more are preferable with respect to 100 mass parts of melt
  • 1000 mass parts or less are preferable, 600 mass parts or less are more preferable, and 200 mass parts or less are especially preferable.
  • an acid adjuvant may use only 1 type and may use 2 or more types together.
  • the etching solution according to the present invention may contain an organic solvent.
  • a protic polar organic solvent is preferable.
  • the protic polar organic solvent alcohol compounds (including polyol compounds), ether compounds, and carboxylic acid compounds are preferable.
  • the organic solvent plays a role of reducing the dissolution rate of the metal or insulating film that requires selective treatment by relatively reducing the amount of water in the chemical solution in the etching solution.
  • the organic solvent preferably has a Hansen parameter ⁇ h (hydrogen bond energy) of 5 or more, and particularly preferably of 10 or more.
  • the viscosity is desirably 40 mPa ⁇ s (20 ° C.) or less, more desirably 35 mPa ⁇ s or less, and particularly desirably 10 mPa ⁇ s or less.
  • Alcohol compounds widely include compounds having carbon and hydrogen in the molecule and having one or more hydroxyl groups.
  • an ether compound having a hydroxyl group is an alcohol compound.
  • the alcohol compound may have 1 or more carbon atoms, more preferably 2 or more, further preferably 3 or more, further preferably 4 or more, further preferably 5 or more, and particularly preferably 6 or more.
  • the upper limit is preferably 24 or less, more preferably 12 or less, and particularly preferably 8 or less.
  • the alcohol compound is preferably a compound represented by the following formula (O-1).
  • R O1 R O1 represents a hydrogen atom, an alkyl group having 1 to 12 (preferably 1 to 6, more preferably 1 to 3) carbon atoms, an aryl group having 6 to 14 (preferably 6 to 10) carbon atoms, or 7 to 7 carbon atoms. 15 (preferably 7 to 11) aralkyl groups.
  • ⁇ R O2 R O2 is a linear or branched alkylene chain having 1 to 12 carbon atoms. When a plurality of R O2 are present, each of them may be different.
  • R O2 preferably has 2 to 10 carbon atoms, and more preferably 2 to 6 carbon atoms. ⁇ No no is an integer from 0 to 12, preferably from 1 to 6. When no is 2 or more, the plurality of R O2 may be different from each other. However, when no is 0, R O1 is not a hydrogen atom.
  • the alcohol compound is also preferably a compound represented by the following formula (O-2) or (O-3).
  • R O3 is preferably a cyclic structural group which may have a substituent.
  • the cyclic structural group may be an aromatic ring, a heteroaromatic ring, an aliphatic ring, or a heteroaliphatic ring. Examples of the aromatic ring include aryl groups having 6 to 14 carbon atoms (preferably 6 to 10 carbon atoms, more preferably phenyl groups).
  • Examples of the aliphatic ring include cyclic alkyl groups having 3 to 14 carbon atoms (preferably having 3 to 10 carbon atoms, and more preferably a cyclohexyl group).
  • the heterocyclic ring is preferably a heterocyclic group having 2 to 20 carbon atoms, preferably a 5- or 6-membered heterocyclic group having at least one oxygen atom, sulfur atom or nitrogen atom. Examples include 2-pyridyl, 4-pyridyl, 2-imidazolyl, 2-benzimidazolyl, 2-thiazolyl and 2-oxazolyl.
  • the cyclic structure group may have an arbitrary substituent as appropriate.
  • L O1 represents a single bond, O, CO, is NR N, S or combinations thereof.
  • R O4 is an alkylene group (preferably having 1 to 12 carbon atoms, more preferably having 1 to 6 carbon atoms, and particularly preferably having 1 to 3 carbon atoms), or an arylene group (preferably having 6 to 14 carbon atoms, having 6 to 10 carbon atoms). More preferably), or an aralkylene group (preferably having 7 to 15 carbon atoms, more preferably 7 to 11 carbon atoms). no is as defined above.
  • the ether compound is preferably a compound represented by the following formula (E-1).
  • R E1 represents an alkyl group having 1 to 12 carbon atoms (preferably 1 to 6, more preferably 1 to 4, more preferably 1 to 3), an aryl group having 6 to 14 carbon atoms (preferably 6 to 10), or An aralkyl group having 7 to 15 carbon atoms (preferably 7 to 11 carbon atoms).
  • -R E2 is synonymous with R O2 .
  • -R E3 is synonymous with R O1 .
  • M is an integer of 1 to 12, and preferably 1 to 6. When m is 2 or more, the plurality of R E2 may be different from each other.
  • the concentration of the organic solvent is preferably 20% by mass or more, more preferably 50% by mass or more, and particularly preferably 70% by mass or more in the etching solution. As an upper limit, 98 mass% or less is preferable, 95 mass% or less is more preferable, and 90 mass% or less is especially preferable.
  • the organic solvent may be used alone or in combination of two or more. When using 2 or more types together, the combined use ratio is not particularly limited, but the total use amount is preferably within the above-mentioned concentration range as a total of 2 or more types.
  • the etching solution of the present invention may contain a carboxylic acid compound.
  • the carboxylic acid compound is preferably an organic compound having a carboxyl group.
  • the carboxylic acid compound only needs to have a carboxyl group in the molecule, and is a low molecular weight compound.
  • the carboxylic acid compound is a low molecular weight compound, it preferably has 4 to 48 carbon atoms, more preferably 4 to 36 carbon atoms, and particularly preferably 6 to 24 carbon atoms. It is understood that the carboxylic acid compound plays a role of accelerating dissolution of the second layer metal oxide (such as titanium oxide) as a complexing agent in the etching solution.
  • the second layer metal oxide such as titanium oxide
  • the carboxylic acid compound is preferably a compound represented by R 1 —COOH.
  • R 1 is an alkyl group (preferably 1 to 48 carbon atoms, more preferably 4 to 36 carbon atoms, more preferably 6 to 24 carbon atoms), an alkenyl group (preferably 2 to 48 carbon atoms, more preferably 4 to 36 carbon atoms). 6 to 24 are more preferable), an alkynyl group (preferably having 2 to 48 carbon atoms, more preferably 4 to 36 carbon atoms, still more preferably 6 to 24 carbon atoms), an aryl group (preferably having 6 to 22 carbon atoms, 6 To 14), or an aralkyl group (preferably having 7 to 23 carbon atoms, more preferably 7 to 15 carbon atoms).
  • R 1 When R 1 is an aryl group, it may be substituted with an alkyl group having 1 to 20 carbon atoms, an alkenyl group having 2 to 20 carbon atoms, or an alkynyl group having 2 to 20 carbon atoms.
  • R 1 When R 1 is an alkyl group, it may have the following structure.
  • * —R 2 — (R 3 —Y) n —R 4 R 2 is a single bond, an alkylene group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkynylene group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms).
  • An alkenylene group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an arylene group (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or an aralkylene group (preferably having 7 to 23 carbon atoms). 7 to 15 are more preferable.
  • R 3 has the same meaning as the linking group for R 2 .
  • Y is an oxygen atom (O), a sulfur atom (S), a carbonyl group (CO), or an imino group (NR N ).
  • R 4 represents an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkenyl group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an alkynyl group. (Preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), aryl group (preferably 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or aralkyl group (preferably 7 to 23 carbon atoms, preferably 7 to 7 carbon atoms). 15 is more preferable).
  • RN is as defined above.
  • n is an integer of 0 to 8.
  • R 1 may further have a substituent, and among them, a sulfanyl group (SH), a hydroxyl group (OH), and an amino group (NR N 2 ) are preferable.
  • RN is as defined above.
  • the concentration of the carboxylic acid compound is preferably 0.01% by mass or more, more preferably 0.05% by mass or more, and particularly preferably 0.1% by mass or more in the etching solution.
  • 10 mass% or less is preferable, 3 mass% or less is more preferable, and 1 mass% or less is especially preferable.
  • 1 mass part or more is preferable with respect to 100 mass parts of hydrofluoric acid, 3 mass parts or more are more preferable, and 5 mass parts or more are especially preferable.
  • 50 mass parts or less are preferable, 30 mass parts or less are more preferable, and 20 mass parts or less are especially preferable.
  • Oxalic acid Among the above carboxylic acid compounds, oxalic acid may be contained in the etching solution as another type of additive. Oxalic acid plays a role of a complexing agent in the etching solution.
  • the concentration of oxalic acid is preferably 0.1% by mass or more, more preferably 0.5% by mass or more, and particularly preferably 1% by mass or more in the etching solution.
  • 20 mass% or less is preferable, 10 mass% or less is more preferable, 5 mass% or less is further more preferable, and 3 mass% or less is especially preferable.
  • 100 parts by mass of hydrofluoric acid 10 parts by mass or more is preferable, 30 parts by mass or more is more preferable, and 50 parts by mass or more is particularly preferable.
  • 1000 mass parts or less are preferable, 600 mass parts or less are more preferable, and 200 mass parts or less are especially preferable.
  • the etching solution of the present invention may contain saccharides. It is understood that the acid of pKa2 or higher plays a role of preventing corrosion of the layer to be protected in the etching solution.
  • the saccharide is not particularly limited and may be a monosaccharide or a polysaccharide, but is preferably a monosaccharide. Examples of monosaccharides include hexose and pentose. In terms of structure, ketose, aldose, pyranose and furanose can be mentioned.
  • hexose examples include allose, altrose, glucose, mannose, gulose, idose, galactose, talose, psicose, fructose, sorbose, tagatose and the like.
  • pentose examples include ribose, arabinose, xylose, lyxose, ribulose, xylulose and the like.
  • furanose include trofuranose, treofuranose, ribofuranose, arabinofuranose, xylofuranose, and loxofuranose.
  • pyranose examples include ribopyranose, arabinopyranose, xylopyranose, loxopyranose, allopyranose, arthropyranose, glucopyranose, mannopyranose, gropyranose, idopyranose, galactopyranose, and talopyranose.
  • the concentration of saccharide is preferably 0.01% by mass or more, more preferably 0.05% by mass or more, and particularly preferably 0.1% by mass or more in the etching solution.
  • 10 mass% or less is preferable, 3 mass% or less is more preferable, and 1 mass% or less is especially preferable.
  • 1 mass part or more is preferable with respect to 100 mass parts of melt
  • 50 mass parts or less are preferable, 30 mass parts or less are more preferable, and 20 mass parts or less are especially preferable.
  • the etching solution of the present invention may contain a carboxylic acid-containing polymer. It is understood that the carboxylic acid-containing polymer plays a role of preventing corrosion of Al or Si-based insulating films in the etching solution.
  • the carboxylic acid-containing polymer is not particularly limited, and various polymers having a structural unit having a carboxyl group can be applied. Examples of the monomer constituting such a polymer include acrylic acid (AA), methacrylic acid (MA), vinyl benzoic acid (VBA), and the like.
  • the concentration of the carboxylic acid-containing polymer is preferably 0.01% by mass or more, more preferably 0.05% by mass or more, and particularly preferably 0.1% by mass or more in the etching solution.
  • 10 mass% or less is preferable, 3 mass% or less is more preferable, and 1 mass% or less is especially preferable.
  • 1 mass part or more is preferable with respect to 100 mass parts of melt
  • 50 mass parts or less are preferable, 30 mass parts or less are more preferable, and 20 mass parts or less are especially preferable.
  • a compound or a substituent / linking group includes an alkyl group / alkylene group, an alkenyl group / alkenylene group, an alkynyl group / alkynylene group, etc.
  • these may be cyclic or linear, and may be linear or branched. It may be substituted with any group or unsubstituted.
  • an alkyl group, an alkylene group, an alkenyl group, an alkenylene group, an alkynyl group, an alkynylene group is a group containing a hetero atom (e.g., O, S, CO, NR N and the like) may be separated by a, with this To form a ring structure.
  • a hetero atom e.g., O, S, CO, NR N and the like
  • an aryl group, a heterocyclic group, etc. when included, they may be monocyclic or condensed and may be similarly substituted or unsubstituted.
  • the technical matters such as temperature and thickness, as well as the choices of substituents and linking groups of the compounds, can be combined with each other even if the list is described independently.
  • a compound when specified by adding a compound or an acid to the end, it means that in addition to the above compound, its ion and salt are included within the range where the effects of the present invention are exhibited. Similarly, it is meant to include derivatives thereof.
  • the etching solution of the present invention preferably contains water (aqueous medium).
  • the water (aqueous medium) may be an aqueous medium containing a dissolved component as long as the effects of the present invention are not impaired, or may contain an unavoidable trace mixed component.
  • water that has been subjected to purification treatment such as distilled water, ion-exchanged water, or ultrapure water is preferable, and ultrapure water that is used for semiconductor manufacturing is particularly preferable.
  • the concentration of water is not particularly limited, but is preferably 0.1% by mass or more, more preferably 1% by mass or more, and particularly preferably 5% by mass or more.
  • the concentration of the etching solution within a predetermined range. In the absence of water, the metal layer may not be sufficiently etched. Although it is preferable to apply in this respect, damage to the metal layer to be protected can be suppressed by suppressing this amount to a small amount.
  • the etchant according to this embodiment preferably contains a specific organic additive.
  • This organic additive consists of an organic compound containing a nitrogen atom, a sulfur atom, a phosphorus atom, or an oxygen atom.
  • the organic additives include amino groups (—NR N 2 ) or salts thereof, imino groups (—NR N —) or salts thereof, sulfanyl groups (—SH), hydroxyl groups (—OH), carbonyl groups (— CO—), sulfonic acid group (—SO 3 H) or a salt thereof, phosphoric acid group (—PO 4 H 2 ) or a salt thereof, onium group or a salt thereof, sulfinyl group (—SO—), sulfonyl group (SO 2 ), An ether group (—O—), an amine oxide group, and a thioether group (—S—), a compound having a substituent or a linking group is preferable.
  • RN is as defined above.
  • substituent include an alkyl group (preferably having 1 to 24 carbon atoms, more preferably 1 to 12 carbon atoms, further preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms), and an alkenyl group (preferably having 2 to 24 carbon atoms and 2 carbon atoms).
  • To 12 is more preferable, 2 to 6 is more preferable, and 2 to 3 is particularly preferable, and an alkynyl group (2 to 24 carbon atoms is preferable, 2 to 12 is more preferable, 2 to 6 is more preferable, and 2 to 3 is Especially preferred are aryl groups having 6 to 10 carbon atoms and aralkyl groups having 7 to 11 carbon atoms.
  • the specific organic additive is particularly preferably composed of a compound represented by any of the following formulas (I) to (XIII).
  • R 11 and R 12 are each independently a hydrogen atom, an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), and an alkenyl group (preferably having 2 to 12 carbon atoms).
  • 2 to 6 are more preferred
  • an alkynyl group preferably having 2 to 12 carbon atoms, more preferably 2 to 6
  • an aryl group preferably having 6 to 22 carbon atoms, more preferably 6 to 14
  • an aralkyl group 7 to 23 carbon atoms are preferred, and 7 to 15 carbon atoms are more preferred
  • a sulfanyl group (SH) a hydroxyl group (OH)
  • an amino group —NR N 2 ).
  • R 11 and R 12 is preferably a sulfanyl group, a hydroxyl group, or an amino group (preferably having a carbon number of 0 to 6, more preferably 0 to 3).
  • RN is as defined above.
  • said substituent further takes a substituent (an alkyl group, an alkenyl group, an aryl group, etc.), you may have arbitrary substituent T. The same applies to the substituents and linking groups described below.
  • X 1 is a methylene group (CR C 2 ), a sulfur atom (S), or an oxygen atom (O). Of these, a sulfur atom is preferable.
  • R C represents a hydrogen atom or a substituent (substituent T described below is preferred).
  • X 2 is a methine group ( ⁇ CR C —) or a nitrogen atom (N).
  • R 21 is a substituent (substituent T described below is preferred), and among them, a sulfanyl group (SH), a hydroxyl group (OH), and an amino group (NR N 2 ) are preferred.
  • R C and R N are as defined above.
  • n2 is an integer of 0-4. When there are a plurality of R 21 s , they may be the same or different, and may be bonded to each other or condensed to form a ring.
  • the ring to be formed is preferably a nitrogen-containing heterocycle, and more preferably an unsaturated 5-membered or 6-membered nitrogen-containing heterocycle.
  • Y 1 is a methylene group, an imino group (NR N ), or a sulfur atom (S).
  • RN is as defined above.
  • Y 2 represents a hydrogen atom, an alkyl group (preferably 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkenyl group (preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms).
  • An alkynyl group (preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an aryl group (preferably 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), an aralkyl group (preferably 7 to 23 carbon atoms, 7 to 15 are more preferable), an amino group (preferably having 0 to 6 carbon atoms, more preferably 0 to 3), a hydroxyl group, and a sulfanyl group.
  • R 31 is a substituent (substituent T described below is preferred). Of these, a sulfanyl group (SH), a hydroxyl group (OH), and an amino group (NR N 2 ) are preferable.
  • RN is as defined above.
  • n3 is an integer of 0-2.
  • R 31 s When there are a plurality of R 31 s , they may be the same or different and may be bonded to each other or condensed to form a ring.
  • the ring to be formed is preferably a 6-membered ring, and examples thereof include a benzene structure or a 6-membered heteroaryl structure (in particular, a pyridine structure or a pyrimidine structure is preferable).
  • the formula (III) is preferably the following formula (III-1).
  • Y 3 and Y 4 are each independently a methine group ( ⁇ CR C —) or a nitrogen atom (N).
  • R C is as defined above.
  • Y 1 , Y 2 , R 31 and n3 are as defined above.
  • the positions of Y 3 and Y 4 may be at different positions in the six-membered ring.
  • L 1 is an alkylene group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkynylene group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an alkenylene group. (Preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), arylene group (preferably 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or aralkylene group (preferably 7 to 23 carbon atoms, preferably 7 to 7 carbon atoms). 15 is more preferable).
  • X 4 is a carboxyl group or a hydroxyl group.
  • the SH group in the formula may be disulfide to form a dimer.
  • R 51 is an alkyl group (preferably having 1 to 24 carbon atoms, more preferably 1 to 12 carbon atoms, still more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkenyl group (preferably having 2 to 24 carbon atoms, More preferably 2 to 12 carbon atoms, still more preferably 2 to 6 carbon atoms, an alkynyl group (preferably 2 to 24 carbon atoms, more preferably 2 to 12 carbon atoms, still more preferably 2 to 6 carbon atoms), an aryl group (carbon number 6 to 22 is preferable, and 6 to 14 is more preferable), or an aralkyl group (C 7 to 23 is preferable, and 7 to 15 is more preferable).
  • R 51 is an alkyl group (preferably having 1 to 24 carbon atoms, more preferably 1 to 12 carbon atoms, still more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkenyl group (preferably having 2 to 24 carbon atoms
  • R 51 is an aryl group, it includes an alkyl group having 1 to 20 carbon atoms, an alkenyl group having 2 to 20 carbon atoms, an alkynyl group having 2 to 20 carbon atoms, an alkoxy group having 1 to 20 carbon atoms, carbon An aryl group having 6 to 14 carbon atoms and an aryloxy group having 6 to 14 carbon atoms are preferably substituted.
  • R 51 is an alkyl group, it may have the following structure. * -R 52- (R 53 -Y 53 ) n5 -R 54
  • R 52 is a single bond or a linking group having the same meaning as L 1 .
  • R 53 is a linking group having the same meaning as L 1 .
  • Y 53 is an oxygen atom (O), a sulfur atom (S), a carbonyl group (CO), or an imino group (NR N ).
  • a combination of an oxygen atom (O), a sulfur atom (S), a carbonyl group (CO), and an imino group (NR N ) may be used, and examples thereof include (C ⁇ O) O and O (C ⁇ O).
  • R 54 is an alkyl group (preferably having 1 to 24 carbon atoms, preferably 1 to 12, more preferably 1 to 6, more preferably 1 to 3), or an alkenyl group (preferably having 2 to 12 carbon atoms, preferably having 2 to 6 carbon atoms).
  • an alkynyl group preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms
  • an aryl group preferably 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms
  • an aralkyl group 7 to 7 carbon atoms. 23 is preferable, and 7 to 15 is more preferable.
  • RN is as defined above.
  • n5 is an integer of 0 to 8.
  • R 51 may further have a substituent T, and among them, a sulfanyl group (SH), a hydroxyl group (OH), and an amino group (NR N 2 ) are preferable.
  • RN is as defined above.
  • Z is an amino group (NR N 2 ) (preferably having 0 to 6 carbon atoms, more preferably 0 to 3), a sulfonic acid group (SO 3 H), a sulfuric acid group (SO 4 H), a phosphoric acid group (PO 4 H 2 ), a carboxyl group, a hydroxyl group, a sulfanyl group (SH), an onium group (preferably having 3 to 12 carbon atoms), an acyloxy group, or an amine oxide group (—NR N 2 + O ⁇ ).
  • RN is as defined above.
  • an amino group, a sulfonic acid group, a phosphoric acid group, and a carboxyl group are acid esters (for example, alkyl esters, preferably having 1 to 24 carbon atoms, in the case of salts or acids thereof, unless otherwise specified.
  • the number 1 to 12 is more preferable, and 1 to 6 is more preferable.
  • the alkyl group forming the carboxylic acid ester may further have a substituent T.
  • the alkyl group which has a hydroxyl group is mentioned.
  • the alkyl group is a group containing a hetero atom (e.g., O, S, CO, NR N, etc.) may form a ring structure with a.
  • a sorbitan residue is mentioned as an alkyl group of a ring structure having a hydroxyl group. That is, sorbitan fatty acid esters (preferably having 7 to 40 carbon atoms, more preferably 8 to 24 carbon atoms) can be suitably used.
  • R 51 and Z in Formula (V) you may have arbitrary coupling groups in the range which has a desired effect.
  • R 51 is preferably an alkyl group, and in this case, 1 to 24 carbon atoms are preferable, 3 to 20 are more preferable, 6 to 18 are more preferable, and 8 to 16 is particularly preferred.
  • the fact that this alkyl group may further have a substituent T is the same as the others.
  • Examples of the compound having an onium group include a compound having an ammonium group (R 51 —NR N 3 + M ⁇ ), a compound having a pyridinium group (C 5 R N 5 N + —R 51 ⁇ M ⁇ ), or an imidazoli sulfonium group (C 3 R N 3 NR N N + -R 51 ⁇ M -) is preferred.
  • RN is as defined above.
  • M ⁇ is a paired anion (for example, OH ⁇ ).
  • R O7 to R O10 are each independently an alkyl group having 1 to 24 carbon atoms, an alkenyl group having 2 to 24 carbon atoms, an alkynyl group having 2 to 24 carbon atoms, an aryl group having 6 to 14 carbon atoms, 7 to 14 aralkyl groups, groups represented by the following formula (y).
  • at least one carbon number of R O7 to R O10 is preferably 6 or more, more preferably 8 or more.
  • Y1- (Ry1-Y2) my-Ry2- * (y) Y1 represents a hydrogen atom, an alkyl group having 1 to 12 carbon atoms, an alkenyl group having 2 to 12 carbon atoms, an alkynyl group having 2 to 12 carbon atoms, an aralkyl group having 7 to 14 carbon atoms, or an aryl group having 6 to 14 carbon atoms. Represents a hydroxyl group or an alkoxy group having 1 to 4 carbon atoms.
  • Y2 represents O, S, CO, and NR N.
  • Ry1 and Ry2 each independently represents an alkylene group having 1 to 6 carbon atoms, an alkenylene group having 2 to 6 carbon atoms, an alkynylene group having 2 to 6 carbon atoms, an arylene group having 6 to 10 carbon atoms, or a combination thereof.
  • my represents an integer of 0 to 6.
  • the plurality of Ry1 and Y2 may be different from each other.
  • Ry1 and Ry2 may further have a substituent T. * Is a bond.
  • RN is as defined above.
  • R O11 is a group having the same meaning as R O7 , but the carbon number is preferably 6 or more, and more preferably 8 or more.
  • R O12 is a substituent T.
  • mO is an integer of 0-5.
  • M4 ⁇ , M5 ⁇ and M6 ⁇ are counter ions, and examples thereof include hydroxide ions.
  • R O13 is a group having the same meaning as Y1.
  • R O14 and R O15 are the same groups as those in the formula (y).
  • At least one Y1 of R O14 and R O15 is a carboxyl group, and preferably constitutes betaine.
  • the compound represented by the formula (V) is preferably any one of the following formulas (V-1) to (V-3).
  • Z ⁇ 1 >, Z ⁇ 2 > is a sulfonic acid group which may pass through the coupling group L.
  • R 56 is a substituent T, and among them, an alkyl group exemplified therein is preferable.
  • n 51 and n 56 are integers of 0 to 5.
  • n 53 is an integer of 0 to 4.
  • the maximum value of n 51 , n 53 , and n 56 decreases with the number of Z 1 or Z 2 in the same ring.
  • n 52 is an integer of 1 to 6, preferably 1 or 2.
  • n 54 and n 55 are each independently an integer of 0 to 4, and n 54 + n 55 is 1 or more. n 54 + n 55 is preferably 1 or 2. n 57 and n 58 are each independently an integer of 0 to 5, and n 57 + n 58 is 1 or more. n 57 + n 58 is preferably 1 or 2. A plurality of R 56 may be the same as or different from each other. Linking group L above L 1, is preferably below L 2, or a combination thereof, and more preferably L 1.
  • R 61 and R 62 each independently represents an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms) or an aryl group (preferably having 6 to 22 carbon atoms, preferably 6 to 6 carbon atoms). 14 is more preferable), an alkoxy group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), or an alkylamino group (preferably having 1 to 12 carbon atoms and more preferably 1 to 6 carbon atoms). 1 to 3 are preferred).
  • R 61 and R 62 may be bonded or condensed to form a ring.
  • R 61 or R 62 is an alkyl group, it may be a group represented by the above * —R 52 — (R 53 —Y 53 ) —R 54 .
  • L 2 is a carbonyl group, a sulfinyl group (SO), or a sulfonyl group (SO 2 ).
  • the compound represented by the formula (VI) is preferably a compound represented by any one of the following formulas (VI-1) to (VI-3). In the formula, R 61 and R 62 are as defined above.
  • Q 6 is a 3- to 8-membered ring, preferably a 5- or 6-membered ring, more preferably a saturated 5- or 6-membered ring, and particularly preferably a saturated hydrocarbon 5- or 6-membered ring.
  • Q 6 may have an arbitrary substituent T.
  • R 71 is an amino group (—NR N 2 ), an ammonium group (—NR N 3 + ⁇ M ⁇ ), or a carboxyl group.
  • R N and M - it is according to the foregoing definition.
  • L 3 is a single bond or a group having the same meaning as L 1 .
  • L 3 is preferably a methylene group, an ethylene group, a propylene group, or (—L 31 (SR S ) p—).
  • L 31 is an alkylene group having 1 to 6 carbon atoms.
  • R S may be dimerized by forming a hydrogen atom or a disulfide group at this site.
  • p is an integer of 1 to 5, preferably 1 to 2.
  • R 71 When R 71 is a carboxyl group, this compound becomes a dicarboxylic acid compound.
  • dicarboxylic acid compounds include oxalic acid, malonic acid, succinic acid, glutaric acid, adipic acid, pimelic acid, suberic acid, xeraic acid, sebacic acid, phthalic acid, isophthalic acid, terephthalic acid, among others. Oxalic acid is preferred.
  • R 81 and R 82 each independently represents an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms) or an alkenyl group (preferably having 2 to 12 carbon atoms). 6 is more preferable), an alkynyl group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an aryl group (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or an aralkyl group (having carbon numbers). 7 to 23 are preferable, and 7 to 15 are more preferable.
  • RN is as defined above.
  • L 4 is a group having the same meaning as L 1 .
  • R 91 and R 93 each independently represent a hydrogen atom, an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms), or an alkenyl group (preferably having 2 to 12 carbon atoms; To 6), alkynyl groups (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), aryl groups (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), acyl groups (having carbon numbers) 2 to 12 are preferred, and 2 to 6 are more preferred), or an aralkyl group (preferably having a carbon number of 7 to 23, more preferably 7 to 15).
  • n9 is 0, R 91 and R 93 are not both hydrogen atoms.
  • n9 is an integer of 0 to 100, preferably 0 to 50, more preferably 0 to 25, still more preferably 0 to 15, further preferably 0 to 10, and particularly preferably 0 to 5.
  • the compound represented by the formula (IX) is more preferably a compound represented by the following formula (IX-1).
  • L 41 is preferably an alkylene group having 2 or more carbon atoms, preferably 2 to 6 carbon atoms. By setting the number of carbon atoms of the alkylene group, it is presumed that a specific adsorption state with a metal (for example, Ti) is not formed and the removal thereof is not hindered.
  • L 41 preferably further has 3 or more carbon atoms, more preferably 3 to 6 carbon atoms, and particularly preferably 3 or 4 carbon atoms.
  • the number of carbon atoms in the L 41 when an alkylene group of branches, except the carbon atoms contained in the branch, it is preferred that the linking carbon number of 2 or more.
  • a 2,2-propanediyl group has a linking carbon number of 1.
  • the number of carbon atoms connecting OO is called the number of connected carbons, and it is preferable that the number is 2 or more.
  • the number of connected carbons is preferably 3 or more, more preferably 3 or more and 6 or less, and particularly preferably 3 or more and 4 or less.
  • n91 is the same number as n9.
  • the structure is preferably the following formula (IX-2).
  • R 94 to R 97 in the formula have the same meaning as R 91 .
  • R 94 to R 97 may further have a substituent T, for example, a hydroxyl group.
  • L 9 is an alkylene group, preferably an alkylene group having 1 to 6 carbon atoms, and more preferably an alkylene group having 1 to 4 carbon atoms.
  • Specific examples of the compound of formula (IX-2) include hexylene glycol, 1,3-butanediol, 1,4-butanediol and the like.
  • the compound represented by the formula (IX) is preferably used in a desired range in the CLogP.
  • the CLogP value of the compound represented by the formula (IX) is preferably ⁇ 0.4 or more, and more preferably ⁇ 0.2 or more.
  • the upper limit is preferably 2 or less, and more preferably 1.5 or less.
  • the measurement of the octanol-water partition coefficient (log P value) can be generally carried out by a flask soaking method described in JIS Japanese Industrial Standard Z7260-107 (2000). Further, the octanol-water partition coefficient (log P value) can be estimated by a computational chemical method or an empirical method instead of the actual measurement. As the calculation method, Crippen's fragmentation method (J. Chem. Inf. Comput. Sci., 27, 21 (1987)), Viswanadhan's fragmentation method (J. Chem. Inf. Comput. Sci., 29, 163 (1989)), Broto's It is known to use a fragmentation method (Eur. J. Med. Chem.-Chim.
  • the Crippen's fragmentation method J. Chem. Inf. Comput. Sci., 27, 21 (1987)
  • the ClogP value is a value obtained by calculating the common logarithm logP of the distribution coefficient P between 1-octanol and water.
  • Known methods and software can be used for calculating the ClogP value.
  • the present invention uses a ClogP program incorporated in the system: PCModels of Daylight Chemical Information Systems.
  • R A3 has the same meaning as RN.
  • R A1 and R A2 each independently represent a hydrogen atom, an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms), or an alkenyl group (preferably having 2 to 12 carbon atoms).
  • R A1 and R A2 are preferably a sulfanyl group, a hydroxyl group, or an amino group (preferably having 0 to 6 carbon atoms, more preferably 0 to 3 carbon atoms).
  • Y 7 and Y 8 are each independently an oxygen atom, a sulfur atom, an imino group (NR N ) or a carbonyl group.
  • R B1 is a substituent (the substituent T described below is preferred).
  • nB is an integer of 0-8.
  • either one of Y 7 and Y 8 may be a methylene group (CR C 2 ).
  • R C and R N are as defined above.
  • Y 9 and Y 10 are each independently an oxygen atom, a sulfur atom, a methylene group (CR C 2 ), an imino group (NR N ), or a carbonyl group. Y 9 and Y 10 may be another position of the six-membered ring.
  • R C and R N are as defined above.
  • X 5 and X 6 are a sulfur atom or an oxygen atom.
  • a broken line means that the bond may be a single bond or a double bond.
  • R C1 is a substituent (the substituent T described later is preferred).
  • nC is an integer of 0-2. When there are a plurality of R C1 s , they may be the same as or different from each other, and may be bonded or condensed to form a ring.
  • X 3 is an oxygen atom, a sulfur atom, or an imino group (NR M ).
  • R M is a hydrogen atom or an alkyl group having 1 to 24 carbon atoms, preferably an alkyl group having 2 to 20 carbon atoms, more preferably an alkyl group having 4 to 16 carbon atoms, and an alkyl group having 6 to 12 carbon atoms. It is particularly preferred.
  • X 5 is an oxygen atom, a sulfur atom, an imino group (NR M ), or a methylene group (CR C 2 ).
  • R C is as defined above.
  • R D1 is a substituent, and the substituent T described later is preferable.
  • R D1 is preferably an alkyl group of 1 to 24, more preferably an alkyl group of 1 to 12.
  • nD is an integer of 0 to 6, preferably an integer of 0 to 2, and particularly preferably 1.
  • X 3 —CO—X 5 in the formula is preferably NR N —CO—CR C 2 , O—CO—O, or O—CO—CR C 2 .
  • RN is as defined above.
  • the specific organic additive is preferably composed of a compound selected from the following first group or second group.
  • the concentration of those belonging to the first group is preferably 50% by mass or more, more preferably 55% by mass or more, still more preferably 60% by mass or more, in the etching solution, 70 It is particularly preferable to contain at least mass%. As an upper limit, 99 mass% or less is preferable, 95 mass% or less is more preferable, and 90 mass% or less is especially preferable.
  • the concentration of those belonging to the second group is preferably 0.005% by mass or more, more preferably 0.01% by mass or more, and 0.03% by mass in the etching solution. The above is more preferable, and 0.05% by mass or more is particularly preferable. As an upper limit, 10 mass% or less is preferable, 7 mass% or less is more preferable, and 5 mass% or less is especially preferable.
  • the compounds according to the formula (V) or a part thereof, (VI), (IIX), (IX), (XI) are the first group.
  • the compound according to the other formula or formula (V) or a part thereof is the second group.
  • it uses for the meaning containing the salt and its ion besides the compound itself about the display of a compound (for example, when calling it attaching
  • it is meant to include derivatives in which a part thereof is changed, such as introduction of a substituent, within a range where a desired effect is exhibited.
  • a substituent that does not specify substitution / non-substitution means that the group may have an arbitrary substituent. This is also synonymous for compounds that do not specify substitution / non-substitution.
  • Preferred substituents include the following substituent T. Examples of the substituent T include the following.
  • alkyl group preferably an alkyl group having 1 to 20 carbon atoms, such as methyl, ethyl, isopropyl, t-butyl, pentyl, heptyl, 1-ethylpentyl, benzyl, 2-ethoxyethyl, 1-carboxymethyl, etc.
  • alkenyl A group preferably an alkenyl group having 2 to 20 carbon atoms such as vinyl, allyl, oleyl and the like
  • an alkynyl group preferably an alkynyl group having 2 to 20 carbon atoms such as ethynyl, butadiynyl, phenylethynyl and the like
  • a cycloalkyl group preferably a cycloalkyl group having 3 to 20 carbon atoms, such as cyclopropyl, cyclopentyl, cyclohexyl, 4-methylcyclohexyl, etc.
  • the etching solution in the present invention may be a kit in which the raw material is divided into a plurality.
  • dissolution component in water as a 1st liquid is prepared, and the aspect which prepares the liquid composition containing an organic solvent as a 2nd liquid is mentioned.
  • components such as other compounds can be contained separately or together in the first liquid, the second liquid, or the other third liquid.
  • a mode in which both solutions are mixed to prepare an etching solution, and then applied to the etching treatment at an appropriate time is preferable.
  • timely after mixing refers to the time until the desired action is lost after mixing, specifically within 60 minutes, more preferably within 30 minutes, and more preferably within 10 minutes. Is more preferably within 1 minute, and particularly preferably within 1 minute. Although there is no lower limit in particular, it is practical that it is 1 second or more.
  • the method of mixing the first liquid and the second liquid is not particularly limited, but it is preferable that the first liquid and the second liquid are circulated through the respective flow paths, and both are merged at the merging point and mixed.
  • the flow path is further circulated, and the etching solution obtained by joining is discharged or jetted from the discharge port and brought into contact with the semiconductor substrate.
  • the process from the merging and mixing at the merging point to the contact with the semiconductor substrate is performed at the “timely”. This will be described with reference to FIG. 4.
  • the prepared etching solution is sprayed from the discharge port 13 and applied to the upper surface of the semiconductor substrate S in the processing container (processing tank) 11.
  • the two liquids A and B are supplied, merge at the junction 14, and then move to the discharge port 13 via the flow path fc.
  • a flow path fd indicates a return path for reusing the chemical solution.
  • the semiconductor substrate S is on the turntable 12 and is preferably rotated together with the turntable by the rotation drive unit M.
  • the etching liquid of this invention has few impurities, for example, a metal content, etc. in a liquid in view of the use use.
  • the Na, K, and Ca ion concentration in the liquid is preferably in the range of 1 ppt to 1 ppm (mass basis).
  • the number of coarse particles having an average particle size of 0.5 ⁇ m or more is preferably in the range of 100 particles / cm 3 or less, and is preferably in the range of 50 particles / cm 3 or less.
  • the etching solution of the present invention can be stored, transported and used in any container as long as corrosivity or the like is not a problem (regardless of whether it is a kit or not).
  • a container having a high cleanliness and a low impurity elution is preferable.
  • the containers that can be used include, but are not limited to, “Clean Bottle” series manufactured by Aicero Chemical Co., Ltd., “Pure Bottle” manufactured by Kodama Resin Co., Ltd., and the like.
  • the single wafer type apparatus has a processing tank, and the semiconductor substrate is transported or rotated in the processing tank, and the etching solution is applied (discharge, jetting, flowing down, dropping, etc.) into the processing tank.
  • the etching solution is preferably brought into contact with the semiconductor substrate.
  • Advantages of the single wafer type apparatus include (i) a fresh etching solution is always supplied, so that reproducibility is good, and (ii) in-plane uniformity is high. Furthermore, it is easy to use a kit in which the etching liquid is divided into a plurality of parts.
  • a method in which the first liquid and the second liquid are mixed in-line and discharged is suitably employed. At this time, it is preferable to adjust the temperature of both the first liquid and the second liquid, or to adjust the temperature of only one of them and mix and discharge them in-line. Among these, an embodiment in which the temperature is controlled together is more preferable.
  • the management temperature when adjusting the line temperature is preferably in the same range as the processing temperature described later.
  • the single wafer type apparatus is preferably provided with a nozzle in its processing tank, and a method of discharging the etching liquid onto the semiconductor substrate by swinging the nozzle in the surface direction of the semiconductor substrate is preferable. By doing so, the deterioration of the liquid can be prevented, which is preferable.
  • a kit is divided into two or more liquids so that it is difficult to generate gas or the like.
  • the processing temperature at which etching is performed is preferably 10 ° C. or higher, and more preferably 20 ° C. or higher.
  • the upper limit is preferably 80 ° C. or lower, more preferably 70 ° C. or lower, further preferably 60 ° C. or lower, further preferably 50 ° C. or lower, and preferably 40 ° C. or lower. Particularly preferred.
  • the etching processing temperature is based on the temperature applied to the substrate in the temperature measurement method shown in the examples described later. However, when the temperature is controlled by the storage temperature or batch processing, the temperature in the tank is controlled by the circulation system. In some cases, the temperature may be set in the circulation flow path.
  • the supply rate of the etching solution is not particularly limited, but is preferably 0.05 to 5 L / min, and more preferably 0.1 to 3 L / min. By setting it to the above lower limit value or more, it is preferable because uniformity in the etching plane can be ensured. By setting it to the upper limit value or less, it is preferable because stable performance can be secured during continuous processing.
  • the semiconductor substrate is rotated, although it depends on its size and the like, it is preferably rotated at 50 to 1000 rpm from the same viewpoint as described above.
  • the semiconductor substrate is transported or rotated in a predetermined direction, an etching solution is sprayed into the space, and the etching solution is brought into contact with the semiconductor substrate. .
  • the supply rate of the etching solution and the rotation speed of the substrate are the same as those already described.
  • the discharge port is adapted to move along a movement trajectory line t extending from the center portion to the end portion of the semiconductor substrate.
  • the direction of rotation of the substrate and the direction of movement of the discharge port are set to be different from each other.
  • the etching solution can be applied evenly over the entire surface of the semiconductor substrate, and the etching uniformity is suitably ensured.
  • the moving speed of the discharge port (nozzle) is not particularly limited, but is preferably 0.1 cm / s or more, and more preferably 1 cm / s or more.
  • the upper limit is preferably 30 cm / s or less, and more preferably 15 cm / s or less.
  • the movement trajectory line may be a straight line or a curved line (for example, an arc shape). In either case, the moving speed can be calculated from the actual distance of the trajectory line and the time spent for the movement.
  • the time required for etching one substrate is preferably in the range of 10 to 300 seconds.
  • the metal layer is preferably etched at a high etching rate.
  • the etching rate [R2] of the second layer (metal layer) is not particularly limited, but is preferably 50 ⁇ / min or more, more preferably 100 ⁇ / min or more, and 200 ⁇ / min or more in consideration of production efficiency. It is particularly preferred. Although there is no upper limit in particular, it is practical that it is 1000 kg / min or less.
  • the exposed width of the metal layer is not particularly limited, it is preferably 2 nm or more, more preferably 4 nm or more from the viewpoint that the advantages of the present invention become more prominent.
  • the upper limit is practically 1000 nm or less, preferably 100 nm or less, and more preferably 20 nm or less.
  • the etching rate [R1] of the first layer, the third layer, or the fourth layer is not particularly limited, but is preferably not excessively removed, more preferably 40 ⁇ / min or less, and 20 ⁇ / min or less. More preferably, it is 10 ⁇ / min or less. There is no particular lower limit, but considering the measurement limit, it is practical that it is 0.1 ⁇ / min or more.
  • the etching rate ratio ([R2] / [R1]) is not particularly limited, but is preferably 2 or more, It is more preferably 5 or more, and further preferably 10 or more.
  • the upper limit is not particularly defined and is preferably as high as possible, but is practically 10,000 or less.
  • a metal electrode layer such as Al or W
  • a layer such as HfO, HfSiO, WO, AlO x , SiO, SiOC, SiON, SiOCN, TiN, SiN, or TiAlC (these layers) (Sometimes collectively referred to as a fourth layer)
  • the preferable etching rate of the fourth layer is indicated by the same parameter [R1] as the etching rate of the first layer to the third layer as described above.
  • the preferred range is as described above.
  • the preferable range of the etching rate ratio with the second layer is also synonymous with [R2] / [R1].
  • the composition of a metal compound when expressed by a combination of elements, it means that a composition having an arbitrary composition is widely included.
  • SiOC SiON
  • the time required for etching one substrate is preferably 10 seconds or more, and more preferably 50 seconds or more. As an upper limit, it is preferable that it is 300 seconds or less, and it is more preferable that it is 200 seconds or less.
  • the order of the above steps is not construed as being limited, and further steps may be included between the steps.
  • preparation means that a specific material is synthesized or blended, and a predetermined item is procured by purchase or the like.
  • application using an etchant so as to etch each material of a semiconductor substrate is referred to as “application”, but the embodiment is not particularly limited.
  • the method widely includes contacting the etching solution with the substrate. Specifically, the etching solution may be immersed and etched in a batch type or may be etched by discharge in a single wafer type.
  • semiconductor substrate is used to mean not only a wafer but also the entire substrate structure having a circuit structure formed thereon.
  • a semiconductor substrate member refers to the member which comprises the semiconductor substrate defined above, and may consist of one material or may consist of several materials.
  • a processed semiconductor substrate is sometimes referred to as a semiconductor substrate product, and is further distinguished as necessary, and a chip that has been processed and diced out and processed product thereof is referred to as a semiconductor element. That is, in a broad sense, a semiconductor element or a semiconductor product incorporating the semiconductor element belongs to a semiconductor substrate product.
  • SiGe was epitaxially grown on a commercially available silicon substrate (diameter: 12 inches) and formed to a thickness of 500 mm. Similarly, blanket wafers in which other films were formed by CVD or the like were prepared. At this time, the SiGe epitaxial layer contained 50 to 60% by mass of germanium. In the tests shown in the table below, the etching rate of each layer was calculated using these blanket wafers. Further, a Ti layer was formed on the SiGe epitaxial layer. This was annealed at 800 ° C. for 10 seconds to form a silicide layer to obtain a test substrate. The thickness of the silicide layer after annealing was 15 nm, and the thickness of the metal layer was 5 nm.
  • a radiation thermometer IT-550F (trade name) manufactured by HORIBA, Ltd. was fixed at a height of 30 cm above the wafer in the single wafer type apparatus. A thermometer was directed onto the wafer surface 2 cm outside from the wafer center, and the temperature was measured while flowing a chemical solution. The temperature was digitally output from the radiation thermometer and recorded continuously with a personal computer. Among these, the value obtained by averaging the temperature for 10 seconds at which the temperature was stabilized was defined as the temperature on the wafer.
  • Etching rate [ER] About the etching rate (ER), it computed by measuring the film thickness before and behind an etching process using ellipsometry (a spectroscopic ellipsometer, JA Woolum Japan Co., Ltd. Vase was used). An average value of 5 points was adopted (measurement condition measurement range: 1.2-2.5 eV, measurement angle: 70, 75 degrees).
  • TiSiGe damage The degree of damage to the germanium silicide layer (TiSiGe) was judged from the amount of change in sheet resistance before and after the etching process and the thickness of TiSiGe by etching ESCA.
  • Evaluations A to E were defined by the following equations depending on how much the thickness of the TiSiGe layer in ESCA was lost compared to the initial state.
  • TiSiGe damage (%) (TiSiGe thickness after chemical treatment / TiSiGe thickness before chemical treatment) ⁇ 100 A: 80 super 100 or less B: 60 super 80 or less C: 40 super 60 or less D: 20 super 40 the following E: 0 Ultra 20 below Incidentally, A - but became evaluation of A, it was slightly inferior.
  • etching rate PAA: polyacrylic acid
  • DHC dehydrocholic acid
  • LA lauric acid
  • SA stearic acid
  • Lib ribose
  • DEGBE diethylene glycol monobutyl ether Those that become negative at the etching rate are understood to have become thicker without being etched.
  • Example 1 Test No. Except that the oxalic acids 101 to 112 were changed to the following compounds, The etching rate [ER] and TiSiGe damage were evaluated in the same manner as in 101-112. As a result, in any of the Examples, TiAlC [ER] was 1.0 or less without deteriorating other performances, and a significant TiAlC anticorrosive effect was obtained.
  • EtOH Ethanol DEGBE: Diethylene glycol monobutyl ether PGME: Propylene glycol monomethyl ether Lower row: Blending amount (% by mass)
  • Metal layer (second layer) 2 Silicon or germanium-containing layer (first layer) 3 Silicide layer (third layer) 11 Processing container (processing tank) 12 Turntable 13 Discharge port 14 Junction point S Substrate 21 Silicon substrate 22 Gate insulating film 23 Gate electrode 25 Side wall 26 Source electrode 27 Drain electrode 28 Ti film 90A, 90B Replacement gate stack 92A, 92B Well 94A, 94B Source / drain extension Regions 96A, 96B source / drain regions 91A, 91B metal semiconductor alloy portions 95A, 95B gate spacers 97A, 97B gate insulating film 81 first work function material layers 82A, 82B second work function material layers 83A, 83B metal portions 93 trench structure Part 99 Planarized dielectric layer

Abstract

 A semiconductor processor etching solution, wherein the etching solution has a plurality of adsorbing groups and contains a compound P (P1) that has a weight-average molecular weight of 1,000 or greater, or the etching solution has a plurality of adsorbing groups and contains a compound P (P2) that has a steric repulsion part.

Description

エッチング液、これを用いるエッチング方法および半導体基板製品の製造方法、ならびに金属防食剤および金属防食組成物Etching solution, etching method using the same, method for producing semiconductor substrate product, metal anticorrosive and metal anticorrosive composition
 本発明は、エッチング液、これを用いるエッチング方法および半導体基板製品の製造方法、ならびに金属防食剤および金属防食組成物に関する。 The present invention relates to an etching solution, an etching method using the same, a method for producing a semiconductor substrate product, and a metal anticorrosive and a metal anticorrosive composition.
 集積回路の製造は多段階の様々な加工工程で構成されている。その製造過程では、様々な材料の堆積、リソグラフィ、エッチング等が幾度も繰り返される。なかでも、エッチングは重要なプロセスとなる。特定の材料を選択的にエッチングし、その他の材料については腐食させることなく残存させなければならない。場合によっては、類似した金属種からなる層同士や、より腐食性の高い材料からなる層を残す形態で所定の層のみを除去することが求められる。半導体基板内の配線や集積回路のサイズはますます小さくなり、残すべき部材を腐食することなく正確にエッチングを行う重要性は益々高まっている。 Integrated circuit manufacturing consists of various processing steps in multiple stages. In the manufacturing process, deposition of various materials, lithography, etching, and the like are repeated many times. Among them, etching is an important process. Certain materials must be selectively etched, and other materials must remain without being corroded. In some cases, it is required to remove only a predetermined layer while leaving layers made of similar metal species or layers made of a more corrosive material. The size of wirings and integrated circuits in a semiconductor substrate is becoming increasingly smaller, and the importance of performing etching accurately without corroding the components to be left is increasing.
国際公開第2012/125401号パンフレットInternational Publication No. 2012/125401 Pamphlet
 本発明の目的は、所定の金属層の損傷を抑えながら所定の金属層のエッチングを行うことができるエッチング液、これを用いるエッチング方法および半導体基板製品の製造方法、ならびに金属防食剤および金属防食組成物の提供にある。 An object of the present invention is to provide an etching solution capable of etching a predetermined metal layer while suppressing damage to the predetermined metal layer, an etching method using the same, a method for manufacturing a semiconductor substrate product, and a metal anticorrosive and a metal anticorrosive composition. It is in the provision of things.
 上記の課題は以下の手段により解決された。
〔1〕半導体プロセス用のエッチング液であって、
 複数の吸着基を有し、重量平均分子量1000以上の化合物Pを含有するエッチング液。
〔2〕半導体プロセス用のエッチング液であって、
 複数の吸着基を有し、立体反発部位を有する化合物Pを含有するエッチング液。
〔3〕さらに、金属溶解成分、pKa4以下の酸助剤、有機溶剤および水のうちの少なくとも1種を含有する〔1〕または〔2〕に記載のエッチング液。
〔4〕上記酸助剤がホウ酸化合物、リン酸化合物、ホスホン酸化合物、HBF、HBr、またはHClである〔3〕に記載のエッチング液。
〔5〕上記有機溶剤がプロトン性極性有機溶剤である〔3〕または〔4〕に記載のエッチング液。
〔6〕上記金属溶解成分の濃度が0.1質量%以上20質量%以下である〔3〕~〔5〕のいずれか1つに記載のエッチング液。
〔7〕上記金属溶解成分がハロゲンイオンである〔3〕~〔6〕のいずれか1つに記載のエッチング液。
〔8〕上記ハロゲンイオンがフッ素イオンである〔7〕に記載のエッチング液。
〔9〕上記化合物Pが下記式(I)で示される化合物または下記式(II)で示される部分構造を有する化合物ある〔1〕~〔8〕のいずれか1つに記載のエッチング液。
    (A)-P ・・・ (I)
 Aは吸着基である。nは2以上の整数である。Pは重量平均分子量1000以上の有機化合物の残基である。
   -(B-Q)- (II)
 Bは吸着基を有する繰り返し単位である。mは2以上の整数である。Qは重量平均分子量1000以上の有機化合物残基を含む繰り返し単位である。
〔10〕シリコンもしくはゲルマニウムのシリサイドを含む第三層とシリコンもしくはゲルマニウム以外の金属種を含む第二層とを有する半導体基板に適用する〔1〕~〔9〕のいずれか1つに記載のエッチング液。
〔11〕上記第二層がチタンを含む層である〔10〕に記載のエッチング液。
〔12〕TiAlCを含む第四層を含む半導体基板に適用する〔1〕~〔11〕のいずれか1つに記載のエッチング液。
〔13〕半導体基板に、複数の吸着基を有し、重量平均分子量1000以上の化合物Pまたは複数の吸着基を有し立体反発部位を有する化合物Pを含有するエッチング液を適用するエッチング方法。
〔14〕上記エッチング液が、さらに、金属溶解成分、pKa4以下の酸助剤、有機溶剤および水のうちの少なくとも1種を含有する〔13〕に記載のエッチング方法。
〔15〕シリコンもしくはゲルマニウムのシリサイドを含む第三層とシリコンもしくはゲルマニウム以外の金属種を含む第二層とを有する半導体基板に適用する〔13〕または〔14〕に記載のエッチング方法。
〔16〕上記第二層が、チタンを含む層である〔13〕~〔15〕のいずれか1つに記載のエッチング方法。
〔17〕TiAlCを含む第四層を含む半導体基板に適用する〔13〕~〔16〕のいずれか1つに記載のエッチング方法。
〔18〕〔13〕~〔17〕のいずれか1つに記載のエッチング方法を介して半導体基板製品を製造する半導体基板製品の製造方法。
〔19〕複数の吸着基を有し重量平均分子量1000以上の化合物Pもしくは複数の吸着基を有し立体反発部位を有する化合物Pからなる金属防食剤またはこれを含有する金属防食組成物。
〔20〕半導体プロセス用のエッチング液に用いる〔19〕に記載の金属防食剤またはこれを含有する金属防食組成物。
The above problem has been solved by the following means.
[1] An etching solution for a semiconductor process,
An etchant containing a compound P having a plurality of adsorbing groups and having a weight average molecular weight of 1000 or more.
[2] An etching solution for a semiconductor process,
An etching solution containing a compound P having a plurality of adsorbing groups and a steric repulsion site.
[3] The etching solution according to [1] or [2], further comprising at least one of a metal-dissolving component, an acid assistant having a pKa of 4 or less, an organic solvent, and water.
[4] The etching solution according to [3], wherein the acid assistant is a boric acid compound, a phosphoric acid compound, a phosphonic acid compound, HBF 4 , HBr, or HCl.
[5] The etching solution according to [3] or [4], wherein the organic solvent is a protic polar organic solvent.
[6] The etching solution according to any one of [3] to [5], wherein the concentration of the metal-dissolved component is from 0.1% by mass to 20% by mass.
[7] The etching solution according to any one of [3] to [6], wherein the metal dissolving component is a halogen ion.
[8] The etching solution according to [7], wherein the halogen ion is a fluorine ion.
[9] The etching solution according to any one of [1] to [8], wherein the compound P is a compound represented by the following formula (I) or a compound having a partial structure represented by the following formula (II).
(A) n- P a (I)
A is an adsorbing group. n is an integer of 2 or more. Pa is a residue of an organic compound having a weight average molecular weight of 1000 or more.
-(BQ) m- (II)
B is a repeating unit having an adsorbing group. m is an integer of 2 or more. Q is a repeating unit containing an organic compound residue having a weight average molecular weight of 1000 or more.
[10] The etching according to any one of [1] to [9], which is applied to a semiconductor substrate having a third layer containing silicon or germanium silicide and a second layer containing a metal species other than silicon or germanium. liquid.
[11] The etching solution according to [10], wherein the second layer is a layer containing titanium.
[12] The etching solution according to any one of [1] to [11], which is applied to a semiconductor substrate including a fourth layer including TiAlC.
[13] An etching method in which an etching solution containing a compound P having a plurality of adsorption groups and having a weight average molecular weight of 1000 or more or a compound P having a plurality of adsorption groups and a steric repulsion site is applied to a semiconductor substrate.
[14] The etching method according to [13], wherein the etching solution further contains at least one of a metal-dissolving component, an acid assistant having a pKa of 4 or less, an organic solvent, and water.
[15] The etching method according to [13] or [14], which is applied to a semiconductor substrate having a third layer containing silicon or germanium silicide and a second layer containing a metal species other than silicon or germanium.
[16] The etching method according to any one of [13] to [15], wherein the second layer is a layer containing titanium.
[17] The etching method according to any one of [13] to [16], which is applied to a semiconductor substrate including a fourth layer including TiAlC.
[18] A semiconductor substrate product manufacturing method for manufacturing a semiconductor substrate product through the etching method according to any one of [13] to [17].
[19] A metal anticorrosive comprising a compound P having a plurality of adsorbing groups and having a weight average molecular weight of 1000 or more, or a compound P having a plurality of adsorbing groups and having a steric repulsion site, or a metal anticorrosive composition containing the same.
[20] The metal anticorrosive agent according to [19] or a metal anticorrosive composition containing the metal anticorrosive agent according to [19], which is used for an etching solution for a semiconductor process.
 本発明によれば、所定の金属層の損傷を抑えながら所定の金属層のエッチングを行うことができる。
 本発明の上記及び他の特徴及び利点は、適宜添付の図面を参照して、下記の記載からより明らかになるであろう。
According to the present invention, a predetermined metal layer can be etched while suppressing damage to the predetermined metal layer.
The above and other features and advantages of the present invention will become more apparent from the following description, with reference where appropriate to the accompanying drawings.
図1は、本発明の一実施形態における半導体基板の作製工程例を模式的に示す断面図である。FIG. 1 is a cross-sectional view schematically showing an example of a manufacturing process of a semiconductor substrate in one embodiment of the present invention. 図2は、本発明の一実施形態におけるMOSトランジスタの製造例を示す工程図である。FIG. 2 is a process diagram showing an example of manufacturing a MOS transistor according to an embodiment of the present invention. 図3は、本発明の別の実施形態に係る基板構造を模式的に示す断面図である。FIG. 3 is a cross-sectional view schematically showing a substrate structure according to another embodiment of the present invention. 図4は、本発明の好ましい実施形態に係るウエットエッチング装置の一部を示す装置構成図である。FIG. 4 is an apparatus configuration diagram showing a part of a wet etching apparatus according to a preferred embodiment of the present invention. 図5は、本発明の一実施形態における半導体基板に対するノズルの移動軌跡線を模式的に示す平面図である。FIG. 5 is a plan view schematically showing the movement trajectory line of the nozzle with respect to the semiconductor substrate in one embodiment of the present invention.
 まず、本発明のエッチング液の適用に係るエッチング工程の好ましい実施形態について、図1~3に基づき説明する。 First, a preferred embodiment of an etching process according to application of the etching solution of the present invention will be described with reference to FIGS.
[エッチング工程]
 図1はエッチング前後の半導体基板を示した図である。本実施形態の製造例においては、シリコンもしくはゲルマニウム含有層(第一層)2の上面に金属層(第二層)1が配置されている。シリコンもしくはゲルマニウム含有層(第一層)としては、ソース電極、ドレイン電極を構成するSiGeエピタキシャル層が適用されている。第一層はSiで構成されていてもよいが、SiGeもしくはGeエピタキシャル層であることが好ましい。
 金属層(第二層)1の構成材料としては、チタン(Ti)、コバルト(Co)、ニッケル(Ni)、ニッケルプラチナ(NiPt)、タンタル(Ta)、ニオブ(Nb)、タングステン(W)などの金属種(単一金属または複合金属)が挙げられる。金属層の形成は通常この種の金属膜の形成に適用される方法を用いることができ、具体的には、CVD(Chemical Vapor Deposition)による成膜が挙げられる。このときの金属層の厚さは特に限定されないが、5nm以上50nm以下の膜の例が挙げられる。本発明においては、金属層がTi層である場合、エッチング液の除去性能が十分に発揮されるため好ましい。
 金属層は、上記に挙げた金属原子以外に、その他の元素を含んでいてもよい。例えば、不可避的に混入する酸素や窒素は存在していてもよい。不可避不純物の量は例えば、1ppt~10ppm(質量基準)程度に抑えられていることが好ましい。
[Etching process]
FIG. 1 shows the semiconductor substrate before and after etching. In the manufacturing example of the present embodiment, a metal layer (second layer) 1 is disposed on the upper surface of a silicon or germanium-containing layer (first layer) 2. As the silicon or germanium-containing layer (first layer), a SiGe epitaxial layer constituting a source electrode and a drain electrode is applied. The first layer may be composed of Si, but is preferably a SiGe or Ge epitaxial layer.
As a constituent material of the metal layer (second layer) 1, titanium (Ti), cobalt (Co), nickel (Ni), nickel platinum (NiPt), tantalum (Ta), niobium (Nb), tungsten (W), etc. Metal species (single metal or composite metal). The metal layer can be formed by a method usually applied to this type of metal film, and specifically, film formation by CVD (Chemical Vapor Deposition) can be mentioned. The thickness of the metal layer at this time is not particularly limited, but examples include a film having a thickness of 5 nm to 50 nm. In the present invention, it is preferable that the metal layer is a Ti layer because the removal performance of the etching solution is sufficiently exhibited.
The metal layer may contain other elements in addition to the metal atoms listed above. For example, oxygen and nitrogen inevitably mixed in may exist. The amount of inevitable impurities is preferably suppressed to, for example, about 1 ppt to 10 ppm (mass basis).
 上記の工程(a)においてシリコンもしくはゲルマニウム含有層2の上側に金属層1が形成された後、アニール(焼結)が行われ、その界面に金属-Si反応膜(第三層:シリサイド層)3が形成される(工程(b))。アニールは通常この種の素子の製造に適用される条件によればよいが、例えば、200~1000℃で処理することが挙げられる。このときのシリサイド層3の厚さは特に限定されないが、50nm以下の層とされている例が挙げられ、さらに10nm以下の層とされている例が挙げられる。下限値は特にないが、1nm以上であることが実際的である。このシリサイド層は低抵抗膜として適用され、その下部に位置するソース電極、ドレイン電極と、その上部に配置される配線とを電気的に接続する導電部として機能する。したがって、シリサイド層に欠損や腐食が生じるとこの導通が阻害され、素子誤作動等の品質低下につながることがある。特に、昨今、基板内部の集積回路構造は微細化されてきており、微小な損傷であっても素子の性能にとって大きな影響を与えうる。そのため、そのような欠損や腐食は防止されることが望ましい。
 なお、本明細書において、広義には、シリサイド層は、第一層のシリコンもしくはゲルマニウム含有層に含まれる概念である。したがって、第一層に対して第二層を選択的に除去するというときには、シリサイド化されていないシリコンもしくはゲルマニウム含有層に対して第二層(金属層)を優先的に除去する態様のみならず、シリサイド層に対して第二層(金属層)を優先的に除去する態様を含む意味である。狭義に、第一層のシリコンもしくはゲルマニウム含有層(シリサイド層を除く)と第三層のシリサイド層とを区別して言うときには、それぞれ第一層および第三層と言う。
After the metal layer 1 is formed above the silicon or germanium-containing layer 2 in the above step (a), annealing (sintering) is performed, and a metal-Si reaction film (third layer: silicide layer) is formed at the interface. 3 is formed (step (b)). Annealing may be performed under conditions normally applied to the manufacture of this type of device, and for example, treatment at 200 to 1000 ° C. may be mentioned. The thickness of the silicide layer 3 at this time is not particularly limited, but examples include a layer of 50 nm or less, and an example of a layer of 10 nm or less. Although there is no lower limit in particular, it is practical that it is 1 nm or more. This silicide layer is applied as a low-resistance film, and functions as a conductive portion that electrically connects a source electrode and a drain electrode located below the silicide layer and a wiring disposed thereon. Accordingly, when defects or corrosion occur in the silicide layer, this conduction is hindered, which may lead to quality degradation such as device malfunction. In particular, recently, the integrated circuit structure inside the substrate has been miniaturized, and even a minute damage can have a great influence on the performance of the element. Therefore, it is desirable to prevent such defects and corrosion.
In this specification, the silicide layer is a concept included in the first silicon-containing or germanium-containing layer in a broad sense. Therefore, when the second layer is selectively removed with respect to the first layer, not only a mode in which the second layer (metal layer) is preferentially removed with respect to the silicon or germanium-containing layer that is not silicided. This means that the second layer (metal layer) is preferentially removed with respect to the silicide layer. Strictly speaking, when the first silicon or germanium-containing layer (excluding the silicide layer) and the third silicide layer are distinguished from each other, they are referred to as the first layer and the third layer, respectively.
 次いで、残存した金属層1のエッチングが行われる(工程(b)->工程(c))。本実施形態においては、このときエッチング液が適用され、金属層1の上側からエッチング液を付与し接触させることで、金属層1を除去する。エッチング液の付与の形態については後述する。
 シリコンもしくはゲルマニウム含有層2は、SiGeエピタキシャル層からなり、化学的気相成長(CVD)法により、特定の結晶性を有するシリコン基板上に結晶成長させて形成するとことができる。あるいは、電子線エピタキシ(MBE)法等により、所望の結晶性で形成したエピタキシャル層としてもよい。
 シリコンもしくはゲルマニウム含有層をP型の層とするには、濃度が1×1014cm-3~1×1021cm-3程度のボロン(B)がドープされることが好ましい。N型の層とするには、リン(P)が1×1014cm-3~1×1021cm-3の濃度でドープされることが好ましい。
Next, the remaining metal layer 1 is etched (step (b)-> step (c)). In the present embodiment, an etching solution is applied at this time, and the metal layer 1 is removed by applying and contacting the etching solution from the upper side of the metal layer 1. The form of application of the etchant will be described later.
The silicon- or germanium-containing layer 2 is composed of a SiGe epitaxial layer, and can be formed by crystal growth on a silicon substrate having specific crystallinity by a chemical vapor deposition (CVD) method. Alternatively, an epitaxial layer formed with desired crystallinity may be formed by an electron beam epitaxy (MBE) method or the like.
To make the silicon or germanium-containing layer a P-type layer, it is preferable that boron (B) having a concentration of about 1 × 10 14 cm −3 to 1 × 10 21 cm −3 is doped. For an N-type layer, phosphorus (P) is preferably doped at a concentration of 1 × 10 14 cm −3 to 1 × 10 21 cm −3 .
 第一層がSiGeエピタキシャル層であるとき、そのGe濃度は、20質量%以上であることが好ましく、40質量%以上であることがより好ましい。上限としては、100質量%以下が好ましく、90質量%以下がより好ましい。なお、ゲルマニウム100質量%の場合、そのアニールにより第二層の合金を伴って形成される層は、ゲルマニウムと第二層の特定金属元素を含み、シリコンを含まないが、本明細書では便宜上これを含めてゲルマニウムシリサイド層と称する。
(金属濃度)
 本発明において、ゲルマニウム等の金属の濃度は以下の測定方法で測定した値とする。特定の金属を含む層の基板をエッチングESCA(アルバックファイ製 Quantera)にて0~30nmまでの深さ方向を分析し、3~15nm分析結果における金属(Ge等)の濃度の平均値をその金属の濃度(質量%)とする。
When the first layer is a SiGe epitaxial layer, the Ge concentration is preferably 20% by mass or more, and more preferably 40% by mass or more. As an upper limit, 100 mass% or less is preferable, and 90 mass% or less is more preferable. In the case of 100% by mass of germanium, the layer formed by annealing with the alloy of the second layer contains germanium and the specific metal element of the second layer, and does not contain silicon. Is referred to as a germanium silicide layer.
(Metal concentration)
In the present invention, the concentration of a metal such as germanium is a value measured by the following measuring method. A substrate of a layer containing a specific metal is analyzed in the depth direction from 0 to 30 nm by etching ESCA (Quanta by ULVAC-PHI), and the average value of the concentration of metal (Ge, etc.) in the analysis result of 3 to 15 nm is calculated for the metal. Concentration (mass%).
 サリサイド工程を経て、シリサイド層が、上記シリコンもしくはゲルマニウム含有層(第一層)と金属層(第二層)との間に、シリコン(Si)もしくはゲルマニウム(Ge)および第二層の成分(上記特定金属種)を含有する層として形成される。このシリサイド層は広義には上記第一層に含まれるが、狭義にこれと区別して呼ぶとき「第三層」と言う。その組成は、特に限定されないが、ゲルマニウムを含有するゲルマニウムシリサイド層であることが好ましい。SixGeyMz(M:金属元素)の式で、x+y+z=1として、0.2≦x+y≦0.8であることが好ましく、0.3≦x+y≦0.7であることがより好ましい。zについては、0.2≦z≦0.8であることが好ましく、0.3≦z≦0.7であることがより好ましい。xとyとの比率の好ましい範囲は上記で規定したとおりである。ただし、第三層にはその他の元素が含まれていてもよい。そのことは、上記金属層(第二層)で述べたことと同様である。 Through the salicide process, the silicide layer is formed between the silicon or germanium-containing layer (first layer) and the metal layer (second layer), and silicon (Si) or germanium (Ge) and the components of the second layer (above It is formed as a layer containing a specific metal species). This silicide layer is included in the first layer in a broad sense, but is referred to as a “third layer” when distinguished from this in a narrow sense. Although the composition is not particularly limited, it is preferably a germanium silicide layer containing germanium. In the formula of SixGeyMz (M: metal element), x + y + z = 1 is preferably 0.2 ≦ x + y ≦ 0.8, and more preferably 0.3 ≦ x + y ≦ 0.7. z is preferably 0.2 ≦ z ≦ 0.8, and more preferably 0.3 ≦ z ≦ 0.7. A preferred range of the ratio of x and y is as defined above. However, the third layer may contain other elements. This is the same as described for the metal layer (second layer).
 半導体基板には、上記のシリサイド材料以外に、エッチングされることを望まない材料が存在することがある。本実施形態のエッチング液においては、エッチングされることを望まない材料の腐食などを最小限にすることが好ましい。エッチングされることを望まない材料(第四層)としては、Al,SiO,SiN,SiOC,HfO及びTiAlCからなる群より選ばれる少なくとも1種が挙げられる。とりわけ、TiAlC等の第四層はゲート電極23(図2)に適用されることがあり、第一層・第三層の保護とは別に、あるいはこれと同時に、第四層の材料を保護したエッチングが可能となることが好ましい。 In addition to the above-described silicide materials, there may be materials that are not desired to be etched in the semiconductor substrate. In the etching solution of this embodiment, it is preferable to minimize corrosion of a material that is not desired to be etched. Examples of the material (fourth layer) that is not desired to be etched include at least one selected from the group consisting of Al, SiO 2 , SiN, SiOC, HfO, and TiAlC. In particular, a fourth layer such as TiAlC may be applied to the gate electrode 23 (FIG. 2) to protect the material of the fourth layer separately from or simultaneously with the protection of the first layer and the third layer. It is preferable that etching is possible.
(MOSトランジスタの加工)
 図2は、MOSトランジスタの製造例を示す工程図である。(A)はMOSトランジスタ構造の形成工程、(B)は金属膜のスパッタ工程、(C)は1回目のアニール工程、(D)は金属膜の選択除去工程、(E)は2回目のアニール工程である。
 図に示すように、シリコン基板21の表面に形成されたゲート絶縁膜22を介してゲート電極23が形成されている。シリコン基板21のゲート電極23の両側にエクステンション領域が別途形成されていてもよい。ゲート電極23の上側に、Ti層との接触を防ぐ保護層(図示せず)が形成されていてもよい。さらに、シリコン酸化膜又はシリコン窒化膜からなるサイドウォール25が形成され、イオン注入によりソース領域26及びドレイン領域27が形成されている。
 次いで、図に示すように、Ti膜28が形成され、急速アニール処理が施される。これによって、Ti膜28中の元素をシリコン基板中に拡散させてシリサイド化(本明細書では、ゲルマニウム100質量%のときも含めて、便宜上、アニールによる合金化をシリサイド化と称する)させる。この結果、ソース電極26及びドレイン電極27の上部がシリサイド化されて、TiGeSiソース電極部26A及びTiSiGeドレイン電極部27Aが形成される。このとき、必要により、図2(E)に示したように2回目のアニールをすることにより電極部材を所望の状態(アニールされたシリサイドソース電極26B,アニールされたシリサイドドレイン電極27B)に変化させることができる。上記1回目と2回目のアニール温度は特に限定されないが、例えば、300~1100℃で行うことができる。
(Processing of MOS transistors)
FIG. 2 is a process diagram showing an example of manufacturing a MOS transistor. (A) is a MOS transistor structure formation process, (B) is a metal film sputtering process, (C) is a first annealing process, (D) is a metal film selective removal process, and (E) is a second annealing process. It is a process.
As shown in the figure, a gate electrode 23 is formed through a gate insulating film 22 formed on the surface of the silicon substrate 21. Extension regions may be separately formed on both sides of the gate electrode 23 of the silicon substrate 21. A protective layer (not shown) for preventing contact with the Ti layer may be formed on the gate electrode 23. Further, a sidewall 25 made of a silicon oxide film or a silicon nitride film is formed, and a source region 26 and a drain region 27 are formed by ion implantation.
Next, as shown in the figure, a Ti film 28 is formed and subjected to a rapid annealing process. As a result, the elements in the Ti film 28 are diffused into the silicon substrate for silicidation (in this specification, alloying by annealing is referred to as silicidation for the sake of convenience, including when germanium is 100% by mass). As a result, the upper portions of the source electrode 26 and the drain electrode 27 are silicided to form the TiGeSi source electrode portion 26A and the TiSiGe drain electrode portion 27A. At this time, if necessary, the electrode member is changed to a desired state (annealed silicide source electrode 26B, annealed silicide drain electrode 27B) by performing the second annealing as shown in FIG. be able to. Although the first and second annealing temperatures are not particularly limited, for example, the annealing can be performed at 300 to 1100 ° C.
 シリサイド化に寄与せずに残ったTi膜28は、本実施形態のエッチング液を用いることによって除去することができる(図2(C)、(D))。このとき、図示したものは大幅に模式化して示しており、シリサイド化された層(26A,27A)の上部に堆積して残るTi膜があってもなくてもよい。半導体基板ないしその製品の構造も簡略化して図示しており、必要に応じて、必要な部材があるものとして解釈すればよい。 The remaining Ti film 28 without contributing to silicidation can be removed by using the etching solution of the present embodiment (FIGS. 2C and 2D). At this time, what is shown in the figure is schematically shown, and there may or may not be a Ti film deposited and left on top of the silicided layers (26A, 27A). The structure of the semiconductor substrate or its product is also shown in a simplified manner, and may be interpreted as having necessary members as necessary.
 構成材料の好ましい例を挙げると下記のような形態を例示できる。
 21 シリコン基板:  Si,SiGe,Ge
 22 ゲート絶縁膜:  HfO(High-k)
 23 ゲート電極:   Al,W、TiAlC
 25 サイドウォール: SiOCN,SiN,SiO(low-k)
 26 ソース電極:   Si,SiGe,Ge
 27 ドレイン電極:  Si,SiGe,Ge
 28 金属層:  Ti
 図示せず キャップ:  TiN
 本発明のエッチング方法が適用される半導体基板を上述したが、この具体例に限らず、他の半導体基板にも適用できる。例えば、ソース及び/又はドレーン領域上にシリサイドパターンを有する高誘電膜/金属ゲートFinFETを含む半導体基板が挙げられる。
 上記図2の例では、シリサイド層(第一層、第三層)の保護を中心に説明したが、本発明がこれに限定して解釈されるものではない。例えば、シリサイド層の保護とは別に、TiAlC等の第四層の保護を実現しながら、Ti等の第二層のエッチングを実現するものであってもよい。
The following forms can be illustrated if the preferable example of a constituent material is given.
21 Silicon substrate: Si, SiGe, Ge
22 Gate insulating film: HfO 2 (High-k)
23 Gate electrode: Al, W, TiAlC
25 Side wall: SiOCN, SiN, SiO 2 (low-k)
26 Source electrode: Si, SiGe, Ge
27 Drain electrode: Si, SiGe, Ge
28 Metal layer: Ti
Not shown Cap: TiN
Although the semiconductor substrate to which the etching method of the present invention is applied has been described above, the present invention is not limited to this specific example and can be applied to other semiconductor substrates. For example, a semiconductor substrate including a high dielectric film / metal gate FinFET having a silicide pattern on the source and / or drain region may be used.
In the example of FIG. 2 described above, the description mainly focuses on the protection of the silicide layers (first layer and third layer), but the present invention is not construed as being limited thereto. For example, separately from the protection of the silicide layer, the etching of the second layer such as Ti may be realized while the protection of the fourth layer such as TiAlC is realized.
 図3は本発明の別の実施形態に係る基板構造を模式的に示す断面図である。90Aは、第1デバイス領域に位置する第1のゲートスタックである。90Bは、第2の素子領域に位置する第2のゲートスタックである。ここで、ゲートスタックは、導電性タンタル合金層またはTiAlCを含有する。第1のゲートスタックについて説明すると、92Aはウェルである。94Aが第1ソース/ドレイン拡張領域、96Aが第1ソース/ドレイン領域、91Aが第一の金属半導体合金部分である。95Aが第1ゲートスペーサである。97Aが第1のゲート絶縁膜であり、81が第1仕事関数材料層(first work function material layer)、82Aが第2仕事関数材料層(second work function material layer)である。83Aが電極となる第1の金属部である。93はトレンチ構造部であり、99は平坦化誘電体層である。80は下層半導体層である。
 第1のゲートスタックも同様の構造であり、その91B、92B、94B、95B、96B、97B、82B、83Bがそれぞれ、第1のゲートスタックの91A、92A、94A、95A、96A、97A、82A、83Aに対応する。両者の構造上の相違点を挙げると、第1のゲートスタックには、第1仕事関数材料層81があるが、第2のゲートスタックにはそれが設けられていない。
 仕事関数材料層は、p型の仕事関数材料層およびn型の仕事関数材料層のいずれであってもよい。p型仕事関数材料は、シリコンの価電子帯エネルギー準位とミッドバンドギャップエネルギー準位の間にある仕事関数を有する材料を指す。すなわち、シリコンのエネルギー準位において、伝導帯のエネルギー準位と価電子帯エネルギーレベルとが等価に分離されているものである。n型仕事関数材料は、シリコンの伝導帯のエネルギー準位とシリコンのミッドバンドギャップエネルギー準位との間に仕事関数を有する材料を指す。
FIG. 3 is a cross-sectional view schematically showing a substrate structure according to another embodiment of the present invention. 90A is a first gate stack located in the first device region. Reference numeral 90B denotes a second gate stack located in the second element region. Here, the gate stack contains a conductive tantalum alloy layer or TiAlC. The first gate stack will be described. 92A is a well. 94A is a first source / drain extension region, 96A is a first source / drain region, and 91A is a first metal semiconductor alloy portion. Reference numeral 95A denotes a first gate spacer. 97A is a first gate insulating film, 81 is a first work function material layer (81), and 82A is a second work function material layer (second work function material layer). Reference numeral 83A denotes a first metal portion that serves as an electrode. 93 is a trench structure, and 99 is a planarizing dielectric layer. Reference numeral 80 denotes a lower semiconductor layer.
The first gate stack has the same structure, and 91B, 92B, 94B, 95B, 96B, 97B, 82B, 83B are 91A, 92A, 94A, 95A, 96A, 97A, 82A of the first gate stack, respectively. , 83A. As a structural difference between the two, the first gate stack has a first work function material layer 81, but the second gate stack is not provided with it.
The work function material layer may be either a p-type work function material layer or an n-type work function material layer. A p-type work function material refers to a material having a work function between the valence band energy level and the mid band gap energy level of silicon. That is, in the energy level of silicon, the energy level of the conduction band and the valence band energy level are equivalently separated. An n-type work function material refers to a material having a work function between the energy level of the conduction band of silicon and the mid band gap energy level of silicon.
 仕事関数材料層の材料は、導電性タンタル合金層またはTiAlCが好ましい。導電性タンタル合金層は、(i)タンタルとアルミニウムとの合金、(ii)タンタルおよび炭素の合金、(iii)タンタル、アルミニウム、及び炭素の合金から選択された材料を含むことができる。TiAlCは、チタンとアルミニウムと炭素を含む材料である。
(i)TaAl
 タンタルとアルミニウムとの合金において、タンタルの原子濃度は10%~99%とすることができる。アルミニウムの原子濃度は1%~90%とすることができる。
(ii)TaC
 タンタルと炭素の合金において、タンタルの原子濃度は20%~80%とすることができる。炭素の原子濃度は、20%~80%とすることができる。
(iii)TaAlC
 タンタル、アルミニウム、及び炭素の合金において、タンタルの原子濃度は15%~80%とすることができる。アルミニウムの原子濃度は1%~60%とすることができる。炭素の原子濃度は15%~80%とすることができる。
 別の実施形態では、仕事関数材料層を、(iv)窒化チタンから本質的になる窒化チタン層あるは、(v)チタンとアルミニウムと炭素の合金の層とすることができる。
(iv)TiN
 窒化チタン層において、チタンの原子濃度は30%~90%とすることができる。窒素の原子濃度は、10%~70%とすることができる。
(v)TiAlC
 チタンとアルミニウムと炭素の合金の層において、チタンの原子濃度は15%~45%とすることができる。アルミニウムの原子濃度は、5%~40%とすることができる。炭素の原子濃度は、5%~50%とすることができる。
 上記仕事関数材料層は、原子層堆積(ALD)、物理蒸着(PVD)、または化学蒸着(CVD)等により形成することができる。仕事関数材料層はゲート電極を覆うように形成されることが好ましく、その膜厚は100nm以下が好ましく、50nm以下がより好ましく、1nm~10nmがさらに好ましい。
 中でも、本発明においては、エッチングの選択性が好適に発現される観点から、TiAlCの層が採用された基板を適用することが好ましい。
The material of the work function material layer is preferably a conductive tantalum alloy layer or TiAlC. The conductive tantalum alloy layer can comprise a material selected from (i) an alloy of tantalum and aluminum, (ii) an alloy of tantalum and carbon, (iii) an alloy of tantalum, aluminum, and carbon. TiAlC is a material containing titanium, aluminum, and carbon.
(I) TaAl
In an alloy of tantalum and aluminum, the atomic concentration of tantalum can be 10% to 99%. The atomic concentration of aluminum can be 1% to 90%.
(Ii) TaC
In an alloy of tantalum and carbon, the atomic concentration of tantalum can be 20% to 80%. The atomic concentration of carbon can be 20% to 80%.
(Iii) TaAlC
In an alloy of tantalum, aluminum, and carbon, the atomic concentration of tantalum can be 15% to 80%. The atomic concentration of aluminum can be 1% to 60%. The atomic concentration of carbon can be 15% to 80%.
In another embodiment, the work function material layer can be (iv) a titanium nitride layer consisting essentially of titanium nitride or (v) a layer of titanium, aluminum and carbon alloy.
(Iv) TiN
In the titanium nitride layer, the atomic concentration of titanium can be 30% to 90%. The atomic concentration of nitrogen can be 10% to 70%.
(V) TiAlC
In the titanium / aluminum / carbon alloy layer, the atomic concentration of titanium can be 15% to 45%. The atomic concentration of aluminum can be 5% to 40%. The atomic concentration of carbon can be 5% to 50%.
The work function material layer can be formed by atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), or the like. The work function material layer is preferably formed so as to cover the gate electrode, and the film thickness is preferably 100 nm or less, more preferably 50 nm or less, and further preferably 1 nm to 10 nm.
Among them, in the present invention, it is preferable to apply a substrate in which a TiAlC layer is employed from the viewpoint of suitably exhibiting etching selectivity.
 本実施形態の素子において、ゲート誘電体層は、金属と酸素とを含むhigh-k材料からなる。high-kゲート誘電体材料としては、公知のものを使用することができる。その膜は通常の方法によって堆積させることができる。例えば、化学蒸着(CVD)、物理蒸着(PVD)、分子線蒸着法(MBD)、パルスレーザー蒸着(PLD、液体原料ミスト化学堆積(LSMCD)、原子層堆積(ALD)などが挙げられる。典型的なhigh-k誘電体材料としては、HfO、ZrO、La、Al、TiO、SrTiO、LaAlO、Y、HfO、ZrO、La、Al、TiO、SrTiO、LaAlO、Yなどが挙げられる。xは0.5~3であり、yは0~2である。ゲート誘電体層の厚さは、0.9~6nmであることが好ましく、1~3nmがより好ましい。なかでも、ゲート誘電体層が酸化ハフニウム(HfO2)からなることが好ましい。
 その他の部材や構造は適宜通常の材料により常法によって形成することができる。その詳細については、米国公開第2013/0214364号、米国公開第2013/0341631号を参照することができ、本発明に引用して取り込む(incorporate by reference)。
In the device of the present embodiment, the gate dielectric layer is made of a high-k material containing a metal and oxygen. As the high-k gate dielectric material, known materials can be used. The film can be deposited by conventional methods. Examples include chemical vapor deposition (CVD), physical vapor deposition (PVD), molecular beam vapor deposition (MBD), pulsed laser vapor deposition (PLD, liquid source mist chemical deposition (LSMCD), atomic layer deposition (ALD), and the like. Examples of high-k dielectric materials include HfO 2 , ZrO 2 , La 2 O 3 , Al 2 O 3 , TiO 2 , SrTiO 3 , LaAlO 3 , Y 2 O 3 , HfO x N y , ZrO x N y , La 2 O x N y , Al 2 O x N y , TiO x N y , SrTiO x N y , LaAlO x N y , Y 2 O x N y, etc., where x is 0.5-3. y is 0 to 2. The thickness of the gate dielectric layer is preferably 0.9 to 6 nm, more preferably 1 to 3 nm, and in particular, the gate dielectric layer is made of hafnium oxide (HfO 2). It is preferable Ranaru.
Other members and structures can be appropriately formed by ordinary methods using ordinary materials. For details thereof, reference can be made to US Publication No. 2013/0214364 and US Publication No. 2013/0341631, which are incorporated herein by reference.
 本発明の好ましい実施形態に係るエッチング液によれば、上述したような仕事関数材料層(TiAlC)が露出した基板であっても、その層の損傷を抑制しつつ、効果的にシリサイド金属(Ni,Pt,Ti等)を除去することができる。 According to the etching solution according to a preferred embodiment of the present invention, even if the work function material layer (TiAlC) as described above is exposed, the silicide metal (Ni) is effectively suppressed while suppressing damage to the layer. , Pt, Ti, etc.) can be removed.
[エッチング液]
(化合物P)
 本実施形態の半導体プロセス用のエッチング液は、複数の吸着基を有し重量平均分子量1000以上の化合物P(P1)、または複数の吸着基を有し立体反発部位を有する化合物P(P2)を含有する。複数の吸着基を有し、重量平均分子量1000以上の化合物Pは、エッチング液における金属溶解成分の性能を低下させずに、所望の金属の溶解を抑制する。かかる機能に基づき、この化合物Pを金属防食剤と呼び、これを含む組成物を金属防食組成物と呼ぶことがある。このような効果が得られる理由は定かではないが、エッチング液が金属と接触する際に、化合物Pの吸着基が所定の金属に吸着する。この吸着基が複数存在することで、金属との密着性が向上する。一方、重量平均分子量1000以上の構造や立体反発部位が存在することで、それらが所定の金属の表面を覆い、金属溶解成分の接触を妨げ、所望の金属の溶解を抑制すると推定される。
 化合物Pは、特に、Alの防食効果に優れる。化合物Pとしては、下記の式(I)で示されるものが好ましい。その重量平均分子量は3000以上がより好ましく、5000以上が特に好ましい。
   (A)n-P  ・・・ (I)
 Aは吸着基である。nは2以上の整数である。Pは重量平均分子量1,000以上の有機化合物(高分子化合物)の残基であり、立体反発部位でもある。重量平均分子量は上記のとおり5,000以上が好ましく、7,000以上がより好ましい。上限値は好ましくは100,000程度であるが、1万以下がより好ましい。分子量が小さいと金属の溶解の抑制効果が不十分となる傾向があり、分子量が大きいと、エッチングの不具合を生じる懸念がある。
 Pは下記のP1で定義される高分子化合物の残基であることが好ましい。
[Etching solution]
(Compound P)
The etching solution for the semiconductor process of this embodiment includes a compound P (P1) having a plurality of adsorbing groups and a weight average molecular weight of 1000 or more, or a compound P (P2) having a plurality of adsorbing groups and a steric repulsion site. contains. The compound P having a plurality of adsorbing groups and having a weight average molecular weight of 1000 or more suppresses the dissolution of a desired metal without degrading the performance of the metal-dissolving component in the etching solution. Based on this function, the compound P is sometimes referred to as a metal anticorrosive and a composition containing the compound P is sometimes referred to as a metal anticorrosive composition. The reason why such an effect is obtained is not clear, but when the etching solution comes into contact with the metal, the adsorption group of the compound P is adsorbed on the predetermined metal. The presence of a plurality of these adsorbing groups improves the adhesion with the metal. On the other hand, it is presumed that the presence of a structure having a weight average molecular weight of 1000 or more or a steric repulsion site covers the surface of a predetermined metal, prevents contact with a metal-dissolving component, and suppresses dissolution of a desired metal.
Compound P is particularly excellent in the anticorrosive effect of Al. As the compound P, those represented by the following formula (I) are preferable. The weight average molecular weight is more preferably 3000 or more, and particularly preferably 5000 or more.
(A) n-P a (I)
A is an adsorbing group. n is an integer of 2 or more. Pa is a residue of an organic compound (polymer compound) having a weight average molecular weight of 1,000 or more, and is also a steric repulsion site. As described above, the weight average molecular weight is preferably 5,000 or more, and more preferably 7,000 or more. The upper limit is preferably about 100,000, but more preferably 10,000 or less. If the molecular weight is small, the effect of suppressing the dissolution of the metal tends to be insufficient, and if the molecular weight is large, there is a concern of causing etching defects.
P a is preferably a residue of a polymer compound as defined in P1 below.
 化合物Pとしては、下記の式(II)で示される部分構造を有する化合物も好ましい。その重量平均分子量は3000以上がより好ましく、5000以上が特に好ましい。
   -(B-Q)- (II)
 Bは吸着基を有する繰り返し単位である。吸着基は上記式(I)と同義である。mは2以上の整数である。Qは重量平均分子量1000以上の有機化合物残基を含む繰り返し単位である。高分子化合物残基の構造は式(I)と同様である。
As the compound P, a compound having a partial structure represented by the following formula (II) is also preferable. The weight average molecular weight is more preferably 3000 or more, and particularly preferably 5000 or more.
-(BQ) m- (II)
B is a repeating unit having an adsorbing group. The adsorbing group has the same meaning as in the above formula (I). m is an integer of 2 or more. Q is a repeating unit containing an organic compound residue having a weight average molecular weight of 1000 or more. The structure of the polymer compound residue is the same as in formula (I).
分子量の定義(1)
 本発明においてポリマーの分子量については、特に断らない限り、重量平均分子量をいい、ゲルパーミエーションクロマトグラフィー(GPC)によって標準ポリスチレン換算の重量平均分子量を計測する。重量平均分子量の測定は、HPC-8220GPC(東ソー製)、ガードカラム:TSKguardcolumn SuperHZ-L、カラム:TSKgel SuperHZM-M、TSKgel SuperHZ4000、TSKgel SuperHZ3000、TSKgel SuperHZ2000を直結し、カラム温度40℃、試料濃度0.1質量%のテトラヒドロフラン溶液を10μl注入し、溶出溶媒としてテトラヒドロフランを毎分0.35mlの流量でフローさせ、RI検出装置にて試料ピークを検出することで行った。標準ポリスチレンを用いて作製した検量線を用いて計算した。
 また上記化合物は、Aは吸着基であり、nは2以上の整数であり、Pは疎水性基(高分子)であってもよい。疎水性基としては、ClogPが3以上であるものが好ましく、10以上であるものがより好ましく、100以下であるものが好ましい。吸着基Aは、下記置換基Aであることが特に好ましい。
Definition of molecular weight (1)
In the present invention, the molecular weight of the polymer means the weight average molecular weight unless otherwise specified, and the weight average molecular weight in terms of standard polystyrene is measured by gel permeation chromatography (GPC). The weight average molecular weight was measured by HPC-8220GPC (manufactured by Tosoh Corporation), guard column: TSKguardcolumn SuperHZ-L, column: TSKgel SuperHZM-M, TSKgel SuperHZ4000, TSKgel SuperHZ3000, TSKgel Z 10 μl of a 1 mass% tetrahydrofuran solution was injected, tetrahydrofuran as an elution solvent was allowed to flow at a flow rate of 0.35 ml / min, and the sample peak was detected with an RI detector. Calculation was performed using a calibration curve prepared using standard polystyrene.
In the above compound, A may be an adsorption group, n may be an integer of 2 or more, and P may be a hydrophobic group (polymer). As the hydrophobic group, those having ClogP of 3 or more are preferable, those having 10 or more are more preferable, and those having 100 or less are preferable. Adsorptive group A is particularly preferably the following substituent A 1.
 上記式(I)で表される化合物Pとして、より好ましくは、式(1)で表される高分子化合物が好ましく挙げられる。 More preferably, the compound P represented by the above formula (I) is preferably a polymer compound represented by the formula (1).
Figure JPOXMLDOC01-appb-C000001
Figure JPOXMLDOC01-appb-C000001
 Aは、酸基、塩基性窒素原子を有する基、ウレア基、ウレタン基、配位性酸素原子を有する基、フェノール基、アルキル基、アリール基、アルキレンオキシ鎖を有する基、イミド基、アルキルオキシカルボニル基、アルキルアミノカルボニル基、カルボン酸塩基、スルホンアミド基、アルコキシシリル基、エポキシ基、イソシアネート基、ヒドロキシル基、及び複素環基から選ばれる少なくとも1つの基を有する基を表す。Aは特定の金属に対する吸着能を有する基として機能することが好ましい。同一の化合物中に存在するAは、同一でも異なっていてもよい。
 Rは、(m+n)価の連結基を表し、Rは単結合又は2価の連結基を表す。
 mは8以下の正の数、nは1~9を表し、m+nは3~10を満たす。
 Pはポリマー鎖を表す。m個のPは、同一であっても、異なっていてもよい。
A 1 is an acid group, a group having a basic nitrogen atom, a urea group, a urethane group, a group having a coordinating oxygen atom, a phenol group, an alkyl group, an aryl group, a group having an alkyleneoxy chain, an imide group, an alkyl group This represents a group having at least one group selected from an oxycarbonyl group, an alkylaminocarbonyl group, a carboxylate group, a sulfonamide group, an alkoxysilyl group, an epoxy group, an isocyanate group, a hydroxyl group, and a heterocyclic group. A 1 preferably functions as a group having an adsorption ability for a specific metal. A 1 present in the same compound may be the same or different.
R 1 represents a (m + n) -valent linking group, and R 2 represents a single bond or a divalent linking group.
m represents a positive number of 8 or less, n represents 1 to 9, and m + n satisfies 3 to 10.
P 1 represents a polymer chain. The m P 1 may be the same or different.
 Aの中に2個以上の吸着部位が含まれていてもよい。その実施態様としては、鎖状飽和炭化水素基(直鎖状でも分岐状であってもよく、炭素数1~10であることが好ましい)、環状飽和炭化水素基(炭素数3~10であることが好ましい)、芳香族基(炭素数5~10であることが好ましく、例えば、フェニレン基)等を介して2個以上の吸着部位が結合した基が挙げられる。なかでも、鎖状飽和炭化水素基を介して2個以上の吸着部位が結合する態様が好ましい。なお、吸着部位自体が1価の置換基を構成する場合には、吸着部位そのものがAで表される1価の置換基であってもよい。 It may contain two or more adsorption sites within the A 1. As embodiments thereof, a linear saturated hydrocarbon group (which may be linear or branched and preferably having 1 to 10 carbon atoms), a cyclic saturated hydrocarbon group (having 3 to 10 carbon atoms) And a group in which two or more adsorption sites are bonded via an aromatic group (preferably having 5 to 10 carbon atoms, for example, a phenylene group) or the like. Among these, an embodiment in which two or more adsorption sites are bonded via a chain saturated hydrocarbon group is preferable. In the case where adsorption sites themselves constitute a monovalent substituent, adsorption sites themselves may also be a monovalent substituent represented by A 1.
 上記「酸基」としては、例えば、カルボキシル基、スルホン酸基、モノ硫酸エステル基、リン酸基、モノリン酸エステル基、ホスホン酸基、ホスフィン酸基、ホウ酸基が好ましく、カルボキシル基、スルホン酸基、モノ硫酸エステル基、リン酸基、モノリン酸エステル基、ホスホン酸基、ホスフィン酸基がより好ましく、カルボキシル基が特に好ましい。
 「ウレア基」としては、例えば、-NRCONR が好ましい例として挙げられ、-NRCONHRがより好ましく、-NHCONHRが特に好ましい。
 「ウレタン基」としては、例えば、-NHCOOR、-NRCOOR、-OCONHR、-OCONR などが好ましい例として挙げられ、-NHCOOR、-OCONHRなどがより好ましく、-NHCOOR、-OCONHRなどが特に好ましい。ここで、Rは下記の定義による。
 「配位性酸素原子を有する基」としては、例えば、アセチルアセトナト基、クラウンエーテルなどが挙げられる。
 「塩基性窒素原子を有する基」としては、例えば、アミノ基(-NH)、置換イミノ基(-NHR、-NR )、下記式(a1)で表されるグアニジル基、下記式(a2)で表されるアミジニル基などが好ましい例として挙げられる。
As the “acid group”, for example, a carboxyl group, a sulfonic acid group, a monosulfate group, a phosphoric acid group, a monophosphate group, a phosphonic acid group, a phosphinic acid group, and a boric acid group are preferable. Group, monosulfate group, phosphoric acid group, monophosphate group, phosphonic acid group and phosphinic acid group are more preferred, and carboxyl group is particularly preferred.
As the “urea group”, for example, —NR N CONR N 2 is mentioned as a preferred example, —NR N CONHR N is more preferred, and —NHCONHR N is particularly preferred.
Examples of the “urethane group” include —NHCOOR N , —NR N COOR N , —OCONHR N , —OCONR N 2 and the like, and —NHCOOR N and —OCONHR N are more preferable, and —NHCOOR N , —OCONHR N and the like are particularly preferable. Here, RN is defined as follows.
Examples of the “group having a coordinating oxygen atom” include an acetylacetonato group and a crown ether.
Examples of the “group having a basic nitrogen atom” include an amino group (—NH 2 ), a substituted imino group (—NHR N , —NR N 2 ), a guanidyl group represented by the following formula (a1), Preferred examples include an amidinyl group represented by (a2).
Figure JPOXMLDOC01-appb-C000002
Figure JPOXMLDOC01-appb-C000002
 式中、Rは各々独立に、炭素数1~20のアルキル基、炭素数6~14のアリール基、又は、炭素数7~15のアラルキル基を表す。なかでも炭素数1~10のアルキル基、炭素数6~10のアリール基、又は、炭素数7~11のアラルキル基が好ましい。炭素数1~5のアルキル基、フェニル基、又は、ベンジル基がより好ましい。 Wherein, R N are each independently an alkyl group having 1 to 20 carbon atoms, an aryl group having 6 to 14 carbon atoms, or an aralkyl group having 7 to 15 carbon atoms. Of these, an alkyl group having 1 to 10 carbon atoms, an aryl group having 6 to 10 carbon atoms, or an aralkyl group having 7 to 11 carbon atoms is preferable. An alkyl group having 1 to 5 carbon atoms, a phenyl group, or a benzyl group is more preferable.
 これらの中でも、アミノ基(-NH)、置換イミノ基(-NHR、-NR )、式(a1)で表されるグアニジル基、式(a2)で表されるアミジニル基などがより好ましい。 Among these, an amino group (—NH 2 ), a substituted imino group (—NHR N , —NR N 2 ), a guanidyl group represented by the formula (a1), an amidinyl group represented by the formula (a2) and the like are more preferable. preferable.
 「アルキル基」としては、直鎖状であっても、分岐状であってもよく、炭素数1~40のアルキル基であることが好ましく、炭素数4~30のアルキル基であることがより好ましく、炭素数10~18のアルキル基であることが更に好ましい。
 「アリール基」としては、炭素数6~10のアリール基であることが好ましい。
 「アルキレンオキシ鎖を有する基」としては、末端がアルキルオキシ基又はヒドロキシル基を形成していることが好ましく、炭素数1~20のアルキルオキシ基を形成していることがより好ましい。また、アルキレンオキシ鎖としては、少なくとも1つのアルキレンオキシ基を有する限り特に制限はないが、炭素数1~6のアルキレンオキシ基であることが好ましい。アルキレンオキシ基としては、例えば、-(Rmc-が挙げられる。Rは炭素数1~3のアルキレン基が好ましく、2または3のアルキレン基がより好ましい。mcは1~6が好ましく、1~3がより好ましい。
 「アルキルオキシカルボニル基」におけるアルキル基部分としては、炭素数1~20のアルキル基であることが好ましい。
 「アルキルアミノカルボニル基」におけるアルキル基部分としては、炭素数1~20のアルキル基であることが好ましい。
 「カルボン酸塩基」としては、カルボン酸のアンモニウム塩からなる基などが挙げられる。
 「スルホンアミド基」としては、窒素原子に結合する水素原子がアルキル基(メチル基等)、アシル基(アセチル基、トリフルオロアセチル基など)等で置換されていてもよい。
The “alkyl group” may be linear or branched and is preferably an alkyl group having 1 to 40 carbon atoms, more preferably an alkyl group having 4 to 30 carbon atoms. An alkyl group having 10 to 18 carbon atoms is more preferable.
The “aryl group” is preferably an aryl group having 6 to 10 carbon atoms.
As the “group having an alkyleneoxy chain”, the terminal preferably forms an alkyloxy group or a hydroxyl group, and more preferably an alkyloxy group having 1 to 20 carbon atoms. The alkyleneoxy chain is not particularly limited as long as it has at least one alkyleneoxy group, but is preferably an alkyleneoxy group having 1 to 6 carbon atoms. Examples of the alkyleneoxy group include — (R C ) mc —. R C is preferably an alkylene group having 1 to 3 carbon atoms, an alkylene group having 2 or 3 is more preferable. mc is preferably 1 to 6, and more preferably 1 to 3.
The alkyl group moiety in the “alkyloxycarbonyl group” is preferably an alkyl group having 1 to 20 carbon atoms.
The alkyl group moiety in the “alkylaminocarbonyl group” is preferably an alkyl group having 1 to 20 carbon atoms.
Examples of the “carboxylic acid group” include groups composed of ammonium salts of carboxylic acids.
In the “sulfonamide group”, a hydrogen atom bonded to a nitrogen atom may be substituted with an alkyl group (such as a methyl group), an acyl group (such as an acetyl group or a trifluoroacetyl group), and the like.
 「複素環基」としては、例えば、チオフェン基、フラン基、キサンテン基、ピロール基、ピロリン基、ピロリジン基、ジオキソラン基、ピラゾール基、ピラゾリン基、ピラゾリジン基、イミダゾール基、オキサゾール基、チアゾール基、オキサジアゾール基、トリアゾール基、チアジアゾール基、ピラン基、ピリジン基、ピペリジン基、ジオキサン基、モルホリン基、ピリダジン基、ピリミジン基、ピペラジン基、トリアジン基、トリチアン基、イソインドリン基、イソインドリノン基、ベンズイミダゾロン基、ベンゾチアゾール基、コハクイミド基、フタルイミド基、ナフタルイミド基等のイミド基、ヒダントイン基、インドール基、キノリン基、カルバゾール基、アクリジン基、アクリドン基、アントラキノン基が好ましい例として挙げられる。なお、アントラキノン基を含む環状ケトンは複素環に含まれるものとする。
 「イミド基」としては、コハクイミド、フタルイミド、ナフタルイミド等が挙げられる。
Examples of the “heterocyclic group” include thiophene group, furan group, xanthene group, pyrrole group, pyrroline group, pyrrolidine group, dioxolane group, pyrazole group, pyrazoline group, pyrazolidine group, imidazole group, oxazole group, thiazole group, oxalate group. Diazole, triazole, thiadiazole, pyran, pyridine, piperidine, dioxane, morpholine, pyridazine, pyrimidine, piperazine, triazine, trithiane, isoindoline, isoindolinone, benz Preferred examples include imidazolone groups, benzothiazole groups, succinimide groups, phthalimide groups, naphthalimide groups and other imide groups, hydantoin groups, indole groups, quinoline groups, carbazole groups, acridine groups, acridone groups, and anthraquinone groups. It is. In addition, the cyclic ketone containing an anthraquinone group shall be contained in a heterocyclic ring.
Examples of the “imide group” include succinimide, phthalimide, naphthalimide and the like.
 なお、「複素環基」及び「イミド基」は、更に置換基を有していてもよく、上記置換基としては、例えば、炭素数1~20のアルキル基、炭素数6~16のアリール基、ヒドロキシル基、アミノ基、カルボキシル基、スルホンアミド基、N-スルホニルアミド基、アセトキシ基等の炭素数1~6のアシルオキシ基、メトキシ基、エトキシ基等の炭素数1~20のアルコキシ基、ハロゲン原子、メトキシカルボニル基、エトキシカルボニル基、シクロヘキシルオキシカルボニル基等の炭素数2~7のアルコキシカルボニル基、シアノ基、t-ブチルカーボネート等の炭酸エステル基等が挙げられる。 The “heterocyclic group” and the “imide group” may further have a substituent. Examples of the substituent include an alkyl group having 1 to 20 carbon atoms and an aryl group having 6 to 16 carbon atoms. An acyloxy group having 1 to 6 carbon atoms such as a hydroxyl group, amino group, carboxyl group, sulfonamido group, N-sulfonylamide group and acetoxy group, an alkoxy group having 1 to 20 carbon atoms such as methoxy group and ethoxy group, halogen Examples thereof include C2-C7 alkoxycarbonyl groups such as atoms, methoxycarbonyl groups, ethoxycarbonyl groups, and cyclohexyloxycarbonyl groups, cyano groups, and carbonate ester groups such as t-butyl carbonate.
 「アルコキシシリル基」としては、モノアルコキシシリル基、ジアルコキシシリル基、トリアルコキシシリル基のいずれでもよいが、トリアルコキシシリル基であることが好ましく、例えば、トリメトキシシリル基、トリエトキシシリル基などが挙げられる。
 「エポキシ基」としては、置換又は無置換のオキシラニル基(エチレンオキシド基)が挙げられる。
The “alkoxysilyl group” may be any of monoalkoxysilyl group, dialkoxysilyl group, trialkoxysilyl group, but is preferably trialkoxysilyl group, such as trimethoxysilyl group, triethoxysilyl group, etc. Is mentioned.
Examples of the “epoxy group” include a substituted or unsubstituted oxiranyl group (ethylene oxide group).
 特に、Aは、pKa5以上の官能基を少なくとも1種有する1価の置換基であることが好ましく、pKa5~14の官能基を少なくとも1種有する1価の置換基であることがより好ましい。
 ここでいう「pKa」とは、化学便覧(II)(改訂4版、1993年、日本化学会編、丸善株式会社)に記載されている定義のものである。
 上記pKa5以上の官能基としては、配位性酸素原子を有する基、塩基性窒素原子を有する基、フェノール基、ウレア基、ウレタン基、アルキル基、アリール基、アルキルオキシカルボニル基、アルキルアミノカルボニル基、アルキレンオキシ鎖を有する基、イミド基、カルボン酸塩基、スルホンアミド基、ヒドロキシル基、複素環基等が挙げられる。
 あるいは、ACD/Labs(Advanced Chemistry Development社製)等を用いて算出した値を用いることができる。
 pKa5以上の官能基として具体的には、例えば、フェノール基(pKa 8~10程度)、アルキル基(pKa 46~53程度)、アリール基(pKa 40~43程度)、ウレア基(pKa 12~14程度)、ウレタン基(pKa 11~13程度)、配位性酸素原子としての-COCHCO-(pKa 8~10程度)、スルホンアミド基(pKa 9~11程度)、ヒドロキシル基(pKa 15~17程度)、複素環基(pKa 12~30程度)等が挙げられる。
In particular, A 1 is preferably a monovalent substituent having at least one functional group of pKa5 or higher, and more preferably a monovalent substituent having at least one functional group of pKa5 to 14.
Here, “pKa” has the definition described in Chemical Handbook (II) (4th revised edition, 1993, edited by The Chemical Society of Japan, Maruzen Co., Ltd.).
The functional group having a pKa of 5 or more includes a group having a coordinating oxygen atom, a group having a basic nitrogen atom, a phenol group, a urea group, a urethane group, an alkyl group, an aryl group, an alkyloxycarbonyl group, and an alkylaminocarbonyl group. A group having an alkyleneoxy chain, an imide group, a carboxylate group, a sulfonamide group, a hydroxyl group, a heterocyclic group, and the like.
Alternatively, a value calculated using ACD / Labs (manufactured by Advanced Chemistry Development) or the like can be used.
Specific examples of the functional group having a pKa of 5 or more include, for example, a phenol group (about pKa 8 to 10), an alkyl group (about pKa 46 to 53), an aryl group (about pKa 40 to 43), and a urea group (pKa 12 to 14). About), urethane group (about pKa 11 to 13), —COCH 2 CO— as a coordinating oxygen atom (about pKa 8 to 10), sulfonamide group (about pKa 9 to 11), hydroxyl group (pKa 15 to 17), a heterocyclic group (pKa 12-30), and the like.
 上記の中では、Aとして、酸基、ヒドロキシフェニル基、アルキル基、アリール基、アルキレンオキシ鎖を有する基、ヒドロキシル基、ウレア基、ウレタン基、スルホンアミド基、イミド基及び配位性酸素原子を有する基よりなる群から選択される基を少なくとも1種有する1価の置換基であることが好ましい。Aとしては中でも、酸基、ヒドロキシフェニル基、またはヒドロキシル基がより好ましく、酸基(特にカルボキシル基)が特に好ましい。 Among the above, as A 1, group, hydroxyphenyl group, an alkyl group, an aryl group, an alkyleneoxy chain groups having a hydroxyl group, a urea group, a urethane group, a sulfonamido group, an imido group and coordinating oxygen atom It is preferable that it is a monovalent substituent having at least one group selected from the group consisting of groups containing Among them as A 1, group, hydroxyphenyl group or more preferably a hydroxyl group, an acid group (in particular carboxyl groups) it is particularly preferred.
 式(1)中、Rは単結合又は2価の連結基を表す。n個のRは、同一であっても、異なっていてもよい。Rで表される2価の連結基としては、1~100個までの炭素原子、0個~10個までの窒素原子、0個~50個までの酸素原子、1個~200個までの水素原子、及び0個~20個までの硫黄原子から成り立つ基が含まれ、無置換でも置換基を更に有していてもよい。 In formula (1), R 2 represents a single bond or a divalent linking group. n R 2 may be the same or different. The divalent linking group represented by R 2 includes 1 to 100 carbon atoms, 0 to 10 nitrogen atoms, 0 to 50 oxygen atoms, and 1 to 200 carbon atoms. A group consisting of a hydrogen atom and 0 to 20 sulfur atoms is included, which may be unsubstituted or may further have a substituent.
 Rとしては、単結合、又は、1~10個までの炭素原子、0個~5個までの窒素原子、0個~10個までの酸素原子、1個~30個までの水素原子、及び0個~5個までの硫黄原子から成り立つ2価の連結基が好ましい。
 Rとしては、鎖状飽和炭化水素基(直鎖状でも分岐状であってもよく、炭素数1~20であることが好ましい)、環状飽和炭化水素基(炭素数3~20であることが好ましい)、芳香族基(炭素数5~20であることが好ましく、例えば、フェニレン基)、チオエーテル結合、エステル結合、アミド結合、エーテル結合、窒素原子、及びカルボニル基よりなる群から選択される基、或いはこれらの2つ以上を組み合わせた基がより好ましく、鎖状飽和炭化水素基、環状飽和炭化水素基、芳香族基、チオエーテル結合、エステル結合、エーテル結合、及びアミド結合よりなる群から選択される基、或いはこれらの2つ以上を組み合わせた基が更に好ましく、鎖状飽和炭化水素基、チオエーテル結合、エステル結合、エーテル結合、及びアミド結合よりなる群から選択される基、或いはこれらの2つ以上を組み合わせた基が特に好ましい。
R 2 is a single bond or 1 to 10 carbon atoms, 0 to 5 nitrogen atoms, 0 to 10 oxygen atoms, 1 to 30 hydrogen atoms, and A divalent linking group consisting of 0 to 5 sulfur atoms is preferred.
R 2 includes a chain saturated hydrocarbon group (which may be linear or branched, preferably having 1 to 20 carbon atoms), or a cyclic saturated hydrocarbon group (having 3 to 20 carbon atoms). Selected from the group consisting of an aromatic group (preferably having 5 to 20 carbon atoms, such as a phenylene group), a thioether bond, an ester bond, an amide bond, an ether bond, a nitrogen atom, and a carbonyl group. More preferably a group or a combination of two or more of these, selected from the group consisting of a chain saturated hydrocarbon group, a cyclic saturated hydrocarbon group, an aromatic group, a thioether bond, an ester bond, an ether bond, and an amide bond Or a combination of two or more of these, a chain saturated hydrocarbon group, a thioether bond, an ester bond, an ether bond, and an amide A group selected from the group consisting of a bond, or a group obtained by combining two or more of these is particularly preferable.
 上記のうち、Rで表される2価の連結基が置換基を有する場合、置換基としては、例えば、炭素数1~20のアルキル基、炭素数6~16のアリール基、ヒドロキシル基、アミノ基、カルボキシル基、スルホンアミド基、N-スルホニルアミド基、アセトキシ基等の炭素数1~6のアシルオキシ基、メトキシ基、エトキシ基等の炭素数1~6のアルコキシ基、塩素、臭素等のハロゲン原子、メトキシカルボニル基、エトキシカルボニル基、シクロヘキシルオキシカルボニル基等の炭素数2~7のアルコキシカルボニル基、シアノ基、t-ブチルカーボネート等の炭酸エステル基等が挙げられる。 Among the above, when the divalent linking group represented by R 2 has a substituent, examples of the substituent include an alkyl group having 1 to 20 carbon atoms, an aryl group having 6 to 16 carbon atoms, a hydroxyl group, C1-C6 acyloxy groups such as amino groups, carboxyl groups, sulfonamido groups, N-sulfonylamide groups, acetoxy groups, etc., C1-C6 alkoxy groups such as methoxy groups, ethoxy groups, chlorine, bromine, etc. Examples thereof include a C2-C7 alkoxycarbonyl group such as a halogen atom, a methoxycarbonyl group, an ethoxycarbonyl group, and a cyclohexyloxycarbonyl group, a cyano group, and a carbonate group such as t-butyl carbonate.
 式(1)中、Rは、(m+n)価の連結基を表す。m+nは3~10を満たす。
 Rで表される(m+n)価の連結基としては、1~100個までの炭素原子、0個~10個までの窒素原子、0個~50個までの酸素原子、1個~200個までの水素原子、及び0個~20個までの硫黄原子から成り立つ基が含まれ、無置換でも置換基を更に有していてもよい。
In formula (1), R 1 represents a (m + n) -valent linking group. m + n satisfies 3 to 10.
Examples of the (m + n) -valent linking group represented by R 1 include 1 to 100 carbon atoms, 0 to 10 nitrogen atoms, 0 to 50 oxygen atoms, and 1 to 200. A group consisting of up to 20 hydrogen atoms and 0 to 20 sulfur atoms, which may be unsubstituted or further substituted.
 Rで表される(m+n)価の連結基は下記式のいずれかで表される基であることが好ましい。 The (m + n) -valent linking group represented by R 1 is preferably a group represented by any of the following formulae.
Figure JPOXMLDOC01-appb-C000003
Figure JPOXMLDOC01-appb-C000003
 上記式中、
 Lは3~6価の基を表す。Tは単結合又は2価の連結基を表す。3~6個存在するTは互いに同一であっても異なっていてもよい。Lは炭素原子、アリール連結基(炭素数6~22が好ましく、6~14がより好ましく、6~10が特に好ましい)、ヘテロ環連結基(炭素数2~12が好ましく、2~6がより好ましい)が好ましい。Tはアルキレン基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、カルボニル基(CO)、オキシ基(O)、イミノ基(NR)、スルフィド基(S)、またはこれらの組合せに係る基を表す。Rは上記した定義による。
In the above formula,
L represents a trivalent to hexavalent group. T represents a single bond or a divalent linking group. 3 to 6 Ts may be the same as or different from each other. L is a carbon atom, an aryl linking group (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 and particularly preferably 6 to 10), and a heterocyclic linking group (preferably having 2 to 12 carbon atoms and more preferably having 2 to 6 carbon atoms). Preferred). T is an alkylene group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), a carbonyl group (CO), an oxy group (O), an imino group (NR N ), a sulfide group ( S) or a group related to these combinations. RN is as defined above.
 Rで表される(m+n)価の連結基の具体的な例〔具体例(1)~(17)〕を以下に示す。但し、本発明においては、これらに制限されるものではない。 Specific examples (specific examples (1) to (17)) of the (m + n) -valent linking group represented by R 1 are shown below. However, the present invention is not limited to these.
Figure JPOXMLDOC01-appb-C000004
Figure JPOXMLDOC01-appb-C000004
Figure JPOXMLDOC01-appb-C000005
Figure JPOXMLDOC01-appb-C000005
 上記の具体例の中でも、原料の入手性、合成の容易さ、各種溶媒への溶解性の観点から、最も好ましい(m+n)価の連結基は上記(1)、(2)、(10)、(11)、(16)、(17)の基である。 Among the above specific examples, from the viewpoint of availability of raw materials, ease of synthesis, and solubility in various solvents, the most preferred (m + n) -valent linking group is the above (1), (2), (10), (11), (16) and (17).
 式(1)中、mは8以下の正の数を表す。mとしては、0.5~5が好ましく、1~4がより好ましく、1~3が特に好ましい。nは1~9を表す。nとしては、2~8が好ましく、2~7がより好ましく、3~6が特に好ましい。なお、m、nが小数をともなう場合は、異なるm、nを有する化合物の混合物であることを意味する。 In the formula (1), m represents a positive number of 8 or less. m is preferably 0.5 to 5, more preferably 1 to 4, and particularly preferably 1 to 3. n represents 1 to 9. n is preferably 2 to 8, more preferably 2 to 7, and particularly preferably 3 to 6. In addition, when m and n have a decimal, it means that it is a mixture of compounds having different m and n.
 式(1)中、Pはポリマー鎖を表し、公知のポリマーなどから目的等に応じて選択することができる。m個のPは、同一であっても、異なっていてもよい。
 ポリマーの中でも、ポリマー鎖を構成するには、ビニルモノマーの重合体もしくは共重合体、エステル系ポリマー、エーテル系ポリマー、ウレタン系ポリマー、アミド系ポリマー、エポキシ系ポリマー、シリコーン系ポリマー、及びこれらの変性物、又は共重合体〔例えば、ポリエーテル/ポリウレタン共重合体、ポリエーテル/ビニルモノマーの重合体の共重合体など(ランダム共重合体、ブロック共重合体、グラフト共重合体のいずれであってもよい。)を含む。〕からなる群より選択される少なくとも一種が好ましく、ビニルモノマーの重合体もしくは共重合体、エステル系ポリマー、エーテル系ポリマー、ウレタン系ポリマー、及びこれらの変性物又は共重合体からなる群より選択される少なくとも一種がより好ましく、ビニルモノマーの重合体もしくは共重合体が特に好ましい。
 ポリマー鎖Pが有し得るビニルモノマーの重合体又は共重合体、エステル系ポリマー、エーテル系ポリマーとしては、それぞれ、下記式(L)、(M)、(N)のいずれかで表される構造を有することが好ましい。
In formula (1), P 1 represents a polymer chain and can be selected from known polymers according to the purpose and the like. The m P 1 may be the same or different.
Among the polymers, a vinyl monomer polymer or copolymer, an ester polymer, an ether polymer, a urethane polymer, an amide polymer, an epoxy polymer, a silicone polymer, and modifications thereof are used to form a polymer chain. Or copolymer [for example, polyether / polyurethane copolymer, copolymer of polyether / vinyl monomer polymer, etc. (any of random copolymer, block copolymer, graft copolymer, etc. May also be included). At least one selected from the group consisting of vinyl monomers, selected from the group consisting of polymers or copolymers of vinyl monomers, ester polymers, ether polymers, urethane polymers, and modified products or copolymers thereof. At least one kind is more preferred, and a polymer or copolymer of vinyl monomers is particularly preferred.
Polymers or copolymers of vinyl monomers the polymer chain P 1 may have, ester-based polymer, Examples of the ether-based polymer, respectively, the following formula (L), (M), represented by any one of (N) It preferably has a structure.
Figure JPOXMLDOC01-appb-C000006
Figure JPOXMLDOC01-appb-C000006
 上記式中、Xは、水素原子又は1価の有機基を表す。合成上の制約の観点から、好ましくは水素原子、又は、炭素数1~12のアルキル基であり、水素原子又はメチル基であることがより好ましく、メチル基が特に好ましい。
 R10は、水素原子又は1価の有機基を表す。好ましくは、水素原子、アルキル基、アリール基、又は、ヘテロアリール基であり、更に好ましくは、水素原子、又は、アルキル基である。上記R10がアルキル基である場合、上記アルキル基としては、炭素数1~20の直鎖状アルキル基、炭素数3~20の分岐状アルキル基、又は炭素数5~20の環状アルキル基が好ましく、炭素数1~20の直鎖状アルキル基がより好ましく、炭素数1~6の直鎖状アルキル基が特に好ましい。式(L)中に構造の異なるR10を2種以上有していてもよい。
 R11及びR12は、分岐若しくは直鎖のアルキレン基(炭素数は1~10が好ましく、2~8であることがより好ましく、3~6であることが更に好ましい。)を表す。各式中に構造の異なるR11又はR12を2種以上有していてもよい。
 k1、k2、k3は、それぞれ独立に、5~140の数を表す。
In the above formula, X 1 represents a hydrogen atom or a monovalent organic group. From the viewpoint of synthesis restrictions, a hydrogen atom or an alkyl group having 1 to 12 carbon atoms is preferable, a hydrogen atom or a methyl group is more preferable, and a methyl group is particularly preferable.
R 10 represents a hydrogen atom or a monovalent organic group. Preferably, they are a hydrogen atom, an alkyl group, an aryl group, or a heteroaryl group, More preferably, they are a hydrogen atom or an alkyl group. When R 10 is an alkyl group, the alkyl group may be a linear alkyl group having 1 to 20 carbon atoms, a branched alkyl group having 3 to 20 carbon atoms, or a cyclic alkyl group having 5 to 20 carbon atoms. Preferably, a linear alkyl group having 1 to 20 carbon atoms is more preferable, and a linear alkyl group having 1 to 6 carbon atoms is particularly preferable. The different R 10 structurally or may have two or more in the formula (L).
R 11 and R 12 each represent a branched or straight chain alkylene group (the number of carbon atoms is preferably 1 to 10, more preferably 2 to 8, and still more preferably 3 to 6). Different R 11 or R 12 of the structure may have two or more in each formula.
k1, k2, and k3 each independently represents a number of 5 to 140.
 ポリマー鎖Pが少なくとも1種の繰り返し単位を含有することが好ましい。
 ポリマー鎖Pにおける、少なくとも1種の繰り返し単位の繰り返し数k(k1、k2、k3)が、立体反発力を発揮し分散安定性を向上する観点から、5以上であることが好ましく、7以上であることがより好ましい。
 繰り返し単位の繰り返し単位数kは、140以下であることが好ましく、130以下であることがより好ましく、60以下であることが更に好ましい。
The polymer chain P 1 preferably contains at least one repeating unit.
The number k (k1, k2, k3) of at least one repeating unit in the polymer chain P 1 is preferably 5 or more from the viewpoint of exhibiting steric repulsion and improving dispersion stability, and 7 or more. It is more preferable that
The number k of repeating units is preferably 140 or less, more preferably 130 or less, and still more preferably 60 or less.
 なお、ポリマーは有機溶剤に可溶であることが好ましい。有機溶剤との親和性が低いと、分散媒との親和性が弱まり、分散安定化に十分な吸着層を確保できなくなることがある。
 ビニルモノマーとしては、特に制限されないが、例えば、(メタ)アクリル酸エステル類、クロトン酸エステル類、ビニルエステル類、酸基を有するビニルモノマー、マレイン酸ジエステル類、フマル酸ジエステル類、イタコン酸ジエステル類、(メタ)アクリルアミド類、スチレン類、ビニルエーテル類、ビニルケトン類、オレフィン類、マレイミド類、(メタ)アクリロニトリルなどが好ましく、(メタ)アクリル酸エステル類、クロトン酸エステル類、ビニルエステル類、酸基を有するビニルモノマーであることがより好ましく、(メタ)アクリル酸エステル類、クロトン酸エステル類であることが更に好ましい。
 これらのビニルモノマーの好ましい例としては、特開2007-277514号公報段落0089~0094、0096及び0097(対応する米国特許出願公開第2010/233595号明細書においては段落0105~0117、及び0119~0120)に記載のビニルモノマーが挙げられ、これらの内容は本願明細書に組み込まれる。
The polymer is preferably soluble in an organic solvent. If the affinity with the organic solvent is low, the affinity with the dispersion medium is weakened, and it may be impossible to secure an adsorption layer sufficient for stabilizing the dispersion.
Although it does not restrict | limit especially as a vinyl monomer, For example, (meth) acrylic acid esters, crotonic acid esters, vinyl esters, vinyl monomers having an acid group, maleic acid diesters, fumaric acid diesters, itaconic acid diesters , (Meth) acrylamides, styrenes, vinyl ethers, vinyl ketones, olefins, maleimides, (meth) acrylonitrile, etc. are preferred, (meth) acrylic acid esters, crotonic acid esters, vinyl esters, acid groups The vinyl monomer is more preferably, and (meth) acrylic acid esters and crotonic acid esters are more preferable.
Preferable examples of these vinyl monomers include paragraphs 0089 to 0094, 0096 and 0097 of JP-A-2007-277514 (paragraphs 0105 to 0117 and 0119 to 0120 in the corresponding US 2010/233595). ), The contents of which are incorporated herein.
 上記の化合物以外にも、例えば、ウレタン基、ウレア基、スルホンアミド基、ヒドロキシフェニル基、イミド基などの官能基を有するビニルモノマーも用いることができる。このようなウレタン基、又はウレア基を有する単量体としては、例えば、イソシアネート基とヒドロキシル基、又はアミノ基の付加反応を利用して、適宜合成することが可能である。具体的には、イソシアネート基含有モノマーとヒドロキシル基を1個含有する化合物又は1級あるいは2級アミノ基を1個含有する化合物との付加反応、又はヒドロキシル基含有モノマー又は1級あるいは2級アミノ基含有モノマーとモノイソシアネートとの付加反応等により適宜合成することができる。 In addition to the above compounds, for example, vinyl monomers having a functional group such as a urethane group, a urea group, a sulfonamide group, a hydroxyphenyl group, and an imide group can also be used. Such a monomer having a urethane group or a urea group can be appropriately synthesized using, for example, an addition reaction between an isocyanate group and a hydroxyl group or an amino group. Specifically, an addition reaction between an isocyanate group-containing monomer and a compound containing one hydroxyl group or a compound containing one primary or secondary amino group, or a hydroxyl group-containing monomer or primary or secondary amino group It can be appropriately synthesized by an addition reaction between the containing monomer and monoisocyanate.
 式(1)で表される化合物は、下記式(2)で表されることが好ましい。 The compound represented by the formula (1) is preferably represented by the following formula (2).
Figure JPOXMLDOC01-appb-C000007
Figure JPOXMLDOC01-appb-C000007
 式(2)において、Aは、式(1)におけるAと同義であり、好ましい態様も同様である。
 式(2)において、R、Rは各々独立に単結合または2価の連結基を表す。n個のRは、同一であっても、異なっていてもよい。また、m個のRは、同一であっても、異なっていてもよい。
 R、Rで表される2価の連結基としては、式(1)のRで表される2価の連結基として挙げられたものと同様のものが用いられ、好ましい態様も同様である。
In the formula (2), A 2 has the same meaning as A 1 in formula (1), a preferable embodiment thereof is also the same.
In the formula (2), R 4 and R 5 each independently represents a single bond or a divalent linking group. The n R 4 s may be the same or different. The m R 5 s may be the same or different.
As the divalent linking group represented by R 4 or R 5 , the same divalent linking groups as those represented by R 2 in the formula (1) are used, and the preferred embodiments are also the same. It is.
 式(2)において、Rは、(m+n)価の連結基を表す。m+nは3~10を満たす。
 Rとしては、Rで表される連結基として挙げられたものと同様のものが用いられ、好ましい態様も同様である。
 式(2)中、m、nは、それぞれ、式(1)におけるm、nと同義であり、好ましい態様も同様である。
 また、式(2)中のPは、式(1)におけるPと同義であり、好ましい態様も同様である。m個のPは、同一であっても、異なっていてもよい。
In Formula (2), R 3 represents a (m + n) -valent linking group. m + n satisfies 3 to 10.
As R < 3 >, the thing similar to what was mentioned as a coupling group represented by R < 1 > is used, and its preferable aspect is also the same.
In formula (2), m and n have the same meanings as m and n in formula (1), respectively, and the preferred embodiments are also the same.
Further, P 2 in the formula (2) has the same meaning as P 1 in formula (1), a preferable embodiment thereof is also the same. the m P 2 can be the same or different.
 式(2)で表される高分子化合物のうち、以下に示すR、R、R、P、m、及びnを全て満たすものが最も好ましい。
 R:上記具体例(1)、(2)、(10)、(11)、(16)、又は(17)
 R:単結合、又は、鎖状飽和炭化水素基、環状飽和炭化水素基、芳香族基、エステル結合、アミド結合、エーテル結合、窒素原子、及びカルボニル基よりなる群から選択される基、或いはこれらの2つ以上を組み合わせた基
 R:単結合、エチレン基、プロピレン基、下記基(a)、又は下記基(b)
 なお、下記基中、R12は水素原子又はメチル基を表し、lは1又は2を表す。
Of the polymer compounds represented by the formula (2), those satisfying all of R 3 , R 4 , R 5 , P 2 , m, and n shown below are most preferable.
R 3 : Specific example (1), (2), (10), (11), (16), or (17) above
R 4 : a single bond or a group selected from the group consisting of a chain saturated hydrocarbon group, a cyclic saturated hydrocarbon group, an aromatic group, an ester bond, an amide bond, an ether bond, a nitrogen atom, and a carbonyl group, or these two or more combined group R 5: a single bond, an ethylene group, a propylene group, the following group (a), or the following group (b)
In the following groups, R 12 represents a hydrogen atom or a methyl group, and l represents 1 or 2.
Figure JPOXMLDOC01-appb-C000008
Figure JPOXMLDOC01-appb-C000008
 P:ビニルモノマーの重合体もしくは共重合体、エステル系ポリマー、エーテル系ポリマー、ウレタン系ポリマー及びこれらの変性物
 m:1~3
 n:3~6
P 2 : Polymer or copolymer of vinyl monomer, ester polymer, ether polymer, urethane polymer, and modified products thereof m: 1 to 3
n: 3-6
 化合物P(式(1)又は(2)で表される高分子化合物等)は、特に制限されないが、特開2007-277514号公報の段落0114~0140及び0266~0348に記載の合成方法に準じて合成することができる。さらに、化合物Pの具体例としては、以下に記載のものが挙げられる。
 特開2007-277514の0265段落以降の実施例に開示の化合物(B-1~B-24,C-1~C-57,D-1~D-12)
 WO2014/034813A1の0200段落以降の実施例に開示の化合物(C-1~C-136)
 WO2014/034815A1の0194段落以降の実施例に開示の化合物(C-1~C-199)
 なお、化合物Pの製造方法は、上記の各公報を参照することができる。
Compound P (a polymer compound represented by the formula (1) or (2)) is not particularly limited, but conforms to the synthesis method described in paragraphs 0114 to 0140 and 0266 to 0348 of JP-A-2007-277514. Can be synthesized. Furthermore, specific examples of the compound P include those described below.
Compounds disclosed in Examples after paragraph 0265 of JP-A-2007-277514 (B-1 to B-24, C-1 to C-57, D-1 to D-12)
WO2014 / 034813A1 Compounds disclosed in Examples after paragraph 0200 (C-1 to C-136)
WO2014 / 034815A1 Compounds disclosed in Examples after paragraph 0194 (C-1 to C-199)
The above-mentioned publications can be referred to for the method for producing compound P.
 化合物Pの濃度は、エッチング液中、0.01質量%以上であることが好ましく、0.05質量%以上がより好ましく、0.1質量%以上含有させることが特に好ましい。上限としては、20質量%以下が好ましく、10質量%以下がより好ましく、5質量%以下がさらに好ましく、1質量%以下が特に好ましい。化合物Pを上記の濃度で適用することにより、良好な金属層(第二層)のエッチングを実現しつつ、第一層、第三層、第四層の効果的な保護を実現することができる。
 化合物Pは一種のみを用いても、複数のものを組み合わせて用いてもよい。
The concentration of the compound P is preferably 0.01% by mass or more, more preferably 0.05% by mass or more, and particularly preferably 0.1% by mass or more in the etching solution. As an upper limit, 20 mass% or less is preferable, 10 mass% or less is more preferable, 5 mass% or less is further more preferable, and 1 mass% or less is especially preferable. By applying the compound P at the above concentration, it is possible to achieve effective protection of the first layer, the third layer, and the fourth layer while realizing good etching of the metal layer (second layer). .
Compound P may be used alone or in combination of two or more.
(金属溶解成分)
 本発明のエッチング液においては、金属溶解成分を含有させる。金属溶解成分としては、ハロゲンイオンが好ましく、フッ素イオンがより好ましい。金属溶解成分(特にフッ素イオン)は、エッチング液において、第二層の金属(Ti等)の配位子(錯化剤)となり溶解を促進する役割を果たしていると解される。
 金属溶解成分(特にフッ素イオン)の濃度は、エッチング液中、0.1質量%以上であることが好ましく、0.5質量%以上がより好ましく、1質量%以上含有させることが特に好ましい。上限としては、20質量%以下が好ましく、10質量%以下がより好ましく、5質量%以下がさらに好ましく、2質量%以下が特に好ましい。金属溶解成分(特にフッ素イオン)を上記の濃度で適用することにより、良好な金属層のエッチングを実現しつつ、保護すべき層の効果的な保護を実現することができる。溶解成分は一種のみを用いても、複数のものを組み合わせて用いてもよい。
 なお、配合量の確認においては、成分がイオンのとき、添加する塩の量を定量することによって、その量を特定してもよい。
 フッ素イオンの供給源としては、下表のフッ素化合物が挙げられる。
(Metal dissolved component)
In the etching solution of the present invention, a metal dissolving component is contained. As the metal dissolving component, halogen ions are preferable, and fluorine ions are more preferable. It is understood that the metal-dissolving component (particularly fluorine ions) serves as a ligand (complexing agent) for the metal (Ti, etc.) of the second layer and promotes dissolution in the etching solution.
The concentration of the metal-soluble component (particularly fluorine ions) is preferably 0.1% by mass or more, more preferably 0.5% by mass or more, and particularly preferably 1% by mass or more in the etching solution. As an upper limit, 20 mass% or less is preferable, 10 mass% or less is more preferable, 5 mass% or less is further more preferable, and 2 mass% or less is especially preferable. By applying the metal-dissolved component (particularly fluorine ions) at the above concentration, effective protection of the layer to be protected can be realized while realizing good etching of the metal layer. A melt | dissolution component may use only 1 type, or may use it combining several things.
In the confirmation of the blending amount, when the component is ion, the amount may be specified by quantifying the amount of salt to be added.
Examples of the fluorine ion supply source include the fluorine compounds shown in the table below.
Figure JPOXMLDOC01-appb-T000009
Figure JPOXMLDOC01-appb-T000009
(pKa4以下の酸(酸助剤))
 本発明に係るエッチング液には酸助剤(pKa4以下の酸が好ましい)が含まれることが好ましい。このpKaはさらに3以下であることが好ましく、2以下であることがより好ましく、1.5以下であることがさらに好ましく、1以下であることがさらに好ましく、0.5以下であることが特に好ましい。下限は、pKa-20以上であることが実際的である。酸助剤は、エッチング液において、水分量が少ない処方でも第二層の金属(Ti等)の酸化を加速させるという役割を果たしていると解される。この観点で、pKaが上記範囲を上回ると、金属(酸化されていない)Ti等の溶解が進まなくなってしまうことがある。
 酸助剤としては、ホウ酸化合物、リン酸化合物、ホスホン酸化合物、HBF、HBr、HCl、HI、HSO、FCCOOH、ClCCOOHなどが好ましい。なかでも無機酸であることが好ましく、ハロゲン原子を含む無機酸であることがより好ましい。本発明において酸助剤が効果を奏する理由は定かではないが、後述するエッチングの時間依存性との関係で、酸助剤のアニオンが特有の効果を発揮するものと解される。
 pKaは上記の定義と同義である。下記に、代表的な置換基の計算例を示しておく。酸助剤が、多段の解離定数を持つ場合には、最も小さい解離定数により評価する。
  HBF4 :  -0.4  
  HBr :  -9.0  
  HCl :  -7.0  
  MSA :  -1.8  (メタンスルホン酸)
  TSA :  -2.8  (p-トルエンスルホン酸)
(PKa 4 or lower acid (acid assistant))
The etching solution according to the present invention preferably contains an acid assistant (pKa4 or lower acid is preferred). The pKa is further preferably 3 or less, more preferably 2 or less, further preferably 1.5 or less, further preferably 1 or less, and particularly preferably 0.5 or less. preferable. It is practical that the lower limit is pKa-20 or more. It is understood that the acid assistant plays a role of accelerating the oxidation of the second layer metal (such as Ti) even in a prescription with a small amount of water in the etching solution. In this respect, when pKa exceeds the above range, dissolution of metal (not oxidized) Ti or the like may not proceed.
As the acid assistant, boric acid compounds, phosphoric acid compounds, phosphonic acid compounds, HBF 4 , HBr, HCl, HI, H 2 SO 4 , F 3 CCOOH, Cl 3 CCOOH and the like are preferable. Among these, an inorganic acid is preferable, and an inorganic acid containing a halogen atom is more preferable. Although the reason why the acid assistant is effective in the present invention is not clear, it is understood that the anion of the acid assistant exerts a specific effect in relation to the etching time dependency described later.
pKa has the same definition as above. Below, the calculation example of a typical substituent is shown. When the acid assistant has a multi-stage dissociation constant, the evaluation is performed based on the smallest dissociation constant.
HBF 4: -0.4
HBr: -9.0
HCl: -7.0
MSA: -1.8 (methanesulfonic acid)
TSA: -2.8 (p-toluenesulfonic acid)
 ホスホン酸化合物としては、アルキルホスホン酸(炭素数1~30が好ましく、3~24がより好ましく、4~18が特に好ましい)、アリールホスホン酸(炭素数6~22が好ましく、6~14がより好ましく、6~10が特に好ましい)、アラルキルホスホン酸(炭素数7~23が好ましく、7~15がより好ましく、7~11が特に好ましい)が挙げられる。あるいは、ポリビニルホスホン酸であってもよい。その重量平均分子量は適宜選定すればよいが、3000以上50000以下であることが好ましい。 Examples of the phosphonic acid compound include alkylphosphonic acid (preferably having 1 to 30 carbon atoms, more preferably 3 to 24, and particularly preferably 4 to 18), and arylphosphonic acid (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms). 6 to 10 are particularly preferred) and aralkylphosphonic acid (preferably 7 to 23 carbon atoms, more preferably 7 to 15 carbon atoms, and particularly preferably 7 to 11 carbon atoms). Alternatively, it may be polyvinyl phosphonic acid. The weight average molecular weight may be appropriately selected, but is preferably 3000 or more and 50000 or less.
 ホウ素含有酸化合物としては、ホウ酸、ボロン酸、テトラフルオロホウ酸が挙げられる。ボロン酸としては、炭素数1~24のボロン酸が好ましく、1~12のボロン酸がより好ましい。具体的には、フェニルボロン酸、メチルボロン酸が挙げられる。
 これらの酸が塩をなすときその対イオンは特に限定されないが、アルカリ金属カチオンや有機カチオンなどが挙げられる。
Examples of the boron-containing acid compound include boric acid, boronic acid, and tetrafluoroboric acid. The boronic acid is preferably a boronic acid having 1 to 24 carbon atoms, more preferably a boronic acid having 1 to 12 carbon atoms. Specific examples include phenylboronic acid and methylboronic acid.
When these acids form a salt, the counter ion is not particularly limited, and examples thereof include alkali metal cations and organic cations.
 酸助剤の濃度は、エッチング液中、0.1質量%以上であることが好ましく、0.5質量%以上がより好ましく、1質量%以上含有させることが特に好ましい。上限としては、20質量%以下が好ましく、10質量%以下がより好ましく、5質量%以下がさらに好ましく、3質量%以下が特に好ましい。溶解成分100質量部に対しては、10質量部以上が好ましく、30質量部以上がより好ましく、50質量部以上が特に好ましい。上限としては、1000質量部以下が好ましく、600質量部以下がより好ましく、200質量部以下が特に好ましい。なお、酸助剤は、1種のみを用いてもよいし、2種以上を併用してもよい。 The concentration of the acid assistant is preferably 0.1% by mass or more, more preferably 0.5% by mass or more, and particularly preferably 1% by mass or more in the etching solution. As an upper limit, 20 mass% or less is preferable, 10 mass% or less is more preferable, 5 mass% or less is further more preferable, and 3 mass% or less is especially preferable. 10 mass parts or more are preferable with respect to 100 mass parts of melt | dissolution components, 30 mass parts or more are more preferable, and 50 mass parts or more are especially preferable. As an upper limit, 1000 mass parts or less are preferable, 600 mass parts or less are more preferable, and 200 mass parts or less are especially preferable. In addition, an acid adjuvant may use only 1 type and may use 2 or more types together.
(有機溶剤)
 本発明に係るエッチング液には有機溶剤を含有させてもよい。有機溶剤はなかでもプロトン性極性有機溶剤が好ましい。プロトン性極性有機溶剤としては、アルコール化合物(ポリオール化合物を含む)、エーテル化合物、カルボン酸化合物が好ましい。有機溶剤は、エッチング液において、相対的に薬液中の水分量を下げることで選択的な処理が必要な金属や絶縁膜の溶解速度を低下させる役割を果たしていると解される。
 有機溶剤は、例えば、ハンセンパラメータのδh(水素結合エネルギー)が5以上であることが望ましく、10以上であることが特に望ましい。粘度40mPa・s(20℃)以下であることが望ましく、35mPa・s以下であることがさらに望ましく、10mPa・s以下であることが特に望ましい。
(Organic solvent)
The etching solution according to the present invention may contain an organic solvent. Among them, a protic polar organic solvent is preferable. As the protic polar organic solvent, alcohol compounds (including polyol compounds), ether compounds, and carboxylic acid compounds are preferable. It is understood that the organic solvent plays a role of reducing the dissolution rate of the metal or insulating film that requires selective treatment by relatively reducing the amount of water in the chemical solution in the etching solution.
For example, the organic solvent preferably has a Hansen parameter δh (hydrogen bond energy) of 5 or more, and particularly preferably of 10 or more. The viscosity is desirably 40 mPa · s (20 ° C.) or less, more desirably 35 mPa · s or less, and particularly desirably 10 mPa · s or less.
・アルコール化合物
 アルコール化合物は、分子内に炭素と水素とを有しヒドロキシル基を1つ以上もつ化合物を広く含む。ここでは、エーテル化合物であっても、ヒドロキシル基をもつものは、アルコール化合物としている。アルコール化合物の炭素数は、1以上であればよく、2以上がより好ましく、3以上がさらに好ましく、4以上がさらに好ましく、5以上がさらに好ましく、6以上が特に好ましい。上限としては、24以下が好ましく、炭素数12以下がより好ましく、炭素数8以下が特に好ましい。
 例えば、メチルアルコール、エチルアルコール、1-プロピルアルコール、2-プロピルアルコール、2-ブタノール、エチレングリコール、プロピレングリコール、グリセリン、ヘキシレングリコール[HG]、1,6-ヘキサンジオール、シクロヘキサンジオール、ソルビトール、キシリトール、2-メチル-2,4-ペンタンジオール、1,3-ブタンジオール、1,4-ブタンジオール[14BD]、3-メチル-1-ブタノール[3M1B]、メチルペンタンジオール、シクロヘキサノール、エチルヘキサノール、ベンジルアルコール、フェニルエタノール等のエーテル基非含有アルコール化合物、
 アルキレングリコールアルキルエーテル(エチレングリコールモノメチルエーテル、エチレングリコールモノブチルエーテル、ジプロピレングリコール、プロピレングリコールモノメチルエーテル、ジエチレングリコールモノメチルエーテル、トリエチレングリコール、ポリエチレングリコール、プロピレングリコールモノエチルエーテル、ジプロピレングリコールモノメチルエーテル、トリプロピレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノブチルエーテル[DEGBE]等)、フェノキシエタノール、メトキシメチルブタノールを含むエーテル基含有アルコール化合物が挙げられる。
-Alcohol compounds Alcohol compounds widely include compounds having carbon and hydrogen in the molecule and having one or more hydroxyl groups. Here, even an ether compound having a hydroxyl group is an alcohol compound. The alcohol compound may have 1 or more carbon atoms, more preferably 2 or more, further preferably 3 or more, further preferably 4 or more, further preferably 5 or more, and particularly preferably 6 or more. The upper limit is preferably 24 or less, more preferably 12 or less, and particularly preferably 8 or less.
For example, methyl alcohol, ethyl alcohol, 1-propyl alcohol, 2-propyl alcohol, 2-butanol, ethylene glycol, propylene glycol, glycerin, hexylene glycol [HG], 1,6-hexanediol, cyclohexanediol, sorbitol, xylitol 2-methyl-2,4-pentanediol, 1,3-butanediol, 1,4-butanediol [14BD], 3-methyl-1-butanol [3M1B], methylpentanediol, cyclohexanol, ethylhexanol, Ether group-free alcohol compounds such as benzyl alcohol and phenylethanol,
Alkylene glycol alkyl ether (ethylene glycol monomethyl ether, ethylene glycol monobutyl ether, dipropylene glycol, propylene glycol monomethyl ether, diethylene glycol monomethyl ether, triethylene glycol, polyethylene glycol, propylene glycol monoethyl ether, dipropylene glycol monomethyl ether, tripropylene glycol Monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol monobutyl ether [DEGBE], etc.), phenoxyethanol, and ether group-containing alcohol compounds including methoxymethylbutanol.
 アルコール化合物は中でも下記式(O-1)で表される化合物であることが好ましい。
   RO1-(-O-RO2-)nO-OH    ・・・ (O-1)
・RO1
 RO1は水素原子又は炭素数1~12(好ましくは1~6、より好ましくは1~3)のアルキル基、炭素数6~14(好ましくは6~10)のアリール基、または炭素数7~15(好ましくは7~11)のアラルキル基である。
・RO2
 RO2は直鎖状又は分岐状の炭素数1以上12以下のアルキレン鎖である。複数のRO2が存在するときそのそれぞれは異なっていてもよい。RO2は炭素数2~10が好ましく、2~6がより好ましい。
・no
 noは0以上12以下の整数であり、1以上6以下が好ましい。noが2以上のとき複数のRO2は互いに異なっていてもよい。ただし、noが0であるとき、RO1が水素原子であることはない。
Among them, the alcohol compound is preferably a compound represented by the following formula (O-1).
R O1 — (— O—R O2 —) nO —OH (O-1)
・ R O1
R O1 represents a hydrogen atom, an alkyl group having 1 to 12 (preferably 1 to 6, more preferably 1 to 3) carbon atoms, an aryl group having 6 to 14 (preferably 6 to 10) carbon atoms, or 7 to 7 carbon atoms. 15 (preferably 7 to 11) aralkyl groups.
・ R O2
R O2 is a linear or branched alkylene chain having 1 to 12 carbon atoms. When a plurality of R O2 are present, each of them may be different. R O2 preferably has 2 to 10 carbon atoms, and more preferably 2 to 6 carbon atoms.
・ No
no is an integer from 0 to 12, preferably from 1 to 6. When no is 2 or more, the plurality of R O2 may be different from each other. However, when no is 0, R O1 is not a hydrogen atom.
 アルコール化合物は下記式(O-2)または(O-3)で表される化合物であることも好ましい。
   RO3-LO1-RO4-OH    ・・・ (O-2)
   RO3-(LO1-RO4)no-OH    ・・・ (O-3)
 RO3は、置換基を有してもよい環状構造基であることが好ましい。環状構造基は、芳香族環であっても、複素芳香族環であっても、脂肪族環であっても、複素脂肪族環であってもよい。芳香族環としては、炭素数6~14のアリール基が挙げられる(炭素数6~10が好ましく、フェニル基がより好ましい)。脂肪族環としては、炭素数3~14の環状アルキル基が挙げられる(炭素数3~10が好ましく、シクロヘキシル基がより好ましい)。複素環は、炭素原子数2~20のヘテロ環基、好ましくは、少なくとも1つの酸素原子、硫黄原子、窒素原子を有する5または6員環のヘテロ環基が好ましい。例えば、2-ピリジル、4-ピリジル、2-イミダゾリル、2-ベンゾイミダゾリル、2-チアゾリル、2-オキサゾリルの例が挙げられる。環状構造基は適宜任意の置換基を有していてもよい。
 LO1は単結合、O、CO、NR、S、またはそれらの組合せである。なかでも、単結合、CO、Oが好ましく、単結合またはOがより好ましい。Rは上記した定義による。
 RO4はアルキレン基(炭素数1~12が好ましく、炭素数1~6がより好ましく、炭素数1~3が特に好ましい)、アリーレン基(炭素数6~14が好ましく、炭素数6~10がより好ましい)、またはアラルキレン基(炭素数7~15が好ましく、炭素数7~11がより好ましい)である。
 noは上記と同義である。
The alcohol compound is also preferably a compound represented by the following formula (O-2) or (O-3).
R O3 —L O1 —R O4 —OH (O-2)
R O3 — (L O1 —R O4 ) no—OH (O-3)
R O3 is preferably a cyclic structural group which may have a substituent. The cyclic structural group may be an aromatic ring, a heteroaromatic ring, an aliphatic ring, or a heteroaliphatic ring. Examples of the aromatic ring include aryl groups having 6 to 14 carbon atoms (preferably 6 to 10 carbon atoms, more preferably phenyl groups). Examples of the aliphatic ring include cyclic alkyl groups having 3 to 14 carbon atoms (preferably having 3 to 10 carbon atoms, and more preferably a cyclohexyl group). The heterocyclic ring is preferably a heterocyclic group having 2 to 20 carbon atoms, preferably a 5- or 6-membered heterocyclic group having at least one oxygen atom, sulfur atom or nitrogen atom. Examples include 2-pyridyl, 4-pyridyl, 2-imidazolyl, 2-benzimidazolyl, 2-thiazolyl and 2-oxazolyl. The cyclic structure group may have an arbitrary substituent as appropriate.
L O1 represents a single bond, O, CO, is NR N, S or combinations thereof. Of these, a single bond, CO, and O are preferable, and a single bond or O is more preferable. RN is as defined above.
R O4 is an alkylene group (preferably having 1 to 12 carbon atoms, more preferably having 1 to 6 carbon atoms, and particularly preferably having 1 to 3 carbon atoms), or an arylene group (preferably having 6 to 14 carbon atoms, having 6 to 10 carbon atoms). More preferably), or an aralkylene group (preferably having 7 to 15 carbon atoms, more preferably 7 to 11 carbon atoms).
no is as defined above.
 エーテル化合物は中でも下記式(E-1)で表される化合物であることが好ましい。
   RE1-(-O-RE2-)-RE3    ・・・ (E-1)
・RE1
 RE1は炭素数1~12(好ましくは1~6、より好ましくは1~4、さらに好ましくは1~3)のアルキル基、炭素数6~14(好ましくは6~10)のアリール基、または炭素数7~15(好ましくは7~11)のアラルキル基である。
・RE2はRO2と同義である。
・RE3はRO1と同義である。
・mは1以上12以下の整数であり、1以上6以下が好ましい。mが2以上のとき複数のRE2は互いに異なっていてもよい。
In particular, the ether compound is preferably a compound represented by the following formula (E-1).
R E1 — (— O—R E2 —) m —R E3 (E-1)
・ R E1
R E1 represents an alkyl group having 1 to 12 carbon atoms (preferably 1 to 6, more preferably 1 to 4, more preferably 1 to 3), an aryl group having 6 to 14 carbon atoms (preferably 6 to 10), or An aralkyl group having 7 to 15 carbon atoms (preferably 7 to 11 carbon atoms).
-R E2 is synonymous with R O2 .
-R E3 is synonymous with R O1 .
M is an integer of 1 to 12, and preferably 1 to 6. When m is 2 or more, the plurality of R E2 may be different from each other.
 有機溶剤の濃度は、エッチング液中、20質量%以上であることが好ましく、50質量%以上がより好ましく、70質量%以上含有させることが特に好ましい。上限としては、98質量%以下が好ましく、95質量%以下がより好ましく、90質量%以下が特に好ましい。
 なお、本発明において、上記有機溶剤は、1種のみを用いてもよいし、2種以上を併用してもよい。2種以上を併用する場合、その併用割合は特に限定されないが、合計使用量は、2種以上の総和として上記濃度範囲とすることが好ましい。
The concentration of the organic solvent is preferably 20% by mass or more, more preferably 50% by mass or more, and particularly preferably 70% by mass or more in the etching solution. As an upper limit, 98 mass% or less is preferable, 95 mass% or less is more preferable, and 90 mass% or less is especially preferable.
In the present invention, the organic solvent may be used alone or in combination of two or more. When using 2 or more types together, the combined use ratio is not particularly limited, but the total use amount is preferably within the above-mentioned concentration range as a total of 2 or more types.
(カルボン酸化合物)
 本発明のエッチング液にはカルボン酸化合物を含んでいてもよい。カルボン酸化合物は、カルボキシル基を有する有機化合物であることが好ましい。カルボン酸化合物は、分子内にカルボキシル基を有していればよく、低分子量の化合物である。カルボン酸化合物が低分子化合物であるとき、炭素数4~48が好ましく、炭素数4~36がより好ましく、6~24が特に好ましい。カルボン酸化合物は、エッチング液において、錯化剤として第二層の金属の酸化物(酸化チタン等)の溶解を加速するという役割を果たしていると解される。
(Carboxylic acid compound)
The etching solution of the present invention may contain a carboxylic acid compound. The carboxylic acid compound is preferably an organic compound having a carboxyl group. The carboxylic acid compound only needs to have a carboxyl group in the molecule, and is a low molecular weight compound. When the carboxylic acid compound is a low molecular weight compound, it preferably has 4 to 48 carbon atoms, more preferably 4 to 36 carbon atoms, and particularly preferably 6 to 24 carbon atoms. It is understood that the carboxylic acid compound plays a role of accelerating dissolution of the second layer metal oxide (such as titanium oxide) as a complexing agent in the etching solution.
 カルボン酸化合物は、R-COOH で表される化合物であることが好ましい。Rは、アルキル基(炭素数1~48が好ましく、炭素数4~36がより好ましく、6~24が特に好ましい)、アルケニル基(炭素数2~48が好ましく、炭素数4~36がより好ましく、6~24がさらに好ましい)、アルキニル基(炭素数2~48が好ましく、炭素数4~36がより好ましく、6~24がさらに好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、またはアラルキル基(炭素数7~23が好ましく、7~15がより好ましい)である。Rがアリール基であるとき、そこには炭素数1~20のアルキル基、炭素数2~20のアルケニル基、または炭素数2~20のアルキニル基が置換していてもよい。Rがアルキル基であるとき、下記の構造であってもよい。
 
  *-R-(R-Y)-R
 
 Rは単結合、アルキレン基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルキニレン基(炭素数2~12が好ましく、2~6がより好ましい)、アルケニレン基(炭素数2~12が好ましく、2~6がより好ましい)、アリーレン基(炭素数6~22が好ましく、6~14がより好ましい)、またはアラルキレン基(炭素数7~23が好ましく、7~15がより好ましい)である。
 RはRの連結基と同義である。
 Yは酸素原子(O)、硫黄原子(S)、カルボニル基(CO)、もしくはイミノ基(NR)である。Rはアルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、またはアラルキル基(炭素数7~23が好ましく、7~15がより好ましい)である。Rは上記した定義による。
 nは0~8の整数である。
 Rは更に置換基を有していてもよく、なかでも、スルファニル基(SH)、ヒドロキシル基(OH)、アミノ基(NR )が好ましい。Rは上記した定義による。
 カルボン酸化合物の濃度は、エッチング液中、0.01質量%以上であることが好ましく、0.05質量%以上がより好ましく、0.1質量%以上含有させることが特に好ましい。上限としては、10質量%以下が好ましく、3質量%以下がより好ましく、1質量%以下が特に好ましい。フッ化水素酸100質量部に対しては、1質量部以上が好ましく、3質量部以上がより好ましく、5質量部以上が特に好ましい。上限としては、50質量部以下が好ましく、30質量部以下がより好ましく、20質量部以下が特に好ましい。
The carboxylic acid compound is preferably a compound represented by R 1 —COOH. R 1 is an alkyl group (preferably 1 to 48 carbon atoms, more preferably 4 to 36 carbon atoms, more preferably 6 to 24 carbon atoms), an alkenyl group (preferably 2 to 48 carbon atoms, more preferably 4 to 36 carbon atoms). 6 to 24 are more preferable), an alkynyl group (preferably having 2 to 48 carbon atoms, more preferably 4 to 36 carbon atoms, still more preferably 6 to 24 carbon atoms), an aryl group (preferably having 6 to 22 carbon atoms, 6 To 14), or an aralkyl group (preferably having 7 to 23 carbon atoms, more preferably 7 to 15 carbon atoms). When R 1 is an aryl group, it may be substituted with an alkyl group having 1 to 20 carbon atoms, an alkenyl group having 2 to 20 carbon atoms, or an alkynyl group having 2 to 20 carbon atoms. When R 1 is an alkyl group, it may have the following structure.

* —R 2 — (R 3 —Y) n —R 4

R 2 is a single bond, an alkylene group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkynylene group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms). An alkenylene group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an arylene group (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or an aralkylene group (preferably having 7 to 23 carbon atoms). 7 to 15 are more preferable.
R 3 has the same meaning as the linking group for R 2 .
Y is an oxygen atom (O), a sulfur atom (S), a carbonyl group (CO), or an imino group (NR N ). R 4 represents an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkenyl group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an alkynyl group. (Preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), aryl group (preferably 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or aralkyl group (preferably 7 to 23 carbon atoms, preferably 7 to 7 carbon atoms). 15 is more preferable). RN is as defined above.
n is an integer of 0 to 8.
R 1 may further have a substituent, and among them, a sulfanyl group (SH), a hydroxyl group (OH), and an amino group (NR N 2 ) are preferable. RN is as defined above.
The concentration of the carboxylic acid compound is preferably 0.01% by mass or more, more preferably 0.05% by mass or more, and particularly preferably 0.1% by mass or more in the etching solution. As an upper limit, 10 mass% or less is preferable, 3 mass% or less is more preferable, and 1 mass% or less is especially preferable. 1 mass part or more is preferable with respect to 100 mass parts of hydrofluoric acid, 3 mass parts or more are more preferable, and 5 mass parts or more are especially preferable. As an upper limit, 50 mass parts or less are preferable, 30 mass parts or less are more preferable, and 20 mass parts or less are especially preferable.
(シュウ酸)
 上記のカルボン酸化合物のなかでも、シュウ酸は別種の添加剤としてエッチング液に含有させてもよい。シュウ酸は、エッチング液において、錯化剤の役割を果たしている。
(Oxalic acid)
Among the above carboxylic acid compounds, oxalic acid may be contained in the etching solution as another type of additive. Oxalic acid plays a role of a complexing agent in the etching solution.
 シュウ酸の濃度は、エッチング液中、0.1質量%以上であることが好ましく、0.5質量%以上がより好ましく、1質量%以上含有させることが特に好ましい。上限としては、20質量%以下が好ましく、10質量%以下がより好ましく、5質量%以下がさらに好ましく、3質量%以下が特に好ましい。フッ化水素酸100質量部に対しては、10質量部以上が好ましく、30質量部以上がより好ましく、50質量部以上が特に好ましい。上限としては、1000質量部以下が好ましく、600質量部以下がより好ましく、200質量部以下が特に好ましい。 The concentration of oxalic acid is preferably 0.1% by mass or more, more preferably 0.5% by mass or more, and particularly preferably 1% by mass or more in the etching solution. As an upper limit, 20 mass% or less is preferable, 10 mass% or less is more preferable, 5 mass% or less is further more preferable, and 3 mass% or less is especially preferable. With respect to 100 parts by mass of hydrofluoric acid, 10 parts by mass or more is preferable, 30 parts by mass or more is more preferable, and 50 parts by mass or more is particularly preferable. As an upper limit, 1000 mass parts or less are preferable, 600 mass parts or less are more preferable, and 200 mass parts or less are especially preferable.
(糖類)
 本発明のエッチング液は、糖類を含んでいてもよい。pKa2以上の酸は、エッチング液において、保護すべき層の防食という役割を果たしていると解される。
 糖類は特に限定されず、単糖であっても、多糖であってもよいが、単糖であることが好ましい。単糖としては、ヘキソース、ペントースなどを広く挙げることができる。構造で言えば、ケトース、アルドース、ピラノース、フラノースが挙げられる。ヘキソースとしては、アロース、アルトロース、グルコース、マンノース、グロース、イドース、ガラクトース、タロース、プシコース、フルクトース、ソルボース、タガトース等が挙げられる。ペントースとしては、リボース、アラビノース、キシロース、リキソース、リブロース、キシルロース等が挙げられる。フラノースとしては、トロフラノース、トレオフラノース、リボフラノース、アラビノフラノース、キシロフラノース、リキソフラノースが挙げられる。ピラノースとしては、リボピラノース、アラビノピラノース、キシロピラノース、リキソピラノース、アロピラノース、アルトロピラノース、グルコピラノース、マンノピラノース、グロピラノース、イドピラノース、ガラクトピラノース、タロピラノースが挙げられる。
(Sugar)
The etching solution of the present invention may contain saccharides. It is understood that the acid of pKa2 or higher plays a role of preventing corrosion of the layer to be protected in the etching solution.
The saccharide is not particularly limited and may be a monosaccharide or a polysaccharide, but is preferably a monosaccharide. Examples of monosaccharides include hexose and pentose. In terms of structure, ketose, aldose, pyranose and furanose can be mentioned. Examples of hexose include allose, altrose, glucose, mannose, gulose, idose, galactose, talose, psicose, fructose, sorbose, tagatose and the like. Examples of pentose include ribose, arabinose, xylose, lyxose, ribulose, xylulose and the like. Examples of furanose include trofuranose, treofuranose, ribofuranose, arabinofuranose, xylofuranose, and loxofuranose. Examples of the pyranose include ribopyranose, arabinopyranose, xylopyranose, loxopyranose, allopyranose, arthropyranose, glucopyranose, mannopyranose, gropyranose, idopyranose, galactopyranose, and talopyranose.
 糖類の濃度は、エッチング液中、0.01質量%以上であることが好ましく、0.05質量%以上がより好ましく、0.1質量%以上含有させることが特に好ましい。上限としては、10質量%以下が好ましく、3質量%以下がより好ましく、1質量%以下が特に好ましい。溶解成分100質量部に対しては、1質量部以上が好ましく、3質量部以上がより好ましく、5質量部以上が特に好ましい。上限としては、50質量部以下が好ましく、30質量部以下がより好ましく、20質量部以下が特に好ましい。 The concentration of saccharide is preferably 0.01% by mass or more, more preferably 0.05% by mass or more, and particularly preferably 0.1% by mass or more in the etching solution. As an upper limit, 10 mass% or less is preferable, 3 mass% or less is more preferable, and 1 mass% or less is especially preferable. 1 mass part or more is preferable with respect to 100 mass parts of melt | dissolution components, 3 mass parts or more are more preferable, and 5 mass parts or more are especially preferable. As an upper limit, 50 mass parts or less are preferable, 30 mass parts or less are more preferable, and 20 mass parts or less are especially preferable.
(カルボン酸含有ポリマー)
 本発明のエッチング液は、カルボン酸含有ポリマーを含んでいてもよい。カルボン酸含有ポリマーは、エッチング液において、AlやSi系絶縁膜の防食という役割を果たすと解される。
 カルボン酸含有ポリマーは特に限定されず、カルボキシル基を有する構成単位を有する種々のポリマーを適用することができる。このようなポリマーを構成するモノマーとしては、アクリル酸(AA)、メタクリル酸(MA)、ビニル安息香酸(VBA)等が挙げられる。
(Carboxylic acid-containing polymer)
The etching solution of the present invention may contain a carboxylic acid-containing polymer. It is understood that the carboxylic acid-containing polymer plays a role of preventing corrosion of Al or Si-based insulating films in the etching solution.
The carboxylic acid-containing polymer is not particularly limited, and various polymers having a structural unit having a carboxyl group can be applied. Examples of the monomer constituting such a polymer include acrylic acid (AA), methacrylic acid (MA), vinyl benzoic acid (VBA), and the like.
 カルボン酸含有ポリマーの濃度は、エッチング液中、0.01質量%以上であることが好ましく、0.05質量%以上がより好ましく、0.1質量%以上含有させることが特に好ましい。上限としては、10質量%以下が好ましく、3質量%以下がより好ましく、1質量%以下が特に好ましい。溶解成分100質量部に対しては、1質量部以上が好ましく、3質量部以上がより好ましく、5質量部以上が特に好ましい。上限としては、50質量部以下が好ましく、30質量部以下がより好ましく、20質量部以下が特に好ましい。 The concentration of the carboxylic acid-containing polymer is preferably 0.01% by mass or more, more preferably 0.05% by mass or more, and particularly preferably 0.1% by mass or more in the etching solution. As an upper limit, 10 mass% or less is preferable, 3 mass% or less is more preferable, and 1 mass% or less is especially preferable. 1 mass part or more is preferable with respect to 100 mass parts of melt | dissolution components, 3 mass parts or more are more preferable, and 5 mass parts or more are especially preferable. As an upper limit, 50 mass parts or less are preferable, 30 mass parts or less are more preferable, and 20 mass parts or less are especially preferable.
 本明細書において、化合物ないし置換基・連結基等がアルキル基・アルキレン基、アルケニル基・アルケニレン基、アルキニル基・アルキニレン基等を含むとき、これらは環状でも鎖状でもよく、また直鎖でも分岐していてもよく、任意の基で置換されていても無置換でもよい。このとき、アルキル基・アルキレン基、アルケニル基・アルケニレン基、アルキニル基・アルキニレン基はヘテロ原子を含む基(例えば、O、S、CO、NR等)を介在していてもよく、これを伴って環構造を形成していてもよい。またアリール基、ヘテロ環基等を含むとき、それらは単環でも縮環でもよく、同様に置換されていても無置換でもよい。
 本明細書において、化合物の置換基や連結基の選択肢を始め、温度、厚さといった各技術事項は、そのリストがそれぞれ独立に記載されていても、相互に組み合わせることができる。
 本明細書において、化合物または酸と末尾に付して化合物を特定するときには、本発明の効果を奏する範囲で、上記化合物以外に、そのイオン、塩を含む意味である。また、同様に、その誘導体を含む意味である。
In the present specification, when a compound or a substituent / linking group includes an alkyl group / alkylene group, an alkenyl group / alkenylene group, an alkynyl group / alkynylene group, etc., these may be cyclic or linear, and may be linear or branched. It may be substituted with any group or unsubstituted. In this case, an alkyl group, an alkylene group, an alkenyl group, an alkenylene group, an alkynyl group, an alkynylene group is a group containing a hetero atom (e.g., O, S, CO, NR N and the like) may be separated by a, with this To form a ring structure. Moreover, when an aryl group, a heterocyclic group, etc. are included, they may be monocyclic or condensed and may be similarly substituted or unsubstituted.
In the present specification, the technical matters such as temperature and thickness, as well as the choices of substituents and linking groups of the compounds, can be combined with each other even if the list is described independently.
In the present specification, when a compound is specified by adding a compound or an acid to the end, it means that in addition to the above compound, its ion and salt are included within the range where the effects of the present invention are exhibited. Similarly, it is meant to include derivatives thereof.
(水)
 本発明のエッチング液には水(水媒体)を含有させることが好ましい。水(水媒体)としては、本発明の効果を損ねない範囲で溶解成分を含む水性媒体であってもよく、あるいは不可避的な微量混合成分を含んでいてもよい。なかでも、蒸留水やイオン交換水、あるいは超純水といった浄化処理を施された水が好ましく、半導体製造に使用される超純水を用いることが特に好ましい。水の濃度は特に限定されないが、0.1質量%以上であることが好ましく、1質量%以上であることがより好ましく、5質量%以上であることが特に好ましい。上限としては、50質量%以下であることが好ましく、40質量%以下であることがより好ましく、25質量%以下であることが特に好ましい。
 本発明においては、エッチング液の濃度を所定の範囲に規定することが好ましい。水がない状態では、金属層のエッチング作用を十分には示さないことがある。この点で適用されることが好ましいが、この量を少量に抑えることで、保護すべき金属層の損傷を抑えることができる。
(water)
The etching solution of the present invention preferably contains water (aqueous medium). The water (aqueous medium) may be an aqueous medium containing a dissolved component as long as the effects of the present invention are not impaired, or may contain an unavoidable trace mixed component. Among these, water that has been subjected to purification treatment such as distilled water, ion-exchanged water, or ultrapure water is preferable, and ultrapure water that is used for semiconductor manufacturing is particularly preferable. The concentration of water is not particularly limited, but is preferably 0.1% by mass or more, more preferably 1% by mass or more, and particularly preferably 5% by mass or more. As an upper limit, it is preferable that it is 50 mass% or less, It is more preferable that it is 40 mass% or less, It is especially preferable that it is 25 mass% or less.
In the present invention, it is preferable to regulate the concentration of the etching solution within a predetermined range. In the absence of water, the metal layer may not be sufficiently etched. Although it is preferable to apply in this respect, damage to the metal layer to be protected can be suppressed by suppressing this amount to a small amount.
(特定有機添加剤)
 本実施形態に係るエッチング液には、特定有機添加剤を含有させることが好ましい。この有機添加剤は、窒素原子、硫黄原子、リン原子、もしくは酸素原子を含有する有機化合物からなる。中でも、上記有機添加剤は、アミノ基(-NR )もしくはその塩、イミノ基(-NR-)もしくはその塩、スルファニル基(-SH)、ヒドロキシル基(-OH)、カルボニル基(-CO-)、スルホン酸基(-SOH)もしくはその塩、リン酸基(-PO)もしくはその塩、オニウム基もしくはその塩、スルフィニル基(-SO-)、スルホニル基(SO)、エーテル基(-O-)、アミンオキシド基、およびチオエーテル基(-S-)から選ばれる置換基もしくは連結基を有する化合物であることが好ましい。さらに、非プロトン解離性有機化合物(アルコール化合物、エーテル化合物、エステル化合物、カーボネート化合物)、アゾール化合物、ベタイン化合物、スルホン酸化合物、アミド化合物、オニウム化合物、アミノ酸化合物、リン酸化合物、スルホキシド化合物であることも好ましい。
 上記Rは上記の定義による。置換基としては、アルキル基(炭素数1~24が好ましく、1~12がより好ましく、1~6がさらに好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~24が好ましく、2~12がより好ましく、2~6がさらに好ましく、2~3が特に好ましい)、アルキニル基(炭素数2~24が好ましく、2~12がより好ましく、2~6がさらに好ましく、2~3が特に好ましい)、炭素数6~10のアリール基、炭素数7~11のアラルキル基が好ましい。
(Specific organic additives)
The etchant according to this embodiment preferably contains a specific organic additive. This organic additive consists of an organic compound containing a nitrogen atom, a sulfur atom, a phosphorus atom, or an oxygen atom. Among these, the organic additives include amino groups (—NR N 2 ) or salts thereof, imino groups (—NR N —) or salts thereof, sulfanyl groups (—SH), hydroxyl groups (—OH), carbonyl groups (— CO—), sulfonic acid group (—SO 3 H) or a salt thereof, phosphoric acid group (—PO 4 H 2 ) or a salt thereof, onium group or a salt thereof, sulfinyl group (—SO—), sulfonyl group (SO 2 ), An ether group (—O—), an amine oxide group, and a thioether group (—S—), a compound having a substituent or a linking group is preferable. Furthermore, it must be an aprotic dissociative organic compound (alcohol compound, ether compound, ester compound, carbonate compound), azole compound, betaine compound, sulfonic acid compound, amide compound, onium compound, amino acid compound, phosphoric acid compound, sulfoxide compound. Is also preferable.
The above RN is as defined above. Examples of the substituent include an alkyl group (preferably having 1 to 24 carbon atoms, more preferably 1 to 12 carbon atoms, further preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms), and an alkenyl group (preferably having 2 to 24 carbon atoms and 2 carbon atoms). To 12 is more preferable, 2 to 6 is more preferable, and 2 to 3 is particularly preferable, and an alkynyl group (2 to 24 carbon atoms is preferable, 2 to 12 is more preferable, 2 to 6 is more preferable, and 2 to 3 is Especially preferred are aryl groups having 6 to 10 carbon atoms and aralkyl groups having 7 to 11 carbon atoms.
 上記特定有機添加剤は、下記式(I)~(XIII)のいずれかで表される化合物からなることが特に好ましい。 The specific organic additive is particularly preferably composed of a compound represented by any of the following formulas (I) to (XIII).
Figure JPOXMLDOC01-appb-C000010
Figure JPOXMLDOC01-appb-C000010
 式(I):
 R11およびR12は、それぞれ独立に、水素原子、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、アラルキル基(炭素数7~23が好ましく、7~15がより好ましい)、スルファニル基(SH)、ヒドロキシル基(OH)、またはアミノ基(-NR )である。ただしR11およびR12の少なくとも片方はスルファニル基、ヒドロキシル基、またはアミノ基(炭素数0~6が好ましく、0~3がより好ましい)であることが好ましい。Rは上記の定義による。なお、上記の置換基はさらに置換基をとる場合(アルキル基、アルケニル基、アリール基等)、さらに任意の置換基Tを有していてもよい。これは、これ以降に説明する置換基や連結基についても同様である。
Formula (I):
R 11 and R 12 are each independently a hydrogen atom, an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), and an alkenyl group (preferably having 2 to 12 carbon atoms). 2 to 6 are more preferred), an alkynyl group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6), an aryl group (preferably having 6 to 22 carbon atoms, more preferably 6 to 14), an aralkyl group ( 7 to 23 carbon atoms are preferred, and 7 to 15 carbon atoms are more preferred), a sulfanyl group (SH), a hydroxyl group (OH), or an amino group (—NR N 2 ). However, at least one of R 11 and R 12 is preferably a sulfanyl group, a hydroxyl group, or an amino group (preferably having a carbon number of 0 to 6, more preferably 0 to 3). RN is as defined above. In addition, when said substituent further takes a substituent (an alkyl group, an alkenyl group, an aryl group, etc.), you may have arbitrary substituent T. The same applies to the substituents and linking groups described below.
 Xはメチレン基(CR )、硫黄原子(S)、または酸素原子(O)である。なかでも硫黄原子が好ましい。Rは水素原子または置換基(後記置換基Tが好ましい。)である。 X 1 is a methylene group (CR C 2 ), a sulfur atom (S), or an oxygen atom (O). Of these, a sulfur atom is preferable. R C represents a hydrogen atom or a substituent (substituent T described below is preferred).
 式(II):
 Xはメチン基(=CR-)または窒素原子(N)である。R21は置換基(後記置換基Tが好ましい。)であり、なかでもスルファニル基(SH)、ヒドロキシル基(OH)、アミノ基(NR )が好ましい。R及びRは上記の定義による。
 n2は0~4の整数である。
 R21が複数あるとき、それらは同じでも異なってもよく、互いに結合ないし縮合して環を形成していてもよい。形成される環としては、含窒素複素環であることが好ましく、不飽和の5員または6員の含窒素複素環であることがより好ましい。
Formula (II):
X 2 is a methine group (═CR C —) or a nitrogen atom (N). R 21 is a substituent (substituent T described below is preferred), and among them, a sulfanyl group (SH), a hydroxyl group (OH), and an amino group (NR N 2 ) are preferred. R C and R N are as defined above.
n2 is an integer of 0-4.
When there are a plurality of R 21 s , they may be the same or different, and may be bonded to each other or condensed to form a ring. The ring to be formed is preferably a nitrogen-containing heterocycle, and more preferably an unsaturated 5-membered or 6-membered nitrogen-containing heterocycle.
 式(III):
 Yはメチレン基、イミノ基(NR)、または硫黄原子(S)である。Rは上記の定義による。
 Yは水素原子、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、アラルキル基(炭素数7~23が好ましく、7~15がより好ましい)、アミノ基(炭素数0~6が好ましく、0~3がより好ましい)、ヒドロキシル基、スルファニル基である。
 R31は置換基(後記置換基Tが好ましい。)である。なかでもスルファニル基(SH)、ヒドロキシル基(OH)、アミノ基(NR )が好ましい。Rは上記の定義による。
 n3は0~2の整数である。
 R31が複数あるとき、それらは同じでも異なってもよく、互いに結合ないし縮合して環を形成していてもよい。形成される環としては、六員環であることが好ましく、ベンゼン構造もしくは六員のヘテロアリール構造(なかでもピリジン構造、ピリミジン構造が好ましい。)が挙げられる。
Formula (III):
Y 1 is a methylene group, an imino group (NR N ), or a sulfur atom (S). RN is as defined above.
Y 2 represents a hydrogen atom, an alkyl group (preferably 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkenyl group (preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms). An alkynyl group (preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an aryl group (preferably 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), an aralkyl group (preferably 7 to 23 carbon atoms, 7 to 15 are more preferable), an amino group (preferably having 0 to 6 carbon atoms, more preferably 0 to 3), a hydroxyl group, and a sulfanyl group.
R 31 is a substituent (substituent T described below is preferred). Of these, a sulfanyl group (SH), a hydroxyl group (OH), and an amino group (NR N 2 ) are preferable. RN is as defined above.
n3 is an integer of 0-2.
When there are a plurality of R 31 s , they may be the same or different and may be bonded to each other or condensed to form a ring. The ring to be formed is preferably a 6-membered ring, and examples thereof include a benzene structure or a 6-membered heteroaryl structure (in particular, a pyridine structure or a pyrimidine structure is preferable).
 式(III)は下記式(III-1)であることが好ましい。
Figure JPOXMLDOC01-appb-C000011
 YおよびYはそれぞれ独立にメチン基(=CR-)または窒素原子(N)である。Rは上記の定義による。
 Y、Y、R31、n3は上記と同義である。YおよびYの位置は六員環の中で別の位置にあってもよい。
The formula (III) is preferably the following formula (III-1).
Figure JPOXMLDOC01-appb-C000011
Y 3 and Y 4 are each independently a methine group (═CR C —) or a nitrogen atom (N). R C is as defined above.
Y 1 , Y 2 , R 31 and n3 are as defined above. The positions of Y 3 and Y 4 may be at different positions in the six-membered ring.
 式(IV):
 Lはアルキレン基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルキニレン基(炭素数2~12が好ましく、2~6がより好ましい)、アルケニレン基(炭素数2~12が好ましく、2~6がより好ましい)、アリーレン基(炭素数6~22が好ましく、6~14がより好ましい)、またはアラルキレン基(炭素数7~23が好ましく、7~15がより好ましい)である。
 Xはカルボキシル基またはヒドロキシル基である。
 式中のSH基はジスルフィド化して二量体となっていてもよい。
Formula (IV):
L 1 is an alkylene group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkynylene group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an alkenylene group. (Preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), arylene group (preferably 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or aralkylene group (preferably 7 to 23 carbon atoms, preferably 7 to 7 carbon atoms). 15 is more preferable).
X 4 is a carboxyl group or a hydroxyl group.
The SH group in the formula may be disulfide to form a dimer.
 式(V):
 R51は、アルキル基(炭素数1~24が好ましく、炭素数1~12がより好ましく、1~6がさらに好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~24が好ましく、炭素数2~12がより好ましく、2~6がさらに好ましい)、アルキニル基(炭素数2~24が好ましく、炭素数2~12がより好ましく、2~6がさらに好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、またはアラルキル基(炭素数7~23が好ましく、7~15がより好ましい)である。
 R51がアリール基であるとき、そこには炭素数1~20のアルキル基、炭素数2~20のアルケニル基、または炭素数2~20のアルキニル基、炭素数1~20のアルコキシ基、炭素数6~14のアリール基、炭素数6~14のアリールオキシ基が置換していることが好ましい。
 R51がアルキル基であるとき、下記の構造であってもよい。
 
 *-R52-(R53-Y53n5-R54
 R52は単結合もしくはLと同義の連結基である。R53はLと同義の連結基である。Y53は酸素原子(O)、硫黄原子(S)、カルボニル基(CO)、もしくはイミノ基(NR)である。あるいは、酸素原子(O)、硫黄原子(S)、カルボニル基(CO)、イミノ基(NR)の組み合わせでもよく、例えば、(C=O)O、O(C=O)などが挙げられる。R54はアルキル基(炭素数1~24が好ましく、1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、またはアラルキル基(炭素数7~23が好ましく、7~15がより好ましい)である。Rは上記の定義による。
 n5は0~8の整数である。
 R51は更に置換基Tを有していてもよく、なかでも、スルファニル基(SH)、ヒドロキシル基(OH)、アミノ基(NR )が好ましい。Rは上記の定義による。
 Zはアミノ基(NR )(炭素数0~6が好ましく、0~3がより好ましい)、スルホン酸基(SOH)、硫酸基(SOH)、リン酸基(PO)、カルボキシル基、ヒドロキシル基、スルファニル基(SH)、オニウム基(炭素数3~12が好ましい)、アシルオキシ基、またはアミンオキシド基(-NR )である。ここで、Rは上記の定義による。
 本発明において、アミノ基、スルホン酸基、リン酸基、カルボキシル基は特に断らない限りその塩や酸の場合にはその酸エステル(例えば、アルキルエステルであり、炭素数1~24が好ましく、炭素数1~12がより好ましく、1~6がさらに好ましい)を形成していても良い意味である。カルボン酸エステルをなすアルキル基はさらに置換基Tを有していても良い。例えば、ヒドロキシル基を有するアルキル基が挙げられる。このとき、アルキル基はヘテロ原子を含む基(例えば、O、S、CO、NR等)を伴って環構造を形成していてもよい。ヒドロキシル基を有する環構造のアルキル基としてソルビタン残基が挙げられる。すなわち、ソルビタン脂肪酸エステル(炭素数7~40が好ましく、炭素数8~24がより好ましく)を好適に利用することができる。
Formula (V):
R 51 is an alkyl group (preferably having 1 to 24 carbon atoms, more preferably 1 to 12 carbon atoms, still more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), an alkenyl group (preferably having 2 to 24 carbon atoms, More preferably 2 to 12 carbon atoms, still more preferably 2 to 6 carbon atoms, an alkynyl group (preferably 2 to 24 carbon atoms, more preferably 2 to 12 carbon atoms, still more preferably 2 to 6 carbon atoms), an aryl group (carbon number 6 to 22 is preferable, and 6 to 14 is more preferable), or an aralkyl group (C 7 to 23 is preferable, and 7 to 15 is more preferable).
When R 51 is an aryl group, it includes an alkyl group having 1 to 20 carbon atoms, an alkenyl group having 2 to 20 carbon atoms, an alkynyl group having 2 to 20 carbon atoms, an alkoxy group having 1 to 20 carbon atoms, carbon An aryl group having 6 to 14 carbon atoms and an aryloxy group having 6 to 14 carbon atoms are preferably substituted.
When R 51 is an alkyl group, it may have the following structure.

* -R 52- (R 53 -Y 53 ) n5 -R 54
R 52 is a single bond or a linking group having the same meaning as L 1 . R 53 is a linking group having the same meaning as L 1 . Y 53 is an oxygen atom (O), a sulfur atom (S), a carbonyl group (CO), or an imino group (NR N ). Alternatively, a combination of an oxygen atom (O), a sulfur atom (S), a carbonyl group (CO), and an imino group (NR N ) may be used, and examples thereof include (C═O) O and O (C═O). . R 54 is an alkyl group (preferably having 1 to 24 carbon atoms, preferably 1 to 12, more preferably 1 to 6, more preferably 1 to 3), or an alkenyl group (preferably having 2 to 12 carbon atoms, preferably having 2 to 6 carbon atoms). More preferably), an alkynyl group (preferably 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an aryl group (preferably 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or an aralkyl group (7 to 7 carbon atoms). 23 is preferable, and 7 to 15 is more preferable. RN is as defined above.
n5 is an integer of 0 to 8.
R 51 may further have a substituent T, and among them, a sulfanyl group (SH), a hydroxyl group (OH), and an amino group (NR N 2 ) are preferable. RN is as defined above.
Z is an amino group (NR N 2 ) (preferably having 0 to 6 carbon atoms, more preferably 0 to 3), a sulfonic acid group (SO 3 H), a sulfuric acid group (SO 4 H), a phosphoric acid group (PO 4 H 2 ), a carboxyl group, a hydroxyl group, a sulfanyl group (SH), an onium group (preferably having 3 to 12 carbon atoms), an acyloxy group, or an amine oxide group (—NR N 2 + O ). Here, RN is as defined above.
In the present invention, an amino group, a sulfonic acid group, a phosphoric acid group, and a carboxyl group are acid esters (for example, alkyl esters, preferably having 1 to 24 carbon atoms, in the case of salts or acids thereof, unless otherwise specified. The number 1 to 12 is more preferable, and 1 to 6 is more preferable. The alkyl group forming the carboxylic acid ester may further have a substituent T. For example, the alkyl group which has a hydroxyl group is mentioned. In this case, the alkyl group is a group containing a hetero atom (e.g., O, S, CO, NR N, etc.) may form a ring structure with a. A sorbitan residue is mentioned as an alkyl group of a ring structure having a hydroxyl group. That is, sorbitan fatty acid esters (preferably having 7 to 40 carbon atoms, more preferably 8 to 24 carbon atoms) can be suitably used.
 式(V)中のR51とZとの間には、所望の効果を奏する範囲で任意の連結基を有していてもよい。任意の連結基としては、上記Lの例またはY53の例が挙げられる。
 式(V)がカルボン酸であるとき、R51はアルキル基であることが好ましく、この場合は、炭素数1~24が好ましく、3~20がより好ましく、6~18がさらに好ましく、8~16が特に好ましい。このアルキル基がさらに置換基Tを有していてよいことは、他のものと同様である。
Between R 51 and Z in Formula (V), you may have arbitrary coupling groups in the range which has a desired effect. The optional linking group, examples of the examples or Y 53 in the L 1 and the like.
When Formula (V) is a carboxylic acid, R 51 is preferably an alkyl group, and in this case, 1 to 24 carbon atoms are preferable, 3 to 20 are more preferable, 6 to 18 are more preferable, and 8 to 16 is particularly preferred. The fact that this alkyl group may further have a substituent T is the same as the others.
 上記オニウム基を有する化合物としては、アンモニウム基を有する化合物(R51-NR )、ピリジニウム基を有する化合物(C -R51・M)、またはイミダゾリニウム基(C NR-R51・M)が好ましい。Rは上記と同義である。Mは対となるアニオン(例えばOH)である。 Examples of the compound having an onium group include a compound having an ammonium group (R 51 —NR N 3 + M ), a compound having a pyridinium group (C 5 R N 5 N + —R 51 · M ), or an imidazoli sulfonium group (C 3 R N 3 NR N N + -R 51 · M -) is preferred. RN is as defined above. M is a paired anion (for example, OH ).
 上記オニウム基を有する化合物をさらに詳しく例示すると、以下の式で表されるものが挙げられる。
Figure JPOXMLDOC01-appb-C000012
 式中、RO7~RO10はそれぞれ独立に炭素数1~24のアルキル基、炭素数2~24のアルケニル基、炭素数2~24のアルキニル基、炭素数6~14のアリール基、炭素数7~14のアラルキル基、下記式(y)で表される基である。ただし、RO7~RO10の少なくとも1つの炭素数が6以上であることが好ましく、8以上であることがより好ましい。
 
  Y1-(Ry1-Y2)my-Ry2-*    (y)
 
 Y1は、水素原子、炭素数1~12のアルキル基、炭素数2~12のアルケニル基、炭素数2~12のアルキニル基、炭素数7~14のアラルキル基、炭素数6~14のアリール基、ヒドロキシル基、または炭素数1~4のアルコキシ基を表す。Y2は、O、S、CO、NRを表す。Ry1およびRy2はそれぞれ独立に炭素数1~6のアルキレン基、炭素数2~6のアルケニレン基、炭素数2~6のアルキニレン基、炭素数6~10のアリーレン基、またはそれらの組合せを表す。myは0~6の整数を表す。myが2以上のとき複数のRy1およびY2はそれぞれ異なっていてもよい。Ry1およびRy2はさらに置換基Tを有していてもよい。*は結合手である。Rは上記の定義による。
 RO11はRO7と同義の基であるが、炭素数は6以上であることが好ましく、8以上であることがより好ましい。RO12は置換基Tである。mOは0~5の整数である。
 M4、M5、及びM6は対イオンであり、例えば水酸化物イオンが挙げられる。
 RO13はY1と同義の基である。RO14およびRO15は式(y)と同義の基である。RO14およびRO15の少なくとも1つのY1はカルボキシル基であり、ベタインを構成していることが好ましい。
When the compound which has the said onium group is illustrated in more detail, what is represented by the following formula | equation will be mentioned.
Figure JPOXMLDOC01-appb-C000012
In the formula, R O7 to R O10 are each independently an alkyl group having 1 to 24 carbon atoms, an alkenyl group having 2 to 24 carbon atoms, an alkynyl group having 2 to 24 carbon atoms, an aryl group having 6 to 14 carbon atoms, 7 to 14 aralkyl groups, groups represented by the following formula (y). However, at least one carbon number of R O7 to R O10 is preferably 6 or more, more preferably 8 or more.

Y1- (Ry1-Y2) my-Ry2- * (y)

Y1 represents a hydrogen atom, an alkyl group having 1 to 12 carbon atoms, an alkenyl group having 2 to 12 carbon atoms, an alkynyl group having 2 to 12 carbon atoms, an aralkyl group having 7 to 14 carbon atoms, or an aryl group having 6 to 14 carbon atoms. Represents a hydroxyl group or an alkoxy group having 1 to 4 carbon atoms. Y2 represents O, S, CO, and NR N. Ry1 and Ry2 each independently represents an alkylene group having 1 to 6 carbon atoms, an alkenylene group having 2 to 6 carbon atoms, an alkynylene group having 2 to 6 carbon atoms, an arylene group having 6 to 10 carbon atoms, or a combination thereof. my represents an integer of 0 to 6. When my is 2 or more, the plurality of Ry1 and Y2 may be different from each other. Ry1 and Ry2 may further have a substituent T. * Is a bond. RN is as defined above.
R O11 is a group having the same meaning as R O7 , but the carbon number is preferably 6 or more, and more preferably 8 or more. R O12 is a substituent T. mO is an integer of 0-5.
M4 , M5 and M6 are counter ions, and examples thereof include hydroxide ions.
R O13 is a group having the same meaning as Y1. R O14 and R O15 are the same groups as those in the formula (y). At least one Y1 of R O14 and R O15 is a carboxyl group, and preferably constitutes betaine.
 式(V)で表される化合物は、下記式(V-1)~(V-3)のいずれかであることが好ましい。式中、Z、Zは連結基Lを介することのあるスルホン酸基である。R56は置換基Tであり、なかでもそこで例示されるアルキル基が好ましい。n51およびn56は0~5の整数である。n53は0~4の整数である。n51、n53、およびn56の最大値は同じ環にあるZまたはZの数に応じて減ずる。n52は1~6の整数であり、1または2が好ましい。n54およびn55はそれぞれ独立に0~4の整数であり、n54+n55は1以上である。n54+n55は1または2が好ましい。n57およびn58はそれぞれ独立に0~5の整数であり、n57+n58は1以上である。n57+n58は1または2が好ましい。複数あるR56は互いに同じでも異なっていてもよい。連結基Lは上記L、後記L、またはその組合せであることが好ましく、Lであることがより好ましい。 The compound represented by the formula (V) is preferably any one of the following formulas (V-1) to (V-3). In formula, Z < 1 >, Z < 2 > is a sulfonic acid group which may pass through the coupling group L. In FIG. R 56 is a substituent T, and among them, an alkyl group exemplified therein is preferable. n 51 and n 56 are integers of 0 to 5. n 53 is an integer of 0 to 4. The maximum value of n 51 , n 53 , and n 56 decreases with the number of Z 1 or Z 2 in the same ring. n 52 is an integer of 1 to 6, preferably 1 or 2. n 54 and n 55 are each independently an integer of 0 to 4, and n 54 + n 55 is 1 or more. n 54 + n 55 is preferably 1 or 2. n 57 and n 58 are each independently an integer of 0 to 5, and n 57 + n 58 is 1 or more. n 57 + n 58 is preferably 1 or 2. A plurality of R 56 may be the same as or different from each other. Linking group L above L 1, is preferably below L 2, or a combination thereof, and more preferably L 1.
Figure JPOXMLDOC01-appb-C000013
Figure JPOXMLDOC01-appb-C000013
式(VI):
 R61とR62は、それぞれ独立に、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、アルコキシ基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、またはアルキルアミノ基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)である。R61とR62とは結合もしくは縮合して環を形成していてもよい。R61またはR62がアルキル基であるとき、上記*-R52-(R53-Y53)-R54で表される基であってもよい。
 Lはカルボニル基、スルフィニル基(SO)、またはスルホニル基(SO)である。
 式(VI)で表される化合物は、下記式(VI-1)~(VI-3)のいずれかで表される化合物であることが好ましい。式中、R61とR62は上記と同義である。Qは、3~8員環であり、5員環または6員環が好ましく、飽和の5員環または6員環がより好ましく、飽和炭化水素の5員環または6員環が特に好ましい。ただし、Qは任意の置換基Tを有していてもよい。
Formula (VI):
R 61 and R 62 each independently represents an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms) or an aryl group (preferably having 6 to 22 carbon atoms, preferably 6 to 6 carbon atoms). 14 is more preferable), an alkoxy group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, particularly preferably 1 to 3 carbon atoms), or an alkylamino group (preferably having 1 to 12 carbon atoms and more preferably 1 to 6 carbon atoms). 1 to 3 are preferred). R 61 and R 62 may be bonded or condensed to form a ring. When R 61 or R 62 is an alkyl group, it may be a group represented by the above * —R 52 — (R 53 —Y 53 ) —R 54 .
L 2 is a carbonyl group, a sulfinyl group (SO), or a sulfonyl group (SO 2 ).
The compound represented by the formula (VI) is preferably a compound represented by any one of the following formulas (VI-1) to (VI-3). In the formula, R 61 and R 62 are as defined above. Q 6 is a 3- to 8-membered ring, preferably a 5- or 6-membered ring, more preferably a saturated 5- or 6-membered ring, and particularly preferably a saturated hydrocarbon 5- or 6-membered ring. However, Q 6 may have an arbitrary substituent T.
Figure JPOXMLDOC01-appb-C000014
Figure JPOXMLDOC01-appb-C000014
 式(VII):
 R71はアミノ基(-NR )、アンモニウム基(-NR ・M)、またはカルボキシル基である。R及びMは上記の定義による。
 Lは単結合またはLと同義の基である。Lは中でも、メチレン基、エチレン基、プロピレン基、または(-L31(SR)p-)であることが好ましい。L31は炭素数1~6のアルキレン基である。Rは水素原子またはこの部位でジスルフィド基を形成して二量化していてもよい。pは1以上5以下の整数であり、1以上2以下が好ましい。
 R71がカルボキシル基であるとき、この化合物はジカルボン酸化合物となる。ジカルボン酸化合物の例としては、シュウ酸、マロン酸、コハク酸、グルタル酸、アジピン酸、ピメリン酸、スベリン酸、ゼライン酸、セバシン酸、フタル酸、イソフタル酸、テレフタル酸などが挙げられ、中でも、シュウ酸が好ましい。
Formula (VII):
R 71 is an amino group (—NR N 2 ), an ammonium group (—NR N 3 + · M ), or a carboxyl group. R N and M - it is according to the foregoing definition.
L 3 is a single bond or a group having the same meaning as L 1 . Among them, L 3 is preferably a methylene group, an ethylene group, a propylene group, or (—L 31 (SR S ) p—). L 31 is an alkylene group having 1 to 6 carbon atoms. R S may be dimerized by forming a hydrogen atom or a disulfide group at this site. p is an integer of 1 to 5, preferably 1 to 2.
When R 71 is a carboxyl group, this compound becomes a dicarboxylic acid compound. Examples of dicarboxylic acid compounds include oxalic acid, malonic acid, succinic acid, glutaric acid, adipic acid, pimelic acid, suberic acid, xeraic acid, sebacic acid, phthalic acid, isophthalic acid, terephthalic acid, among others. Oxalic acid is preferred.
 式(IIX):
 R81およびR82は、それぞれ独立に、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、またはアラルキル基(炭素数7~23が好ましく、7~15がより好ましい)である。Rは上記の定義による。
Formula (IIX):
R 81 and R 82 each independently represents an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms) or an alkenyl group (preferably having 2 to 12 carbon atoms). 6 is more preferable), an alkynyl group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), an aryl group (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), or an aralkyl group (having carbon numbers). 7 to 23 are preferable, and 7 to 15 are more preferable. RN is as defined above.
 式(IX):
 LはLと同義の基である。
 R91およびR93はそれぞれ独立に水素原子、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、アシル基(炭素数2~12が好ましく、2~6がより好ましい)、またはアラルキル基(炭素数7~23が好ましく、7~15がより好ましい)である。ただし、n9が0のとき、R91およびR93がともに水素原子になることはない。
 n9は0~100の整数であり、0~50が好ましく、0~25がより好ましく、0~15がさらに好ましく、0~10がさらに好ましく、0~5が特に好ましい。
Formula (IX):
L 4 is a group having the same meaning as L 1 .
R 91 and R 93 each independently represent a hydrogen atom, an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms), or an alkenyl group (preferably having 2 to 12 carbon atoms; To 6), alkynyl groups (preferably having 2 to 12 carbon atoms, more preferably 2 to 6 carbon atoms), aryl groups (preferably having 6 to 22 carbon atoms, more preferably 6 to 14 carbon atoms), acyl groups (having carbon numbers) 2 to 12 are preferred, and 2 to 6 are more preferred), or an aralkyl group (preferably having a carbon number of 7 to 23, more preferably 7 to 15). However, when n9 is 0, R 91 and R 93 are not both hydrogen atoms.
n9 is an integer of 0 to 100, preferably 0 to 50, more preferably 0 to 25, still more preferably 0 to 15, further preferably 0 to 10, and particularly preferably 0 to 5.
 式(IX)で表される化合物は、下記式(IX-1)で表される化合物であることがより好ましい。
 
  R91-(OL41)-(OLn91-OR93   (IX-1)
 
 L41は炭素数2以上のアルキレン基であることが好ましく、好ましくは炭素数2~6である。このアルキレン基の炭素数の設定により、金属(例えばTi)と特有の吸着状態を形成せず、その除去が阻害されないものと推定される。また、金属とフッ素原子との結合成分は親水的ないし疎水的に挙動するとみられ、酸素原子を連結する炭素数が2または3以上の化合物が好適に作用すると推定される。この観点からは、さらにL41は炭素数3以上であることが好ましく、炭素数3~6であることが好ましく、炭素数3または4であることが特に好ましい。なお、上記L41の炭素数は、分岐のアルキレン基であるときには、分岐に含まれる炭素原子は除いて、その連結炭素数が2以上であることが好ましい。例えば、2,2-プロパンジイル基は連結炭素数が1となる。つまり、O-O間をつなぐ炭素原子の数を連結炭素数とよび、これが2個以上であることが好ましい。上記の金属との吸着作用を考慮すると、さらに連結炭素数が3以上であることが好ましく、3以上6以下であることがより好ましく、3以上4以下であることが特に好ましい。
 n91はn9と同義の数である。
The compound represented by the formula (IX) is more preferably a compound represented by the following formula (IX-1).

R 91 - (OL 41) - (OL 4) n91 -OR 93 (IX-1)

L 41 is preferably an alkylene group having 2 or more carbon atoms, preferably 2 to 6 carbon atoms. By setting the number of carbon atoms of the alkylene group, it is presumed that a specific adsorption state with a metal (for example, Ti) is not formed and the removal thereof is not hindered. In addition, the binding component between the metal and the fluorine atom is considered to behave in a hydrophilic or hydrophobic manner, and it is presumed that a compound having 2 or 3 or more carbon atoms connecting the oxygen atoms acts suitably. From this viewpoint, L 41 preferably further has 3 or more carbon atoms, more preferably 3 to 6 carbon atoms, and particularly preferably 3 or 4 carbon atoms. The number of carbon atoms in the L 41, when an alkylene group of branches, except the carbon atoms contained in the branch, it is preferred that the linking carbon number of 2 or more. For example, a 2,2-propanediyl group has a linking carbon number of 1. That is, the number of carbon atoms connecting OO is called the number of connected carbons, and it is preferable that the number is 2 or more. Considering the adsorption action with the above metal, the number of connected carbons is preferably 3 or more, more preferably 3 or more and 6 or less, and particularly preferably 3 or more and 4 or less.
n91 is the same number as n9.
 本化合物がR91およびR93において水素原子のヒドロキシル基を2つ以上有する化合物であるとき、その構造は下記式(IX-2)であることが好ましい。 When the present compound is a compound having two or more hydroxyl groups of hydrogen atoms in R 91 and R 93 , the structure is preferably the following formula (IX-2).
Figure JPOXMLDOC01-appb-C000015
Figure JPOXMLDOC01-appb-C000015
 式中のR94~R97は、R91と同義である。R94~R97はさらに置換基Tを有していてもよく、例えばヒドロキシル基を有していてもよい。Lはアルキレン基であり、炭素数1~6のアルキレン基であることが好ましく、炭素数1~4のアルキレン基であることがより好ましい。式(IX-2)の化合物の具体例としては、ヘキシレングリコール、1,3-ブタンジオール、1,4-ブタンジオール等が挙げられる。 R 94 to R 97 in the formula have the same meaning as R 91 . R 94 to R 97 may further have a substituent T, for example, a hydroxyl group. L 9 is an alkylene group, preferably an alkylene group having 1 to 6 carbon atoms, and more preferably an alkylene group having 1 to 4 carbon atoms. Specific examples of the compound of formula (IX-2) include hexylene glycol, 1,3-butanediol, 1,4-butanediol and the like.
 上記親水性・疎水性の観点から、上記式(IX)で表される化合物は、そのCLogPにおいて所望の範囲のものを用いることが好ましい。上記式(IX)で表される化合物のCLogP値は-0.4以上であることが好ましく、-0.2以上であることがより好ましい。上限側の規定としては、2以下であることが好ましく、1.5以下であることがより好ましい。 From the viewpoint of the hydrophilicity / hydrophobicity, the compound represented by the formula (IX) is preferably used in a desired range in the CLogP. The CLogP value of the compound represented by the formula (IX) is preferably −0.4 or more, and more preferably −0.2 or more. The upper limit is preferably 2 or less, and more preferably 1.5 or less.
・ClogP
 オクタノール-水分配係数(logP値)の測定は、一般にJIS日本工業規格Z7260-107(2000)に記載のフラスコ浸とう法により実施することができる。また、オクタノール-水分配係数(logP値)は実測に代わって、計算化学的手法あるいは経験的方法により見積もることも可能である。計算方法としては、Crippen’s fragmentation法(J.Chem.Inf.Comput.Sci.,27,21(1987))、Viswanadhan’s fragmentation法(J.Chem.Inf.Comput.Sci.,29,163(1989))、Broto’s fragmentation法(Eur.J.Med.Chem.-Chim.Theor.,19,71(1984))などを用いることが知られている。本発明では、Crippen’s fragmentation法(J.Chem.Inf.Comput.Sci.,27,21(1987))を用いる。
 ClogP値とは、1-オクタノールと水への分配係数Pの常用対数logPを計算によって求めた値である。ClogP値の計算に用いる方法やソフトウェアについては公知のものを用いることができるが、特に断らない限り、本発明ではDaylight Chemical Information Systems社のシステム:PCModelsに組み込まれたClogPプログラムを用いることとする。
・ ClogP
The measurement of the octanol-water partition coefficient (log P value) can be generally carried out by a flask soaking method described in JIS Japanese Industrial Standard Z7260-107 (2000). Further, the octanol-water partition coefficient (log P value) can be estimated by a computational chemical method or an empirical method instead of the actual measurement. As the calculation method, Crippen's fragmentation method (J. Chem. Inf. Comput. Sci., 27, 21 (1987)), Viswanadhan's fragmentation method (J. Chem. Inf. Comput. Sci., 29, 163 (1989)), Broto's It is known to use a fragmentation method (Eur. J. Med. Chem.-Chim. Theor., 19, 71 (1984)). In the present invention, the Crippen's fragmentation method (J. Chem. Inf. Comput. Sci., 27, 21 (1987)) is used.
The ClogP value is a value obtained by calculating the common logarithm logP of the distribution coefficient P between 1-octanol and water. Known methods and software can be used for calculating the ClogP value. Unless otherwise specified, the present invention uses a ClogP program incorporated in the system: PCModels of Daylight Chemical Information Systems.
 式(X):
 RA3はRと同義である。RA1およびRA2は、それぞれ独立に、水素原子、アルキル基(炭素数1~12が好ましく、1~6がより好ましく、1~3が特に好ましい)、アルケニル基(炭素数2~12が好ましく、2~6がより好ましい)、アルキニル基(炭素数2~12が好ましく、2~6がより好ましい)、アリール基(炭素数6~22が好ましく、6~14がより好ましい)、アラルキル基(炭素数7~23が好ましく、7~15がより好ましい)、スルファニル基、ヒドロキシル基、またはアミノ基である。ただしRA1およびRA2の少なくとも片方はスルファニル基、ヒドロキシル基、またはアミノ基(炭素数0~6が好ましく、0~3がより好ましい)であることが好ましい。
Formula (X):
R A3 has the same meaning as RN. R A1 and R A2 each independently represent a hydrogen atom, an alkyl group (preferably having 1 to 12 carbon atoms, more preferably 1 to 6 carbon atoms, and particularly preferably 1 to 3 carbon atoms), or an alkenyl group (preferably having 2 to 12 carbon atoms). 2 to 6 are more preferred), an alkynyl group (preferably having 2 to 12 carbon atoms, more preferably 2 to 6), an aryl group (preferably having 6 to 22 carbon atoms, more preferably 6 to 14), an aralkyl group ( 7 to 23 carbon atoms, more preferably 7 to 15 carbon atoms), a sulfanyl group, a hydroxyl group, or an amino group. However, at least one of R A1 and R A2 is preferably a sulfanyl group, a hydroxyl group, or an amino group (preferably having 0 to 6 carbon atoms, more preferably 0 to 3 carbon atoms).
 式(XI):
 YおよびYは、それぞれ独立に、酸素原子、硫黄原子、またはイミノ基(NR)、カルボニル基である。RB1は置換基(後記置換基Tが好ましい。)である。nBは0~8の整数である。ただし、YおよびYのいずれか一方はメチレン基(CR )であってもよい。R及びRは上記の定義による。
Formula (XI):
Y 7 and Y 8 are each independently an oxygen atom, a sulfur atom, an imino group (NR N ) or a carbonyl group. R B1 is a substituent (the substituent T described below is preferred). nB is an integer of 0-8. However, either one of Y 7 and Y 8 may be a methylene group (CR C 2 ). R C and R N are as defined above.
 式(XII):
 YおよびY10は、それぞれ独立に、酸素原子、硫黄原子、メチレン基(CR )、イミノ基(NR)、またはカルボニル基である。YおよびY10は、六員環の別の位置であってもよい。R及びRは上記の定義による。
 XおよびXは、硫黄原子または酸素原子である。破線はその結合が単結合でも二重結合でも良いことを意味する。RC1は置換基(後記置換基Tが好ましい。)である。nCは0~2の整数である。
 RC1は複数あるとき、互いに同じでも異なっていてもよく、結合ないし縮合して環を形成していてもよい。
Formula (XII):
Y 9 and Y 10 are each independently an oxygen atom, a sulfur atom, a methylene group (CR C 2 ), an imino group (NR N ), or a carbonyl group. Y 9 and Y 10 may be another position of the six-membered ring. R C and R N are as defined above.
X 5 and X 6 are a sulfur atom or an oxygen atom. A broken line means that the bond may be a single bond or a double bond. R C1 is a substituent (the substituent T described later is preferred). nC is an integer of 0-2.
When there are a plurality of R C1 s , they may be the same as or different from each other, and may be bonded or condensed to form a ring.
 式(XIII):
 Xは、酸素原子、硫黄原子、イミノ基(NR)である。Rは水素原子または炭素数1~24のアルキル基であり、2~20のアルキル基であることが好ましく、4~16のアルキル基であることがより好ましく、6~12のアルキル基であることが特に好ましい。
 Xは、酸素原子、硫黄原子、イミノ基(NR)、またはメチレン基(CR )である。Rは上記の定義による。
 RD1は置換基であり後記置換基Tが好ましい。RD1はなかでも、1~24のアルキル基であることが好ましく、1~12のアルキル基であることがより好ましい。
 nDは0~6の整数であり、0~2の整数が好ましく、1が特に好ましい。
 なかでも、式中のX-CO-XはNR-CO-CR 、O-CO-O、O-CO-CR であることが好ましい。Rは上記の定義による。
Formula (XIII):
X 3 is an oxygen atom, a sulfur atom, or an imino group (NR M ). R M is a hydrogen atom or an alkyl group having 1 to 24 carbon atoms, preferably an alkyl group having 2 to 20 carbon atoms, more preferably an alkyl group having 4 to 16 carbon atoms, and an alkyl group having 6 to 12 carbon atoms. It is particularly preferred.
X 5 is an oxygen atom, a sulfur atom, an imino group (NR M ), or a methylene group (CR C 2 ). R C is as defined above.
R D1 is a substituent, and the substituent T described later is preferable. R D1 is preferably an alkyl group of 1 to 24, more preferably an alkyl group of 1 to 12.
nD is an integer of 0 to 6, preferably an integer of 0 to 2, and particularly preferably 1.
Of these, X 3 —CO—X 5 in the formula is preferably NR N —CO—CR C 2 , O—CO—O, or O—CO—CR C 2 . RN is as defined above.
 上記特定有機添加剤は、下記第一群または第二群のなかから選択される化合物からなることが好ましい。
Figure JPOXMLDOC01-appb-T000016
The specific organic additive is preferably composed of a compound selected from the following first group or second group.
Figure JPOXMLDOC01-appb-T000016
Figure JPOXMLDOC01-appb-T000017
Figure JPOXMLDOC01-appb-T000017
 特定有機添加剤のうち、第一群に属するものの濃度は、エッチング液中、50質量%以上であることが好ましく、55質量%以上であることがより好ましく、60質量%以上がさらに好ましく、70質量%以上含有させることが特に好ましい。上限としては、99質量%以下が好ましく、95質量%以下がより好ましく、90質量%以下が特に好ましい。
 特定有機添加剤のうち、第二群に属するものの濃度は、エッチング液中、0.005質量%以上であることが好ましく、0.01質量%以上であることがより好ましく、0.03質量%以上がさらに好ましく、0.05質量%以上含有させることが特に好ましい。上限としては、10質量%以下が好ましく、7質量%以下がより好ましく、5質量%以下が特に好ましい。
Among the specific organic additives, the concentration of those belonging to the first group is preferably 50% by mass or more, more preferably 55% by mass or more, still more preferably 60% by mass or more, in the etching solution, 70 It is particularly preferable to contain at least mass%. As an upper limit, 99 mass% or less is preferable, 95 mass% or less is more preferable, and 90 mass% or less is especially preferable.
Among the specific organic additives, the concentration of those belonging to the second group is preferably 0.005% by mass or more, more preferably 0.01% by mass or more, and 0.03% by mass in the etching solution. The above is more preferable, and 0.05% by mass or more is particularly preferable. As an upper limit, 10 mass% or less is preferable, 7 mass% or less is more preferable, and 5 mass% or less is especially preferable.
 上記各式と第一群および第二群との区別については、式(V)もしくはその一部、(VI)、(IIX)、(IX)、(XI)に係る化合物が第一群であり、その他の式ないし式(V)もしくはその一部に係る化合物が第二群であることが好ましい。
 なお、本明細書において化合物の表示(例えば、化合物と末尾に付して呼ぶとき)については、この化合物そのもののほか、その塩、そのイオンを含む意味に用いる。また、所望の効果を奏する範囲で、置換基を導入するなど一部を変化させた誘導体を含む意味である。
Regarding the distinction between the above formulas and the first group and the second group, the compounds according to the formula (V) or a part thereof, (VI), (IIX), (IX), (XI) are the first group. In addition, it is preferable that the compound according to the other formula or formula (V) or a part thereof is the second group.
In addition, in this specification, it uses for the meaning containing the salt and its ion besides the compound itself about the display of a compound (for example, when calling it attaching | subjecting to a compound and an end). In addition, it is meant to include derivatives in which a part thereof is changed, such as introduction of a substituent, within a range where a desired effect is exhibited.
 本明細書において置換・無置換を明記していない置換基(連結基についても同様)については、その基に任意の置換基を有していてもよい意味である。これは置換・無置換を明記していない化合物についても同義である。好ましい置換基としては、下記置換基Tが挙げられる。
 置換基Tとしては、下記のものが挙げられる。
 アルキル基(好ましくは炭素原子数1~20のアルキル基、例えばメチル、エチル、イソプロピル、t-ブチル、ペンチル、ヘプチル、1-エチルペンチル、ベンジル、2-エトキシエチル、1-カルボキシメチル等)、アルケニル基(好ましくは炭素原子数2~20のアルケニル基、例えば、ビニル、アリル、オレイル等)、アルキニル基(好ましくは炭素原子数2~20のアルキニル基、例えば、エチニル、ブタジイニル、フェニルエチニル等)、シクロアルキル基(好ましくは炭素原子数3~20のシクロアルキル基、例えば、シクロプロピル、シクロペンチル、シクロヘキシル、4-メチルシクロヘキシル等)、アリール基(好ましくは炭素原子数6~26のアリール基、例えば、フェニル、1-ナフチル、4-メトキシフェニル、2-クロロフェニル、3-メチルフェニル等)、ヘテロ環基(好ましくは炭素原子数2~20のヘテロ環基、好ましくは、少なくとも1つの酸素原子、硫黄原子、窒素原子を有する5または6員環のヘテロ環基が好ましく、例えば、2-ピリジル、4-ピリジル、2-イミダゾリル、2-ベンゾイミダゾリル、2-チアゾリル、2-オキサゾリル等)、アルコキシ基(好ましくは炭素原子数1~20のアルコキシ基、例えば、メトキシ、エトキシ、イソプロピルオキシ、ベンジルオキシ等)、アリールオキシ基(好ましくは炭素原子数6~26のアリールオキシ基、例えば、フェノキシ、1-ナフチルオキシ、3-メチルフェノキシ、4-メトキシフェノキシ等)、アルコキシカルボニル基(好ましくは炭素原子数2~20のアルコキシカルボニル基、例えば、エトキシカルボニル、2-エチルヘキシルオキシカルボニル等)、アミノ基(好ましくは炭素原子数0~20のアミノ基、アルキルアミノ基、アリールアミノ基を含み、例えば、アミノ、N,N-ジメチルアミノ、N,N-ジエチルアミノ、N-エチルアミノ、アニリノ等)、スルファモイル基(好ましくは炭素原子数0~20のスルファモイル基、例えば、N,N-ジメチルスルファモイル、N-フェニルスルファモイル等)、アシル基(好ましくは炭素原子数1~20のアシル基、例えば、アセチル、プロピオニル、ブチリル、ベンゾイル等)、アシルオキシ基(好ましくは炭素原子数1~20のアシルオキシ基、例えば、アセチルオキシ、ベンゾイルオキシ等)、カルバモイル基(好ましくは炭素原子数1~20のカルバモイル基、例えば、N,N-ジメチルカルバモイル、N-フェニルカルバモイル等)、アシルアミノ基(好ましくは炭素原子数1~20のアシルアミノ基、例えば、アセチルアミノ、ベンゾイルアミノ等)、スルホンアミド基(好ましくは炭素原子数0~20のスルファモイル基、例えば、メタンスルホンアミド、ベンゼンスルホンアミド、N-メチルメタンスルホンアミド、N-エチルベンゼンスルホンアミド等)、アルキルチオ基(好ましくは炭素原子数1~20のアルキルチオ基、例えば、メチルチオ、エチルチオ、イソプロピルチオ、ベンジルチオ等)、アリールチオ基(好ましくは炭素原子数6~26のアリールチオ基、例えば、フェニルチオ、1-ナフチルチオ、3-メチルフェニルチオ、4-メトキシフェニルチオ等)、アルキルもしくはアリールスルホニル基(好ましくは炭素原子数1~20のアルキルもしくはアリールスルホニル基、例えば、メチルスルホニル、エチルスルホニル、ベンゼンスルホニル等)、ヒドロキシル基、シアノ基、ハロゲン原子(例えばフッ素原子、塩素原子、臭素原子、ヨウ素原子等)であり、より好ましくはアルキル基、アルケニル基、アリール基、ヘテロ環基、アルコキシ基、アリールオキシ基、アルコキシカルボニル基、アミノ基、アシルアミノ基、ホスホン酸基、スルホン酸基、リン酸基、カルボキシル基、ヒドロキシル基またはハロゲン原子である。
 また、これらの置換基Tで挙げた各基は、上記の置換基Tがさらに置換していてもよい。
In the present specification, a substituent that does not specify substitution / non-substitution (the same applies to a linking group) means that the group may have an arbitrary substituent. This is also synonymous for compounds that do not specify substitution / non-substitution. Preferred substituents include the following substituent T.
Examples of the substituent T include the following.
An alkyl group (preferably an alkyl group having 1 to 20 carbon atoms, such as methyl, ethyl, isopropyl, t-butyl, pentyl, heptyl, 1-ethylpentyl, benzyl, 2-ethoxyethyl, 1-carboxymethyl, etc.), alkenyl A group (preferably an alkenyl group having 2 to 20 carbon atoms such as vinyl, allyl, oleyl and the like), an alkynyl group (preferably an alkynyl group having 2 to 20 carbon atoms such as ethynyl, butadiynyl, phenylethynyl and the like), A cycloalkyl group (preferably a cycloalkyl group having 3 to 20 carbon atoms, such as cyclopropyl, cyclopentyl, cyclohexyl, 4-methylcyclohexyl, etc.), an aryl group (preferably an aryl group having 6 to 26 carbon atoms, for example, Phenyl, 1-naphthyl, 4-methoxyphenyl, -Chlorophenyl, 3-methylphenyl, etc.), heterocyclic groups (preferably heterocyclic groups of 2 to 20 carbon atoms, preferably 5- or 6-membered heterocycles having at least one oxygen atom, sulfur atom, nitrogen atom) A cyclic group is preferred, for example, 2-pyridyl, 4-pyridyl, 2-imidazolyl, 2-benzimidazolyl, 2-thiazolyl, 2-oxazolyl, etc.), an alkoxy group (preferably an alkoxy group having 1 to 20 carbon atoms, for example, Methoxy, ethoxy, isopropyloxy, benzyloxy, etc.), aryloxy groups (preferably aryloxy groups having 6 to 26 carbon atoms, such as phenoxy, 1-naphthyloxy, 3-methylphenoxy, 4-methoxyphenoxy, etc.), An alkoxycarbonyl group (preferably an alkoxycarbonyl group having 2 to 20 carbon atoms) Nyl groups such as ethoxycarbonyl, 2-ethylhexyloxycarbonyl and the like, amino groups (preferably containing an amino group having 0 to 20 carbon atoms, alkylamino group, arylamino group, such as amino, N, N-dimethyl) Amino, N, N-diethylamino, N-ethylamino, anilino, etc.), sulfamoyl groups (preferably sulfamoyl groups having 0 to 20 carbon atoms, such as N, N-dimethylsulfamoyl, N-phenylsulfamoyl, etc.) ), An acyl group (preferably an acyl group having 1 to 20 carbon atoms, such as acetyl, propionyl, butyryl, benzoyl, etc.), an acyloxy group (preferably an acyloxy group having 1 to 20 carbon atoms, such as acetyloxy, benzoyl) Oxy, etc.), a carbamoyl group (preferably a C 1-20 carbon Rubamoyl groups such as N, N-dimethylcarbamoyl and N-phenylcarbamoyl), acylamino groups (preferably acylamino groups having 1 to 20 carbon atoms such as acetylamino and benzoylamino), sulfonamide groups (preferably A sulfamoyl group having 0 to 20 carbon atoms, such as methanesulfonamide, benzenesulfonamide, N-methylmethanesulfonamide, N-ethylbenzenesulfonamide, etc., an alkylthio group (preferably an alkylthio group having 1 to 20 carbon atoms, For example, methylthio, ethylthio, isopropylthio, benzylthio, etc.), arylthio groups (preferably arylthio groups having 6 to 26 carbon atoms, such as phenylthio, 1-naphthylthio, 3-methylphenylthio, 4-methoxyphenylthio, etc.), Alkyl group or arylsulfonyl group (preferably an alkyl or arylsulfonyl group having 1 to 20 carbon atoms, such as methylsulfonyl, ethylsulfonyl, benzenesulfonyl, etc.), hydroxyl group, cyano group, halogen atom (for example, fluorine atom, chlorine atom, Bromine atom, iodine atom, etc.), more preferably alkyl group, alkenyl group, aryl group, heterocyclic group, alkoxy group, aryloxy group, alkoxycarbonyl group, amino group, acylamino group, phosphonic acid group, sulfonic acid group , Phosphoric acid group, carboxyl group, hydroxyl group or halogen atom.
In addition, each of the groups listed as the substituent T may be further substituted with the substituent T described above.
(キット)
 本発明におけるエッチング液は、その原料を複数に分割したキットとしてもよい。例えば、第1液として上記溶解成分を水に含有する液組成物を準備し、第2液として有機溶剤を含有する液組成物を準備する態様が挙げられる。このときその他の化合物などの成分はそれぞれ別にあるいはともに第1液、第2液、またはその他の第3液に含有させておくことができる。
 その使用例としては、両液を混合してエッチング液を調液し、その後適時に上記エッチング処理に適用する態様が好ましい。このようにすることで、各成分の分解による液性能の劣化を招かずにすみ、所望のエッチング作用を効果的に発揮させることができる。ここで、混合後「適時」とは、混合の後所望の作用を失うまでの時期を指し、具体的には60分以内であることが好ましく、30分以内であることがより好ましく、10分以内であることがさらに好ましく、1分以内であることが特に好ましい。下限は特にないが、1秒以上であることが実際的である。
 第1液と第2液との混合の仕方は特に限定されないが、第1液と第2液とをそれぞれの流路に流通させ、両者をその合流点で合流させて混合することが好ましい。その後、さらに流路を流通させ、合流して得られたエッチング液を吐出口から吐出ないし噴射し、半導体基板と接触させることが好ましい。この実施形態でいうと、上記合流点での合流混合から半導体基板への接触までの過程が、上記「適時」に行われることが好ましい。これを、図4を用いて説明すると、調製されたエッチング液が吐出口13から噴射され、処理容器(処理槽)11内の半導体基板Sの上面に適用される。同図に示した実施形態では、A及びBの2液が供給され、合流点14で合流し、その後流路fcを介して吐出口13に移行するようにされている。流路fdは薬液を再利用するための返戻経路を示している。半導体基板Sは回転テーブル12上にあり、回転駆動部Mによって回転テーブルとともに回転されることが好ましい。なお、このような基板回転式の装置を用いる実施態様は、キットにしないエッチング液を用いた処理においても同様に適用することができる。
 なお、本発明のエッチング液は、その使用用途に鑑み、液中の不純物、例えば金属分などは少ないことが好ましい。特に、液中のNa、K、Caイオン濃度が1ppt~1ppm(質量基準)の範囲にあることが好ましい。また、エッチング液において、平均粒径0.5μm以上の粗大粒子数が100個/cm以下の範囲にあることが好ましく、50個/cm以下の範囲にあることが好ましい。
(kit)
The etching solution in the present invention may be a kit in which the raw material is divided into a plurality. For example, the liquid composition which contains the said melt | dissolution component in water as a 1st liquid is prepared, and the aspect which prepares the liquid composition containing an organic solvent as a 2nd liquid is mentioned. At this time, components such as other compounds can be contained separately or together in the first liquid, the second liquid, or the other third liquid.
As an example of its use, a mode in which both solutions are mixed to prepare an etching solution, and then applied to the etching treatment at an appropriate time is preferable. By doing in this way, it does not cause deterioration of the liquid performance by decomposition | disassembly of each component, and a desired etching effect | action can be exhibited effectively. Here, “timely” after mixing refers to the time until the desired action is lost after mixing, specifically within 60 minutes, more preferably within 30 minutes, and more preferably within 10 minutes. Is more preferably within 1 minute, and particularly preferably within 1 minute. Although there is no lower limit in particular, it is practical that it is 1 second or more.
The method of mixing the first liquid and the second liquid is not particularly limited, but it is preferable that the first liquid and the second liquid are circulated through the respective flow paths, and both are merged at the merging point and mixed. After that, it is preferable that the flow path is further circulated, and the etching solution obtained by joining is discharged or jetted from the discharge port and brought into contact with the semiconductor substrate. In this embodiment, it is preferable that the process from the merging and mixing at the merging point to the contact with the semiconductor substrate is performed at the “timely”. This will be described with reference to FIG. 4. The prepared etching solution is sprayed from the discharge port 13 and applied to the upper surface of the semiconductor substrate S in the processing container (processing tank) 11. In the embodiment shown in the figure, the two liquids A and B are supplied, merge at the junction 14, and then move to the discharge port 13 via the flow path fc. A flow path fd indicates a return path for reusing the chemical solution. The semiconductor substrate S is on the turntable 12 and is preferably rotated together with the turntable by the rotation drive unit M. Note that an embodiment using such a substrate rotation type apparatus can be similarly applied to a process using an etching solution that is not used as a kit.
In addition, the etching liquid of this invention has few impurities, for example, a metal content, etc. in a liquid in view of the use use. In particular, the Na, K, and Ca ion concentration in the liquid is preferably in the range of 1 ppt to 1 ppm (mass basis). In the etching solution, the number of coarse particles having an average particle size of 0.5 μm or more is preferably in the range of 100 particles / cm 3 or less, and is preferably in the range of 50 particles / cm 3 or less.
(容器)
 本発明のエッチング液は、(キットであるか否かに関わらず)腐食性等が問題とならない限り、任意の容器に充填して保管、運搬、そして使用することができる。また、半導体用途向けに、容器のクリーン度が高く、不純物の溶出が少ないものが好ましい。使用可能な容器としては、アイセロ化学(株)製の「クリーンボトル」シリーズ、コダマ樹脂工業(株)製の「ピュアボトル」などが挙げられるが、これらに限定されるものではない。
(container)
The etching solution of the present invention can be stored, transported and used in any container as long as corrosivity or the like is not a problem (regardless of whether it is a kit or not). For semiconductor applications, a container having a high cleanliness and a low impurity elution is preferable. Examples of the containers that can be used include, but are not limited to, “Clean Bottle” series manufactured by Aicero Chemical Co., Ltd., “Pure Bottle” manufactured by Kodama Resin Co., Ltd., and the like.
[エッチング条件]
 本発明のエッチング方法においては、枚葉式装置を用いることが好ましい。具体的に枚葉式装置は、処理槽を有し、その処理槽で上記半導体基板を搬送もしくは回転させ、その処理槽内に上記エッチング液を付与(吐出、噴射、流下、滴下等)して、半導体基板に上記エッチング液を接触させるものであることが好ましい。
 枚葉式装置のメリットとしては、(i)常に新鮮なエッチング液が供給されるので、再現性がよい、(ii)面内均一性が高いといったことが挙げられる。さらに、エッチング液を複数に分けたキットを利用しやすく、例えば、上記第1液と第2液をインラインで混合し、吐出する方法が好適に採用される。このとき、上記の第1液と第2液とを共に温度調節するか、どちらか一方だけ温調し、インラインで混合して吐出する方法が好ましい。なかでも、共に温調する実施態様がより好ましい。ラインの温度調節を行うときの管理温度は、後記処理温度と同じ範囲とすることが好ましい。
 枚葉式装置はその処理槽にノズルを具備することが好ましく、このノズルを半導体基板の面方向にスイングさせてエッチング液を半導体基板に吐出する方法が好ましい。このようにすることにより、液の劣化が防止でき好ましい。また、キットにして2液以上に分けることでガス等を発生させにくくすることができ好ましい。
[Etching conditions]
In the etching method of the present invention, it is preferable to use a single wafer type apparatus. Specifically, the single wafer type apparatus has a processing tank, and the semiconductor substrate is transported or rotated in the processing tank, and the etching solution is applied (discharge, jetting, flowing down, dropping, etc.) into the processing tank. The etching solution is preferably brought into contact with the semiconductor substrate.
Advantages of the single wafer type apparatus include (i) a fresh etching solution is always supplied, so that reproducibility is good, and (ii) in-plane uniformity is high. Furthermore, it is easy to use a kit in which the etching liquid is divided into a plurality of parts. For example, a method in which the first liquid and the second liquid are mixed in-line and discharged is suitably employed. At this time, it is preferable to adjust the temperature of both the first liquid and the second liquid, or to adjust the temperature of only one of them and mix and discharge them in-line. Among these, an embodiment in which the temperature is controlled together is more preferable. The management temperature when adjusting the line temperature is preferably in the same range as the processing temperature described later.
The single wafer type apparatus is preferably provided with a nozzle in its processing tank, and a method of discharging the etching liquid onto the semiconductor substrate by swinging the nozzle in the surface direction of the semiconductor substrate is preferable. By doing so, the deterioration of the liquid can be prevented, which is preferable. In addition, it is preferable that a kit is divided into two or more liquids so that it is difficult to generate gas or the like.
 エッチングを行う処理温度は、10℃以上であることが好ましく、20℃以上であることがより好ましい。上限としては、80℃以下であることが好ましく、70℃以下であることがより好ましく、60℃以下であることがさらに好ましく、50℃以下であることがさらに好ましく、40℃以下であることが特に好ましい。上記下限値以上とすることにより、第二層に対する十分なエッチング速度を確保することができ好ましい。上記上限値以下とすることにより、エッチング処理速度の経時安定性を維持することができ好ましい。また、室温付近で処理できることで、エネルギー消費の削減にもつながる。
 なお、エッチングの処理温度とは後記実施例で示す温度測定方法において基板に適用する温度を基礎とするが、保存温度あるいはバッチ処理で管理する場合にはそのタンク内の温度、循環系で管理する場合には循環流路内の温度で設定してもよい。
 エッチング液の供給速度は特に限定されないが、0.05~5L/minとすることが好ましく、0.1~3L/minとすることがより好ましい。上記下限値以上とすることにより、エッチングの面内の均一性を一層良好に確保することができ好ましい。上記上限値以下とすることにより、連続処理時に安定した性能を確保でき好ましい。半導体基板を回転させるときには、その大きさ等にもよるが、上記と同様の観点から、50~1000rpmで回転させることが好ましい。
The processing temperature at which etching is performed is preferably 10 ° C. or higher, and more preferably 20 ° C. or higher. The upper limit is preferably 80 ° C. or lower, more preferably 70 ° C. or lower, further preferably 60 ° C. or lower, further preferably 50 ° C. or lower, and preferably 40 ° C. or lower. Particularly preferred. By setting it to the above lower limit value or more, a sufficient etching rate for the second layer can be secured, which is preferable. By setting it to the upper limit value or less, it is preferable because the temporal stability of the etching processing rate can be maintained. In addition, the ability to process near room temperature leads to a reduction in energy consumption.
The etching processing temperature is based on the temperature applied to the substrate in the temperature measurement method shown in the examples described later. However, when the temperature is controlled by the storage temperature or batch processing, the temperature in the tank is controlled by the circulation system. In some cases, the temperature may be set in the circulation flow path.
The supply rate of the etching solution is not particularly limited, but is preferably 0.05 to 5 L / min, and more preferably 0.1 to 3 L / min. By setting it to the above lower limit value or more, it is preferable because uniformity in the etching plane can be ensured. By setting it to the upper limit value or less, it is preferable because stable performance can be secured during continuous processing. When the semiconductor substrate is rotated, although it depends on its size and the like, it is preferably rotated at 50 to 1000 rpm from the same viewpoint as described above.
 本発明の好ましい実施形態に係る枚葉式のエッチングにおいては、半導体基板を所定の方向に搬送もしくは回転させ、その空間にエッチング液を噴射して上記半導体基板に上記エッチング液を接触させることが好ましい。エッチング液の供給速度や基板の回転速度についてはすでに述べたことと同様である。
 本発明の好ましい実施形態に係る枚葉式の装置構成においては、図5に示すように、吐出口(ノズル)を移動させながら、エッチング液を付与することが好ましい。具体的に、本実施形態においては、半導体基板Sに対してエッチング液を適用する際に、基板がr方向に回転させられている。他方、半導体基板の中心部から端部に延びる移動軌跡線tに沿って、吐出口が移動するようにされている。このように本実施形態においては、基板の回転方向と吐出口の移動方向とが異なる方向に設定されており、これにより両者が互いに相対運動するようにされている。その結果、半導体基板の全面にまんべんなくエッチング液を付与することができ、エッチングの均一性が好適に確保される構成とされている。
 吐出口(ノズル)の移動速度は特に限定されないが、0.1cm/s以上であることが好ましく、1cm/s以上であることがより好ましい。一方、その上限としては、30cm/s以下であることが好ましく、15cm/s以下であることがより好ましい。移動軌跡線は直線でも曲線(例えば円弧状)でもよい。いずれの場合にも移動速度は実際の軌跡線の距離とその移動に費やされた時間から算出することができる。基板1枚のエッチングに要する時間は10~300秒の範囲であることが好ましい。
In the single-wafer etching according to a preferred embodiment of the present invention, it is preferable that the semiconductor substrate is transported or rotated in a predetermined direction, an etching solution is sprayed into the space, and the etching solution is brought into contact with the semiconductor substrate. . The supply rate of the etching solution and the rotation speed of the substrate are the same as those already described.
In the single-wafer type apparatus configuration according to a preferred embodiment of the present invention, as shown in FIG. 5, it is preferable to apply the etching solution while moving the discharge port (nozzle). Specifically, in the present embodiment, when the etching solution is applied to the semiconductor substrate S, the substrate is rotated in the r direction. On the other hand, the discharge port is adapted to move along a movement trajectory line t extending from the center portion to the end portion of the semiconductor substrate. As described above, in this embodiment, the direction of rotation of the substrate and the direction of movement of the discharge port are set to be different from each other. As a result, the etching solution can be applied evenly over the entire surface of the semiconductor substrate, and the etching uniformity is suitably ensured.
The moving speed of the discharge port (nozzle) is not particularly limited, but is preferably 0.1 cm / s or more, and more preferably 1 cm / s or more. On the other hand, the upper limit is preferably 30 cm / s or less, and more preferably 15 cm / s or less. The movement trajectory line may be a straight line or a curved line (for example, an arc shape). In either case, the moving speed can be calculated from the actual distance of the trajectory line and the time spent for the movement. The time required for etching one substrate is preferably in the range of 10 to 300 seconds.
 上記金属層は高いエッチングレートでエッチングされることが好ましい。第二層(金属層)のエッチングレート[R2]は、特に限定されないが、生産効率を考慮し、50Å/min以上であることが好ましく、100Å/min以上がより好ましく、200Å/min以上であることが特に好ましい。上限は特にないが、1000Å/min以下であることが実際的である。 The metal layer is preferably etched at a high etching rate. The etching rate [R2] of the second layer (metal layer) is not particularly limited, but is preferably 50 Å / min or more, more preferably 100 Å / min or more, and 200 Å / min or more in consideration of production efficiency. It is particularly preferred. Although there is no upper limit in particular, it is practical that it is 1000 kg / min or less.
 金属層の露出幅は特に限定されないが、本発明の利点がより顕著になる観点から、2nm以上であることが好ましく、4nm以上であることがより好ましい。同様に効果の顕著性の観点から、上限値は1000nm以下であることが実際的であり、100nm以下であることが好ましく、20nm以下であることがより好ましい。 Although the exposed width of the metal layer is not particularly limited, it is preferably 2 nm or more, more preferably 4 nm or more from the viewpoint that the advantages of the present invention become more prominent. Similarly, from the viewpoint of conspicuous effect, the upper limit is practically 1000 nm or less, preferably 100 nm or less, and more preferably 20 nm or less.
 第一層、第三層、もしくは第四層のエッチングレート[R1]は、特に限定されないが、過度に除去されないことが好ましく、40Å/min以下であることがさらに好ましく、20Å/min以下であることがさらに好ましく、10Å/min以下であることが特に好ましい。下限は特にないが、測定限界を考慮すると0.1Å/min以上であることが実際的である。 The etching rate [R1] of the first layer, the third layer, or the fourth layer is not particularly limited, but is preferably not excessively removed, more preferably 40 Å / min or less, and 20 Å / min or less. More preferably, it is 10 Å / min or less. There is no particular lower limit, but considering the measurement limit, it is practical that it is 0.1 Å / min or more.
 第二層と第一層、第三層、もしくは第四層との選択的エッチングにおいて、そのエッチングレート比([R2]/[R1])は特に限定されないが、2以上であることが好ましく、5以上であることがより好ましく、10以上であることがさらに好ましい。上限としては特に規定されず、高いほど好ましいが、10000以下であることが実際的である。 In the selective etching of the second layer and the first layer, the third layer, or the fourth layer, the etching rate ratio ([R2] / [R1]) is not particularly limited, but is preferably 2 or more, It is more preferably 5 or more, and further preferably 10 or more. The upper limit is not particularly defined and is preferably as high as possible, but is practically 10,000 or less.
 さらに、本発明の好ましい実施形態に係るエッチング液では、Al、W等の金属電極層、HfO、HfSiO、WO、AlO、SiO、SiOC、SiON、SiOCN、TiN、SiN、TiAlC等の層(これらを総称して第四層ということがある)の損傷も好適に抑制できるため、これらを含む半導体基板に適用されることも好ましい。第四層の好ましいエッチングレートは、上記のとおり、第一層ないし第三層のエッチングレートと同じパラメータ[R1]で表示している。その好ましい範囲は上記のとおりである。第二層とのエッチングレート比の好ましい範囲も[R2]/[R1]と同義である。なお、本明細書において、金属化合物の組成をその元素の組合せにより表記した場合には、任意の組成のものを広く包含する意味である。例えば、SiOC(SiON)とは、SiとOとC(N)とが共存することを意味し、その量の比率が1:1:1であることを意味するものではない。このことは、本明細書において共通し、別の金属化合物についても同様である。 Furthermore, in the etching solution according to a preferred embodiment of the present invention, a metal electrode layer such as Al or W, a layer such as HfO, HfSiO, WO, AlO x , SiO, SiOC, SiON, SiOCN, TiN, SiN, or TiAlC (these layers) (Sometimes collectively referred to as a fourth layer) can be suitably suppressed, and therefore, it is also preferably applied to a semiconductor substrate including these. The preferable etching rate of the fourth layer is indicated by the same parameter [R1] as the etching rate of the first layer to the third layer as described above. The preferred range is as described above. The preferable range of the etching rate ratio with the second layer is also synonymous with [R2] / [R1]. In addition, in this specification, when the composition of a metal compound is expressed by a combination of elements, it means that a composition having an arbitrary composition is widely included. For example, SiOC (SiON) means that Si, O, and C (N) coexist, and does not mean that the ratio of the amounts is 1: 1: 1. This is common in this specification, and the same applies to other metal compounds.
 基板1枚のエッチングに要する時間は10秒以上であることが好ましく、50秒以上であることがより好ましい。上限としては、300秒以下であることが好ましく、200秒以下であることがより好ましい。 The time required for etching one substrate is preferably 10 seconds or more, and more preferably 50 seconds or more. As an upper limit, it is preferable that it is 300 seconds or less, and it is more preferable that it is 200 seconds or less.
[半導体基板製品の製造(半導体プロセス)]
 本実施形態においては、シリコンウエハ上に、上記シリコン層と金属層とを形成した半導体基板とする工程と、上記半導体基板をアニールする工程、半導体基板にエッチング液を付与し、エッチング液と金属層とを接触させて、上記金属層を選択的に除去する工程とを介して、所望の構造を有する半導体基板製品を製造することが好ましい。このとき、エッチングには上記特定のエッチング液を用いる。上記の工程の順序は制限されて解釈されるものではなく、それぞれの工程間にさらに別の工程を含んでいてもよい。
 ウェハサイズは特に限定されないが、直径8インチ、直径12インチ、または直径14インチのものを好適に使用することができる(1インチ=25.4mm)。
 なお、本明細書において「準備」というときには、特定の材料を合成ないし調合等して備えることのほか、購入等により所定の物を調達することを含む意味である。また、本明細書においては、半導体基板の各材料をエッチングするようエッチング液を用いることを「適用」と称するが、その実施態様は特に限定されない。例えば、エッチング液と基板とを接触させることを広く含み、具体的には、バッチ式のもので浸漬してエッチングしても、枚葉式のもので吐出によりエッチングしてもよい。
 本明細書において、半導体基板とは、ウェハのみではなくそこに回路構造が施された基板構造体全体を含む意味で用いる。半導体基板部材とは、上記で定義される半導体基板を構成する部材を指し1つの材料からなっていても複数の材料からなっていてもよい。なお、加工済みの半導体基板を半導体基板製品として区別して呼ぶことがあり、必要によってはさらに区別して、これに加工を加えダイシングして取り出したチップ及びその加工製品を半導体素子という。すなわち、広義には半導体素子やこれを組み込んだ半導体製品は半導体基板製品に属するものである。
[Manufacture of semiconductor substrate products (semiconductor process)]
In this embodiment, a step of forming a semiconductor substrate on which a silicon layer and a metal layer are formed on a silicon wafer, a step of annealing the semiconductor substrate, an etchant is applied to the semiconductor substrate, and the etchant and the metal layer It is preferable to manufacture a semiconductor substrate product having a desired structure through a step of selectively removing the metal layer by bringing the metal layer into contact with each other. At this time, the specific etching solution is used for etching. The order of the above steps is not construed as being limited, and further steps may be included between the steps.
The wafer size is not particularly limited, but a wafer having a diameter of 8 inches, a diameter of 12 inches, or a diameter of 14 inches can be suitably used (1 inch = 25.4 mm).
In this specification, the term “preparation” means that a specific material is synthesized or blended, and a predetermined item is procured by purchase or the like. In this specification, using an etchant so as to etch each material of a semiconductor substrate is referred to as “application”, but the embodiment is not particularly limited. For example, the method widely includes contacting the etching solution with the substrate. Specifically, the etching solution may be immersed and etched in a batch type or may be etched by discharge in a single wafer type.
In this specification, the term “semiconductor substrate” is used to mean not only a wafer but also the entire substrate structure having a circuit structure formed thereon. A semiconductor substrate member refers to the member which comprises the semiconductor substrate defined above, and may consist of one material or may consist of several materials. A processed semiconductor substrate is sometimes referred to as a semiconductor substrate product, and is further distinguished as necessary, and a chip that has been processed and diced out and processed product thereof is referred to as a semiconductor element. That is, in a broad sense, a semiconductor element or a semiconductor product incorporating the semiconductor element belongs to a semiconductor substrate product.
 以下、実施例を挙げて本発明をより詳細に説明するが、本発明は、以下の実施例に限定されるものではない。なお、実施例中で処方や配合量として示した%および部は特に断らない限り質量基準である。 Hereinafter, the present invention will be described in more detail with reference to examples. However, the present invention is not limited to the following examples. In addition, unless otherwise indicated,% and part shown as prescription and compounding quantity in an Example are mass references | standards.
[参考例1]
(試験基板の作製)
 市販のシリコン基板(直径:12インチ)上に、SiGeをエピタキシャル成長させ、厚さ500Åの膜厚で形成した。同様にしてその他の膜もCVD等で作成したブランケットウエハを準備した。このとき、SiGeエピタキシャル層は、ゲルマニウムを50~60質量%含有していた。下表の試験においてはこれらのブランケットウエハを用いて各層のエッチング速度を算出した。
 さらに、上記のSiGeエピタキシャル層の上にTiの層を形成した。これを、800℃で10秒アニールし、シリサイド層を形成して試験基板とした。アニール後のシリサイド層の厚さは15nmであり、金属層の厚さは5nmであった。
[Reference Example 1]
(Production of test substrate)
SiGe was epitaxially grown on a commercially available silicon substrate (diameter: 12 inches) and formed to a thickness of 500 mm. Similarly, blanket wafers in which other films were formed by CVD or the like were prepared. At this time, the SiGe epitaxial layer contained 50 to 60% by mass of germanium. In the tests shown in the table below, the etching rate of each layer was calculated using these blanket wafers.
Further, a Ti layer was formed on the SiGe epitaxial layer. This was annealed at 800 ° C. for 10 seconds to form a silicide layer to obtain a test substrate. The thickness of the silicide layer after annealing was 15 nm, and the thickness of the metal layer was 5 nm.
(エッチング試験)
 上記のブランクウェハおよび試験用基板に対して、枚葉式装置(SPS-Europe B.V.社製、POLOS(商品名))にて下記の条件でエッチングを行い、評価試験を実施した。
 ・処理温度    :24℃ 室温
 ・吐出量     :1L/min.
 ・ウェハ回転数  :500rpm
 ・ノズル移動速度 :7cm/S
 ・処理時間    :60秒
 なお、エッチング液の供給は1液で行った(図4のAラインのみを使用)。各処理試験は調液後即座に行った。
(Etching test)
The blank wafer and the test substrate were etched by the single wafer type apparatus (manufactured by SPS-Europe B.V., POLOS (trade name)) under the following conditions, and an evaluation test was performed.
・ Processing temperature: 24 ° C. Room temperature ・ Discharge rate: 1 L / min.
-Wafer rotation speed: 500 rpm
・ Nozzle moving speed: 7cm / S
Treatment time: 60 seconds Note that the etching solution was supplied in one solution (only the A line in FIG. 4 was used). Each treatment test was performed immediately after preparation.
(処理温度の測定方法)
 株式会社堀場製作所製の放射温度計IT-550F(商品名)を上記枚葉式装置内のウェハ上30cmの高さに固定した。ウェハ中心から2cm外側のウェハ表面上に温度計を向け、薬液を流しながら温度を計測した。温度は、放射温度計からデジタル出力し、パソコンで連続的に記録した。このうち温度が安定した10秒間の温度を平均した値をウェハ上の温度とした。
(Measurement method of processing temperature)
A radiation thermometer IT-550F (trade name) manufactured by HORIBA, Ltd. was fixed at a height of 30 cm above the wafer in the single wafer type apparatus. A thermometer was directed onto the wafer surface 2 cm outside from the wafer center, and the temperature was measured while flowing a chemical solution. The temperature was digitally output from the radiation thermometer and recorded continuously with a personal computer. Among these, the value obtained by averaging the temperature for 10 seconds at which the temperature was stabilized was defined as the temperature on the wafer.
(エッチング速度[ER])
 エッチング速度(ER)については、エリプソメトリー(分光エリプソメーター、ジェー・エー・ウーラム・ジャパン株式会社 Vaseを使用した)を用いてエッチング処理前後の膜厚を測定することにより算出した。5点の平均値を採用した(測定条件 測定範囲:1.2-2.5eV、測定角:70,75度)。
(TiSiGeダメージ)
 ゲルマニウムシリサイド層(TiSiGe)の損傷の程度は、エッチング処理前後のシート抵抗の変化量とエッチングESCAでのTiSiGe厚みから判断した。評価A~Eは、ESCAでのTiSiGe層の厚みが初期の状態と比較して何%損失しているかにより次式で規定した。
TiSiGeダメージ(%) = 
   (薬液処理後のTiSiGe厚み / 薬液処理前のTiSiGeの厚み ) × 100
 A:  80超  100以下
 B:  60超  80以下
 C:  40超  60以下
 D:  20超  40以下
 E:  0超   20以下
 なお、AはAの評価となったが、やや劣っていた。
(Etching rate [ER])
About the etching rate (ER), it computed by measuring the film thickness before and behind an etching process using ellipsometry (a spectroscopic ellipsometer, JA Woolum Japan Co., Ltd. Vase was used). An average value of 5 points was adopted (measurement condition measurement range: 1.2-2.5 eV, measurement angle: 70, 75 degrees).
(TiSiGe damage)
The degree of damage to the germanium silicide layer (TiSiGe) was judged from the amount of change in sheet resistance before and after the etching process and the thickness of TiSiGe by etching ESCA. Evaluations A to E were defined by the following equations depending on how much the thickness of the TiSiGe layer in ESCA was lost compared to the initial state.
TiSiGe damage (%) =
(TiSiGe thickness after chemical treatment / TiSiGe thickness before chemical treatment) × 100
A: 80 super 100 or less B: 60 super 80 or less C: 40 super 60 or less D: 20 super 40 the following E: 0 Ultra 20 below Incidentally, A - but became evaluation of A, it was slightly inferior.
(A)  HF
(B)  水
(C)  δh の高い有機溶剤プロトン性極性溶剤
(D)  酸助剤
(E)~(G)  カルボン酸
(A) HF
(B) Water
(C) Organic solvent protic polar solvent with high δh
(D) Acid aid
(E)-(G) Carboxylic acid
Figure JPOXMLDOC01-appb-T000018
Figure JPOXMLDOC01-appb-T000018
<表の注釈>
ER:エッチング速度
PAA:ポリアクリル酸
DHC:デヒドロコール酸
LA:ラウリン酸
SA:ステアリン酸
Lib:リボース
DEGBE:ジエチレングリコールモノブチルエーテル
各成分の下段は配合量(質量%)
エッチング速度でマイナスになったものは、エッチングされずに見かけ上厚くなったものと解される。
<Table notes>
ER: etching rate PAA: polyacrylic acid DHC: dehydrocholic acid LA: lauric acid SA: stearic acid Lib: ribose DEGBE: diethylene glycol monobutyl ether
Those that become negative at the etching rate are understood to have become thicker without being etched.
[実施例1]
 試験No.101~112のシュウ酸を以下の化合物にそれぞれ変更したこと以外は、試験No.101~112と同様にエッチング速度[ER]とTiSiGeダメージを評価した。その結果、いずれの実施例でも、他の性能を低下させずにTiAlC[ER]が1.0以下となり、顕著なTiAlCの防食効果が得られた。
 特開2007-277514の0265段落以降の実施例に開示の化合物
                 (B-1~B-24,C-1~C-57、D-1~D-12)
 WO2014/034813A1の0200段落以降の実施例に開示の化合物(C-1~C-136)
 WO2014/034815A1の0194段落以降の実施例に開示の化合物(C-1~C-199)
 また、試験No.101~112の有機溶剤を減らし、その代わりに上記の化合物を0.2質量%さらに添加したこと以外は、試験No.101~112と同様にエッチング速度[ER]とTiSiGeダメージを評価した。その結果、いずれの実施例でも、他の性能を低下させずにTiAlC[ER]が1.0以下となり、顕著なTiAlCの防食効果が得られた。
[Example 1]
Test No. Except that the oxalic acids 101 to 112 were changed to the following compounds, The etching rate [ER] and TiSiGe damage were evaluated in the same manner as in 101-112. As a result, in any of the Examples, TiAlC [ER] was 1.0 or less without deteriorating other performances, and a significant TiAlC anticorrosive effect was obtained.
Compounds disclosed in Examples after paragraph 0265 of JP-A-2007-277514 (B-1 to B-24, C-1 to C-57, D-1 to D-12)
WO2014 / 034813A1 Compounds disclosed in Examples after paragraph 0200 (C-1 to C-136)
WO2014 / 034815A1 Compounds disclosed in Examples after paragraph 0194 (C-1 to C-199)
In addition, the etching rate [ER] and TiSiGe damage were evaluated in the same manner as in Test Nos. 101 to 112 except that the organic solvent in Test Nos. 101 to 112 was reduced and 0.2 mass% of the above compound was added instead. did. As a result, in any of the Examples, TiAlC [ER] was 1.0 or less without deteriorating other performances, and a remarkable anticorrosive effect of TiAlC was obtained.
[実施例2・比較例1]
 下記の組成のエッチング液を用いたこと以外は、参考例1と同様にしてTi,SiO,TiAlCのエッチングレートを確認した。
[Example 2 and Comparative Example 1]
Etching rates of Ti, SiO 2 and TiAlC were confirmed in the same manner as in Reference Example 1 except that an etching solution having the following composition was used.
(A)  HF 
(B)  水
(C)  δh の高い有機溶剤プロトン性極性溶剤
(D)  酸助剤
(E)  カルボン酸(シュウ酸)
(F)  化合物P
(A) HF
(B) Water
(C) Organic solvent protic polar solvent with high δh
(D) Acid aid
(E) Carboxylic acid (oxalic acid)
(F) Compound P
Figure JPOXMLDOC01-appb-T000019
 EtOH:エタノール
 DEGBE:ジエチレングリコールモノブチルエーテル
 PGME:プロピレングリコールモノメチルエーテル
 下段:配合量(質量%)
Figure JPOXMLDOC01-appb-T000019
EtOH: Ethanol DEGBE: Diethylene glycol monobutyl ether PGME: Propylene glycol monomethyl ether Lower row: Blending amount (% by mass)
Figure JPOXMLDOC01-appb-C000020
Figure JPOXMLDOC01-appb-C000020
 上記のC-1の代わりに、WO2014/034815A1の0194段落以降の実施例に開示の化合物(C-2~C-14、C-101~C-119)を用いたこと以外は、上記実施例2と同様の試験を行った。その結果、上記表3の結果と同様にTiAlCに対するTiの良好なエッチング選択性を確認することができた。 The above examples except that the compounds (C-2 to C-14, C-101 to C-119) disclosed in the examples after paragraph 0194 of WO2014 / 034815A1 were used instead of C-1 above. The same test as 2 was conducted. As a result, similar to the results in Table 3 above, good etching selectivity of Ti with respect to TiAlC could be confirmed.
 本発明をその実施態様とともに説明したが、我々は特に指定しない限り我々の発明を説明のどの細部においても限定しようとするものではなく、添付の請求の範囲に示した発明の精神と範囲に反することなく幅広く解釈されるべきであると考える。 While this invention has been described in conjunction with its embodiments, we do not intend to limit our invention in any detail of the description unless otherwise specified and are contrary to the spirit and scope of the invention as set forth in the appended claims. I think it should be interpreted widely.
 本願は、2014年4月30に日本国で特許出願された特願2014-094213に基づく優先権を主張するものであり、これはここに参照してその内容を本明細書の記載の一部として取り込む。 This application claims priority based on Japanese Patent Application No. 2014-094213 filed in Japan on April 30, 2014, the contents of which are hereby incorporated by reference. Capture as.
1 金属層(第二層)
2 シリコンもしくはゲルマニウム含有層(第一層)
3 シリサイド層(第三層)
11 処理容器(処理槽)
12 回転テーブル
13 吐出口
14 合流点
S 基板
21 シリコン基板
22 ゲート絶縁膜
23 ゲート電極
25 サイドウォール
26 ソース電極
27 ドレイン電極
28 Ti膜
90A、90B 置換ゲートスタック
92A、92B ウェル
94A、94B ソース/ドレイン拡張領域
96A、96B ソース/ドレイン領域
91A、91B 金属半導体合金部分
95A、95B ゲートスペーサ
97A、97B ゲート絶縁膜
81 第1仕事関数材料層
82A、82B 第2仕事関数材料層
83A、83B 金属部分
93 トレンチ構造部
99 平坦化誘電体層

 
1 Metal layer (second layer)
2 Silicon or germanium-containing layer (first layer)
3 Silicide layer (third layer)
11 Processing container (processing tank)
12 Turntable 13 Discharge port 14 Junction point S Substrate 21 Silicon substrate 22 Gate insulating film 23 Gate electrode 25 Side wall 26 Source electrode 27 Drain electrode 28 Ti film 90A, 90B Replacement gate stack 92A, 92B Well 94A, 94B Source / drain extension Regions 96A, 96B source / drain regions 91A, 91B metal semiconductor alloy portions 95A, 95B gate spacers 97A, 97B gate insulating film 81 first work function material layers 82A, 82B second work function material layers 83A, 83B metal portions 93 trench structure Part 99 Planarized dielectric layer

Claims (20)

  1.  半導体プロセス用のエッチング液であって、
     複数の吸着基を有し、重量平均分子量1000以上の化合物Pを含有するエッチング液。
    An etching solution for a semiconductor process,
    An etchant containing a compound P having a plurality of adsorbing groups and having a weight average molecular weight of 1000 or more.
  2.  半導体プロセス用のエッチング液であって、
     複数の吸着基を有し、立体反発部位を有する化合物Pを含有するエッチング液。
    An etching solution for a semiconductor process,
    An etching solution containing a compound P having a plurality of adsorbing groups and a steric repulsion site.
  3.  さらに、金属溶解成分、pKa4以下の酸助剤、有機溶剤および水のうちの少なくとも1種を含有する請求項1または2に記載のエッチング液。 The etching solution according to claim 1 or 2, further comprising at least one of a metal-dissolving component, an acid assistant having a pKa of 4 or less, an organic solvent, and water.
  4.  上記酸助剤がホウ酸化合物、リン酸化合物、ホスホン酸化合物、HBF、HBr、またはHClである請求項3に記載のエッチング液。 The etching solution according to claim 3, wherein the acid assistant is a boric acid compound, a phosphoric acid compound, a phosphonic acid compound, HBF 4 , HBr, or HCl.
  5.  上記有機溶剤がプロトン性極性有機溶剤である請求項3または4に記載のエッチング液。 The etching solution according to claim 3 or 4, wherein the organic solvent is a protic polar organic solvent.
  6.  上記金属溶解成分の濃度が0.1質量%以上20質量%以下である請求項3~5のいずれか1項に記載のエッチング液。 6. The etching solution according to claim 3, wherein the concentration of the metal-dissolved component is 0.1% by mass or more and 20% by mass or less.
  7.  上記金属溶解成分がハロゲンイオンである請求項3~6のいずれか1項に記載のエッチング液。 The etching solution according to any one of claims 3 to 6, wherein the metal dissolving component is a halogen ion.
  8.  上記ハロゲンイオンがフッ素イオンである請求項7に記載のエッチング液。 The etching solution according to claim 7, wherein the halogen ions are fluorine ions.
  9.  上記化合物Pが下記式(I)で示される化合物または下記式(II)で示される部分構造を有する化合物である請求項1~8のいずれか1項に記載のエッチング液。
        (A)-P ・・・ (I)
     Aは吸着基である。nは2以上の整数である。Pは重量平均分子量1000以上の有機化合物の残基である。
       -(B-Q)- (II)
     Bは吸着基を有する繰り返し単位である。mは2以上の整数である。Qは重量平均分子量1000以上の有機化合物残基を含む繰り返し単位である。
    The etching solution according to any one of claims 1 to 8, wherein the compound P is a compound represented by the following formula (I) or a compound having a partial structure represented by the following formula (II).
    (A) n- P a (I)
    A is an adsorbing group. n is an integer of 2 or more. Pa is a residue of an organic compound having a weight average molecular weight of 1000 or more.
    -(BQ) m- (II)
    B is a repeating unit having an adsorbing group. m is an integer of 2 or more. Q is a repeating unit containing an organic compound residue having a weight average molecular weight of 1000 or more.
  10.  シリコンもしくはゲルマニウムのシリサイドを含む第三層とシリコンもしくはゲルマニウム以外の金属種を含む第二層とを有する半導体基板に適用する請求項1~9のいずれか1項に記載のエッチング液。 The etching solution according to any one of claims 1 to 9, which is applied to a semiconductor substrate having a third layer containing a silicon or germanium silicide and a second layer containing a metal species other than silicon or germanium.
  11.  上記第二層がチタンを含む層である請求項10に記載のエッチング液。 The etching solution according to claim 10, wherein the second layer is a layer containing titanium.
  12.  TiAlCを含む第四層を含む半導体基板に適用する請求項1~11のいずれか1項に記載のエッチング液。 The etching solution according to any one of claims 1 to 11, which is applied to a semiconductor substrate including a fourth layer containing TiAlC.
  13.  半導体基板に、複数の吸着基を有し重量平均分子量1000以上の化合物Pまたは複数の吸着基を有し立体反発部位を有する化合物Pを含有するエッチング液を適用するエッチング方法。 Etching method in which an etching solution containing a compound P having a plurality of adsorbing groups and a weight average molecular weight of 1000 or more or a compound P having a plurality of adsorbing groups and a steric repulsion site is applied to a semiconductor substrate.
  14.  上記エッチング液が、さらに、金属溶解成分、pKa4以下の酸助剤、有機溶剤および水のうちの少なくとも1種を含有する請求項13に記載のエッチング方法。 The etching method according to claim 13, wherein the etching solution further contains at least one of a metal-dissolving component, an acid assistant having a pKa of 4 or less, an organic solvent, and water.
  15.  シリコンもしくはゲルマニウムのシリサイドを含む第三層とシリコンもしくはゲルマニウム以外の金属種を含む第二層とを有する半導体基板に適用する請求項13または14に記載のエッチング方法。 The etching method according to claim 13 or 14, which is applied to a semiconductor substrate having a third layer containing silicon or germanium silicide and a second layer containing a metal species other than silicon or germanium.
  16.  上記第二層が、チタンを含む層である請求項13~15のいずれか1項に記載のエッチング方法。 The etching method according to any one of claims 13 to 15, wherein the second layer is a layer containing titanium.
  17.  TiAlCを含む第四層を含む半導体基板に適用する請求項13~16のいずれか1項に記載のエッチング方法。 The etching method according to any one of claims 13 to 16, which is applied to a semiconductor substrate including a fourth layer including TiAlC.
  18.  請求項13~17のいずれか1項に記載のエッチング方法を介して半導体基板製品を製造する半導体基板製品の製造方法。 A semiconductor substrate product manufacturing method for manufacturing a semiconductor substrate product via the etching method according to any one of claims 13 to 17.
  19.  複数の吸着基を有し重量平均分子量1000以上の化合物Pもしくは複数の吸着基を有し立体反発部位を有する化合物Pからなる金属防食剤またはこれを含有する金属防食組成物。 A metal anticorrosive comprising compound P having a plurality of adsorbing groups and a weight average molecular weight of 1000 or more, or compound P having a plurality of adsorbing groups and having a steric repulsion site, or a metal anticorrosion composition containing the same.
  20.  半導体プロセス用のエッチング液に用いる請求項19に記載の金属防食剤またはこれを含有する金属防食組成物。 The metal anticorrosive agent according to claim 19 or a metal anticorrosive composition containing the metal anticorrosive agent according to claim 19, which is used for an etching solution for a semiconductor process.
PCT/JP2015/062947 2014-04-30 2015-04-30 Etching solution, method for etching in which same is used, method for manufacturing semiconductor substrate product, metal corrosion inhibitor, and metal corrosion-inhibiting composition WO2015166976A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2016516401A JP6256851B2 (en) 2014-04-30 2015-04-30 Etching solution, etching method using the same, method for producing semiconductor substrate product, metal anticorrosive and metal anticorrosive composition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014-094213 2014-04-30
JP2014094213 2014-04-30

Publications (1)

Publication Number Publication Date
WO2015166976A1 true WO2015166976A1 (en) 2015-11-05

Family

ID=54358701

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2015/062947 WO2015166976A1 (en) 2014-04-30 2015-04-30 Etching solution, method for etching in which same is used, method for manufacturing semiconductor substrate product, metal corrosion inhibitor, and metal corrosion-inhibiting composition

Country Status (3)

Country Link
JP (1) JP6256851B2 (en)
TW (1) TWI682989B (en)
WO (1) WO2015166976A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10170317B1 (en) 2017-09-28 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layer
US10934484B2 (en) * 2018-03-09 2021-03-02 Versum Materials Us, Llc Etching solution for selectively removing silicon-germanium alloy from a silicon-germanium/ germanium stack during manufacture of a semiconductor device
CN113122148A (en) * 2021-04-07 2021-07-16 云南合义德新材料有限公司 Crystalline silicon alkali polishing additive and use method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010135561A (en) * 2008-12-04 2010-06-17 Toshiba Corp Nonvolatile semiconductor storage device
JP2012133231A (en) * 2010-12-22 2012-07-12 Kao Corp Electrophotographic toner
JP2013151726A (en) * 2012-01-25 2013-08-08 Fujifilm Corp Etching method and etching solution used therein

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009074142A (en) * 2007-09-21 2009-04-09 Mitsubishi Chemicals Corp Etching solution for titanium-containing layer and etching method for titanium-containing layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010135561A (en) * 2008-12-04 2010-06-17 Toshiba Corp Nonvolatile semiconductor storage device
JP2012133231A (en) * 2010-12-22 2012-07-12 Kao Corp Electrophotographic toner
JP2013151726A (en) * 2012-01-25 2013-08-08 Fujifilm Corp Etching method and etching solution used therein

Also Published As

Publication number Publication date
TW201542773A (en) 2015-11-16
JP6256851B2 (en) 2018-01-10
TWI682989B (en) 2020-01-21
JPWO2015166976A1 (en) 2017-04-20

Similar Documents

Publication Publication Date Title
WO2014178426A1 (en) Etching method, etching liquid and etching liquid kit to be used in said method, and semiconductor substrate product manufacturing method
WO2014178424A1 (en) Etching method, etching solution used in same, etching solution kit, and method for manufacturing semiconductor substrate product
US10199210B2 (en) Semiconductor substrate treatment liquid, treatment method, and method for manufacturing semiconductor-substrate product using these
JP6063206B2 (en) Etching solution, etching method using the same, and semiconductor device manufacturing method
US20160254164A1 (en) Method for stripping modified resist, modified-resist stripper used therefor, and method for manufacturing semiconductor-substrate product
KR20160012947A (en) Etching solution composition and etching method
JP6256851B2 (en) Etching solution, etching method using the same, method for producing semiconductor substrate product, metal anticorrosive and metal anticorrosive composition
WO2014178422A1 (en) Etching solution and etching solution kit, etching method using same, and production method for semiconductor substrate product
WO2014178421A1 (en) Etching solution, etching solution kit, etching method using same, and method for manufacturing semiconductor substrate product
TWI654340B (en) Ni:NiGe:Ge SELECTIVE ETCH FORMULATIONS AND METHOD OF USING SAME
TW201523170A (en) Modified resist stripper, stripping method using the same, and method for manufacturing semiconductor substrate product
JP6198671B2 (en) Etching method, etching solution used therefor, and method for manufacturing semiconductor substrate product
JP2016127065A (en) Etchant, etching method using the same, and manufacturing method of semiconductor substrate product
JP6063404B2 (en) Etching solution, etching method using the same, and method for manufacturing semiconductor substrate product
TWI725010B (en) Etching solution, etching method and manufacturing method of semiconductor substrate product
KR101558479B1 (en) Etching composition for silicon oxide layer
KR20210051085A (en) An etchant composition and a pattern formation method using the same
CN106062934A (en) Etchant, etching method using same, and method for manufacturing semiconductor substrate product
WO2015129552A1 (en) Etching composition, etching method employing same, and production method for semiconductor substrate product

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 15786817

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2016516401

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 15786817

Country of ref document: EP

Kind code of ref document: A1