WO2015099689A1 - Method of fabricating semiconductor structures on dissimilar substrates - Google Patents

Method of fabricating semiconductor structures on dissimilar substrates Download PDF

Info

Publication number
WO2015099689A1
WO2015099689A1 PCT/US2013/077622 US2013077622W WO2015099689A1 WO 2015099689 A1 WO2015099689 A1 WO 2015099689A1 US 2013077622 W US2013077622 W US 2013077622W WO 2015099689 A1 WO2015099689 A1 WO 2015099689A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
top surface
semiconductor
substrate
sidewall
Prior art date
Application number
PCT/US2013/077622
Other languages
French (fr)
Inventor
Benjamin Chu-Kung
Sherry R. TAFT
Van H. Le
Sansaptak DASGUPTA
Seung Hoon Hoon SUNG
Sanaz K. GARDNER
Matthew V. Metz
Marko Radosavljevic
Han Wui Then
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to PCT/US2013/077622 priority Critical patent/WO2015099689A1/en
Priority to KR1020167013116A priority patent/KR102133428B1/en
Priority to CN201380081120.2A priority patent/CN105745769B/en
Priority to US15/036,406 priority patent/US9698222B2/en
Priority to EP13900449.3A priority patent/EP3087616A4/en
Priority to TW103140480A priority patent/TWI582912B/en
Priority to TW106107042A priority patent/TWI630685B/en
Publication of WO2015099689A1 publication Critical patent/WO2015099689A1/en
Priority to US15/598,290 priority patent/US10204989B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10271Silicon-germanium [SiGe]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/1033Gallium nitride [GaN]

Definitions

  • Embodiments of the present invention relate generally to methods of fabricating semiconductor structures on dissimilar substrates. More particularly, embodiments of the present invention relate to methods of fabricating gallium nitride structures on dielectric layers.
  • Gallium nitride is a wide band gap semiconductor material that has been widely explored for its beneficial properties relating to micro-electronic devices including, but not limited to, transistors, light emitting diodes (LED), and high-power transistor devices.
  • GaN is grown directly on non-native substrates such as silicon substrates.
  • Substantial lattice mismatch occurs when GaN is grown on non-native substrates.
  • Lattice mismatch causes threading dislocation defects to propagate within the epitaxially grown GaN material.
  • conventional solutions grow high quality GaN layers by lateral epitaxial overgrowth (LEO) where high quality GaN is grown laterally ( ⁇ 100> direction) over a non-GaN substrate from an adjacent trench. BRIEF DESCRIPTION OF THE DRAWINGS
  • Figures 1A-1L illustrate cross-sectional, top-down, and isometric views of a method for forming a semiconductor structure on a dissimilar substrate, in accordance with an embodiment of the invention.
  • Figure 2A illustrates an isometric view of a planar device having a semiconductor source, drain, and channel region formed on a dissimilar substrate, in accordance with an embodiment of the invention.
  • Figure 2B illustrates an isometric view of a finFET device having a fin formed by a semiconductor material disposed on a dissimilar substrate, in accordance with an embodiment of the invention.
  • FIG. 3 illustrates a computing system implemented with one implementation of the invention.
  • Embodiments of the present invention are directed to fabricating semiconductor structures on dissimilar substrates.
  • a mask is initially formed on a substrate.
  • the mask can be a multi-layered mask consisting of three vertically stacked dielectric layers.
  • An initial opening is then formed through all three layers. Each layer has an opening and all three openings are aligned to one another so that the semiconductor substrate is exposed.
  • the second opening is laterally extended so that the second opening is wider than the first and the third openings.
  • a semiconductor material is epitaxially grown from a top surface of the substrate. When the semiconductor material grows above the first layer, it begins to grow laterally into the wider second opening by LEO.
  • a defective portion of the epitaxially grown semiconductor material is etched away by using the third layer as a self-aligned etching mask. A portion of the epitaxially grown semiconductor material that is substantially free of defects subsequently remains on the first layer of the multi-layered mask. The remaining defect-free semiconductor material forms a semiconductor structure that is dissimilar to the substrate upon which it is formed.
  • Figures 1A-1L illustrate cross-sectional, top-down, and isometric views of a method for forming a semiconductor structure on a dissimilar substrate in accordance with embodiments of the invention.
  • the method begins by providing a substrate 102 with a top surface 103.
  • the substrate can be any suitable structure, such as a monocrystalline substrate or a silicon-on- insulator (SOI) substrate.
  • the substrate can be composed of any suitable material such as, but not limited to, silicon (Si), sapphire (AI 2 O 3 ), silicon carbide (SiC), gallium arsenide (GaAs), and gallium phosphide (GaP).
  • the substrate is a global ⁇ 100> oriented monocrystalline silicon substrate.
  • a first layer 104 is then disposed on the top surface 103 of the substrate 102.
  • the first layer 104 has a thickness 117 and a top surface 105.
  • the first layer 104 may be composed of any suitable dielectric or insulating material such as, but not limited to, silicon nitride (S1 3 N 4 ), silicon dioxide (S1O 2 ), carbon-doped oxide, or a low-k dielectric material.
  • the first layer 104 is a dielectric layer composed of Si0 2 .
  • the first layer 104 may be composed of any suitable metal such as, but not limited to, titanium- nitride, tungsten, or tantalum nitride.
  • the first layer 104 can be used as an aspect ratio trapping (ART) layer to trap defects during epitaxial growth of semiconductor materials.
  • the first layer 104 can have a thickness 117 that traps a desired amount of defects.
  • the thickness 117 of the first layer 104 is less than 2000 A. In one particular embodiment, the thickness 117 of the first layer 104 ranges from 200 A to 2000 A.
  • a second layer 106 is formed on the top surface 105 of the first layer 104.
  • the second layer 106 has a thickness 119 and a top surface 107.
  • the second layer 106 may be composed of any suitable dielectric or insulating material such as, but not limited to, S1 3 N 4 , Si0 2 , carbon-doped oxide, or a low-k dielectric material. Additionally, the second layer 106 may be composed of any suitable metal such as, but not limited to, titanium- nitride, tungsten, or tantalum nitride. The material of the second layer 106 is different from the material of the first layer 104 so that the second layer 106 may be etched selective to the first layer 104.
  • the second layer 106 is etched and the first layer 104 is not substantially etched.
  • the etch rate for the second layer 106 is substantially higher than the etch rate for the first layer 104, such as a selectivity ratio of 5: 1 in one embodiment, or 10: 1 in an alternative embodiment.
  • the first layer is a Si0 2 layer and the second layer is a S1 3 N 4 layer.
  • the second layer can be widened to allow LEO of a semiconductor material to be disposed on the first layer 104.
  • a third layer 108 is formed on the second layer 106.
  • the third layer 108 has a thickness 121 and a top surface 109.
  • the third layer 108 may be composed of any suitable dielectric or insulating material such as, but not limited to, S1 3 N 4 , Si0 2 , carbon-doped oxide, or a low-k dielectric material.
  • the third layer 108 may be composed of any suitable metal such as, but not limited to, titanium-nitride, tungsten, or tantalum nitride.
  • the material of the third layer 108 is different from the material of the second layer 106 so that the second layer 106 may be etched selective to the third layer 108.
  • the third layer 108 may be composed of the same material as the first layer 104.
  • the first and third layers are Si0 2 layers and the second layer is a S1 3 N 4 layer.
  • all three layers are composed of different materials such that any one layer can be etched selective to any other layer.
  • the third layer 108 can be used as a self-aligned mask layer to remove defective semiconductor material within the first and second layers.
  • the thickness 121 of the third layer 108 can be any suitable thickness to etch the desired amount of material within the first and second layers 104 and 106.
  • the thickness 121 of the third layer 108 is larger than the thickness 117 of the first layer 104 and the thickness 119 of the second layer 106.
  • the thickness 121 is larger than the thicknesses 117 and 119 combined. In one embodiment, the thickness 121 of the third layer 108 is less than 1 ⁇ . In one particular embodiment, the thickness 121 of the third layer 108 ranges from 200 A to 1 ⁇ .
  • the first, second, and third layers may be formed by any suitable blanket deposition technique such as, but not limited to, chemical vapor deposition (CVD) and plasma vapor deposition (PVD). Additionally, a chemical-mechanical polishing (CMP) process can be used to planarize one or any of the top surface of the dielectric material, thereby forming a uniform, flat layer if desired.
  • CVD chemical vapor deposition
  • PVD plasma vapor deposition
  • CMP chemical-mechanical polishing
  • a first etch process forms an initial opening 150 through all three layers.
  • the initial opening 150 has a common central axis 110 that represents the center of the opening.
  • the first etch process creates the initial opening 150 with vertically aligned sidewalls 124, 126, and 128 within the first, second, and third layers.
  • the initial opening 150 extends a depth 132 from the top surface 109 of the third layer 108 to the top surface 122 of the substrate 102 in order to expose the top surface 122 of the substrate 102.
  • the depth 132 ranges from 600 A to 2 ⁇ .
  • the initial opening 150 may also have a width 130 and a length 129 as depicted in Figure lE-1. The length 129 may be significantly longer than the width 130 to form a trench.
  • the initial opening is a trench that has a width to length ratio that ranges from 1: 1 to 1:20.
  • the initial opening may be formed by any suitable anisotropic dry etch process typically used to form vertical openings in a multi-layer dielectric mask.
  • the initial opening is formed by an anisotropic dry etch process using a Cl 2 -based reactant gas.
  • the initial opening is formed by an anisotropic dry etch process using a fluorine-based reactant gas.
  • the top surface 122 of the substrate 102 can be further etched to have a modified surface.
  • the top surface 122 is further etched to have a V-groove profile.
  • the V-groove profile has modified top surfaces 122 that expose the ⁇ 111> plane within a global ⁇ 100> silicon substrate and converge at a lowest point.
  • One advantage of a V-groove profile is that it allows better lattice matching between the epitaxially grown material and non-native substrate.
  • the top surface 122 is a deep V-groove profile, which is not shown in Figure IE.
  • a deep V-groove profile is a V-groove profile formed at a bottom of a trench formed within the silicon substrate.
  • the substrate has vertical sidewalls extending up from the edges of the modified top surfaces 122 to the first layer 104.
  • the modified top surfaces 122 may be formed by any typical cry stallo graphic etch process. In one embodiment, the modified top surfaces 122 are formed by a wet etch process.
  • a silicon substrate may be etched with an active solution such as, but not limited to, potassium hydroxide (KOH) or tetramethyl ammonium hydroxide (TMAH).
  • KOH potassium hydroxide
  • TMAH tetramethyl ammonium hydroxide
  • the deep V-groove profile may be formed by any typical anisotropic dry etch process followed by any typical crystallographic etch process. In one embodiment, the deep V-groove profile is formed by an anisotropic dry etch process using a Cl 2 -based reactant gas followed by a wet etch process with an active solution comprising KOH.
  • a lateral extension 120 is formed in a second opening 116.
  • the lateral extension 120 makes the second opening 116 of the second layer 106 wider than a first opening 114 of the first layer 104 and a third opening 118 of the third layer 108.
  • the wider second opening 116 forms a blown-out region 152 within the second layer 106. Consequently, a multi-aspect ratio mask is formed.
  • the blown-out region 152 allows a semiconductor structure to be subsequently disposed on the top surface 105 of the first layer 104.
  • the distance of the lateral extension 120 may depend on the dimensions of the semiconductor structure sought to be disposed within the blown-out region 152.
  • An isotropic second etch process may form the lateral extension 120 by etching the second layer 106 selective to the first and third layers 104 and 108.
  • the S1 3 N 4 layer can be wet etched with hot phosphoric acid (H 3 PO 4 ).
  • H 3 PO 4 hot phosphoric acid
  • a selectivity of greater than 80: 1 can be observed with an H 3 PO 4 wet etchant solution at a process temperature of 160-165°C.
  • a selective dry etch may be used, such as carbon tetrafluoride (CF 4 ) and 0 2 .
  • a selectivity of around 40: 1 can be observed with CF 4 as an etchant gas with an 0 2 flow of around 30 seem.
  • the S1 3 N 4 layer can also be selectively etched with nitrogen trifluoride (NF 3 ) and 0 2 instead of CF 4 and 0 2 .
  • a selectivity of 100: 1 can be observed with NF 3 as an etchant gas with an 0 2 flow of around 45 seem.
  • an initial anisotropic etch process may be used to form an opening in the third layer.
  • a selective isotropic etch may be used to laterally extend the second opening while leaving the first and third layers substantially intact.
  • another anisotropic etch may be used to form an opening in the first layer, exposing a top surface of the substrate.
  • an optional crystallographic etch may be used to modify the top surface of the semiconductor substrate.
  • any method of forming a multi-aspect ratio mask with a wider middle opening may be a suitable method envisioned in an embodiment of the invention.
  • a semiconductor material 142 is epitaxially grown on the top surface 122 of the semiconductor substrate 102.
  • the semiconductor material 142 epitaxially grown on surface 122 is composed of a wide band gap material (e.g., any material with a band gap greater than 2.0 eV), a III-V material, germanium, silicon, or any material that suffers from dislocations and stacking faults in its crystal structure during epitaxial growth on a non-native substrate.
  • the semiconductor material 142 is GaN.
  • the semiconductor material 142 is GaN and the semiconductor substrate 102 is silicon.
  • the semiconductor material 142 is composed of silicon germanium (SiGe).
  • Threading dislocation defects 140 may form in the semiconductor material 142 during epitaxial growth. These defects may be caused by a lattice mismatch between the semiconductor material 142 and the non-native substrate 102.
  • a non-native substrate can be any substrate that has a mismatching lattice structure and/or a mismatching lattice constant with the semiconductor material epitaxially grown on top of it. Threading dislocation defects 140 originate from the top surface 122 of the semiconductor substrate 102 and propagate through the semiconductor material 142.
  • the thickness 117 of the first layer 104 directly affects the amount of horizontally and diagonally propagating threading dislocation defects 140 that propagate above the first layer 104. For instance, a larger first layer thickness 117 provides a larger first sidewall 124 to trap dislocation defects, thereby significantly decreasing the amount of horizontal and diagonal defects that may propagate above the first layer 104.
  • the first layer 104 is formed to a sufficient thickness 117 for trapping defects. In an embodiment, the thickness 117 of the first layer 104 is less than 2000 A. In a particular embodiment, the thickness 117 of the first layer 104 ranges from 200 A to 2000 A.
  • the semiconductor material 142 grows laterally into the blown-out region 152 of the second layer 106.
  • the semiconductor material 142 laterally extends a distance 144 into the blown-out region 152.
  • the semiconductor material that laterally grows into the blown-out region 152 may have very little threading dislocation defects 140 because most of the defects 140 that propagate horizontally and diagonally have already terminated into the first sidewall 124 of the first layer 104. Furthermore, the vertically propagating defects would not propagate laterally into the blown-out region 152. Therefore, the semiconductor material that laterally grows into the blown-out region 152 is substantially high-quality material that is significantly free of defects ("defect- free").
  • a defect-free semiconductor material 113 is formed within the blown-out region 152 of the multi-aspect ratio mask.
  • the dimensions of the blown-out region 152 contain an extended region 120 and a thickness 119 that do not limit the defect-free semiconductor material 113 growth.
  • the defect- free semiconductor material 113 may grow laterally within the blown-out region until the end of a process time. Accordingly, the lateral growth may stop before the defect-free semiconductor material 113 reaches the second sidewall 126. As such, the defect- free semiconductor material 113 may not coalesce with the second sidewall 126.
  • the defect-free semiconductor material 113 may also grow vertically until the end of the process time. Accordingly, the vertical growth may stop before the defect- free semiconductor material 113 reaches a bottom surface 111 of the third layer 108. As such, the defect- free semiconductor material 113 may not coalesce with the bottom surface 111 of the third layer 108.
  • the extended region 120 is designed to limit the lateral growth of defect-free semiconductor material 113.
  • a self-limiting process may grow the defect- free semiconductor material 113 within the blown-out region 152.
  • the self- limiting process may grow the defect- free semiconductor material 113 so that it coalesces with the second sidewall 126. Thereafter, the lateral growth is stopped regardless of whether process gas continues to flow.
  • the width of the extended region 120 may determine the exact width 144 of the defect- free semiconductor material 113.
  • the thickness 119 is designed to limit the vertical growth of defect- free semiconductor material 113.
  • a self-limiting process may grow the defect- free semiconductor material 113 so that it coalesces with the bottom surface 111 of the third layer 108. Thereafter, the vertical growth is stopped regardless of whether process gas continues to flow.
  • the thickness 119 of the second layer 106 may determine the exact height 146 of the defect-free semiconductor material 113.
  • the thickness 119 can also be designed to limit the lateral growth of the defect- free semiconductor material 113.
  • a self-limiting process may grow the defect- free semiconductor material 113 so that it coalesces with the bottom surface 111 of the third layer 108. As such, process gas stops flowing into the blown-out region 152 and prevents further lateral growth of defect- free semiconductor material 113. Accordingly, a thin second layer 106 may result in a narrow width
  • the thickness 119 of the second layer 106 is less than 2000 A. In one particular embodiment, the thickness 119 of the second layer 106 ranges from 200 A to 2000 A.
  • the semiconductor material 142 that contains defects 140 is etched away by an anisotropic third etch process.
  • the third etch process uses the third layer 108 as an etching mask.
  • the third layer 108 is a self-aligned mask created by the anisotropic etch process that formed the initial opening 150.
  • the self-aligned third layer 108 has a third opening 118 and a third sidewall 128 that is substantially vertically aligned to the first sidewall 124.
  • the third layer 108 can be used as an etching mask to remove defective semiconductor material 142 within and vertically below the third opening 118.
  • the third opening 118 is wider than the first opening 114.
  • the first sidewall 124 and the third sidewall 128 are not substantially vertically aligned.
  • the defective semiconductor material 142 as well as a portion of the defect-free semiconductor material 113 may be removed.
  • Any suitable anisotropic etch process can be used as the third etch process to remove the defective semiconductor material 142.
  • the third etch process is an anisotropic dry etch process that uses Cl 2 plasma to remove defective GaN material.
  • the multi-aspect ratio mask has the self-aligned third layer 108, a separate lithography step is not required to remove the defective semiconductor material 142.
  • Prior art methods disadvantageously require a separate lithography step to etch away the defective portions of the semiconductor structure.
  • Such lithography steps require stringent critical alignments that are highly susceptible to misalignment issues.
  • embodiments of the invention can decrease production cost.
  • the self-aligned property of the multi-aspect ratio mask can also allow dense integration of semiconductor structures 112 in IC devices due to less constrained registration requirements.
  • the defect-free semiconductor structure 112 has an opposing pair of semiconductor sidewalls 136 and 138. More specifically, the semiconductor structure 112 has an inner semiconductor sidewall 136 and an outer semiconductor sidewall 138. The inner semiconductor sidewall 136 is substantially vertically aligned with the first sidewall 124 of the first layer 104.
  • the defect-free semiconductor structure 112 has a width 144 and a height 146. As discussed above, the width 144 and height 146 may be determined based upon a deposition time or a design of the blown-out region 152.
  • a fourth etch process may be used to remove the second and third layers 106 and 108 of the multi-aspect ratio mask.
  • the fourth etch process may be any suitable process typically used in the industry to remove layers of dielectric materials.
  • Only the defect-free semiconductor structures 112 remain on the top surface 105 of the first layer 104 after the fourth etch process.
  • the defect-free semiconductor structure 112 has a width 144 and a height 146.
  • the inner semiconductor sidewalls 136 of the remaining defect- free semiconductor structures 112 are substantially vertically aligned with the first sidewall 124 of the first layer 104.
  • FIG. II- 1 depicts a top view perspective of the multi-aspect ratio mask after removing the second and third mask layers 106 and 108.
  • a first opening 114 exposes the top surface 122 of the substrate 102.
  • the defect-free semiconductor structure 112 remains on the top surface 105 of the first layer 104.
  • the inner semiconductor sidewall 136 of the defect-free semiconductor structure 112 is vertically aligned with first sidewall 124 of the first layer 104 located directly below the inner semiconductor sidewall 136.
  • the defect-free semiconductor structure 112 has a width 144 that proximately forms around the perimeter of the first opening 114.
  • the longer sides of the defect- free semiconductor structure 112 may be used to form active regions for semiconductor devices.
  • the longer sides of the defect- free semiconductor structure 112 are used to form fins for finFET transistor devices.
  • the illustration depicts a top view perspective of the defect- free semiconductor structure 112 after device cropping.
  • a set of fins 112A and 112B are disposed on the top surface 105 of the first layer 104 according to an embodiment of the present invention.
  • Device cropping may be performed by any suitable masking and etching technique.
  • an embodiment may have resulting fins 112A and 112B with length 129 and width 144.
  • Such fin structures may, for example, operate as fin structures for transistor applications in integrated chip (IC) devices, such as planar transistors and finFET transistors.
  • the inner semiconductor sidewall 136 of fin 112A and 112B are vertically aligned with the first sidewall 124 of the first layer 104.
  • the first opening 114 exposes the top surface 122 of the substrate 102. While the method of forming a semiconductor structure according to an embodiment of the present invention may require a device cropping etch process, the critical dimension requirements in photolithography for device cropping are significantly less stringent than the requirements in photolithography for forming a mask to remove defective material within a narrow opening. Therefore, replacing the more stringent photolithography process with a less stringent photolithography process is advantageous for not only economic reasons such as decreasing cost, but also production reasons such as increasing throughput and decreasing turnaround time.
  • a shallow trench isolation (STI) material 150 is blanket deposited over the exposed surfaces of the first layer 104, substrate 102, and defect-free semiconductor structures 112 after device cropping.
  • STI 150 may be any suitable insulating layer such as silicon dioxide.
  • the STI layer 150 may be deposited by chemical vapor deposition (CVD), plasma vapor deposition (PVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), or any other suitable technique used to form a blanket deposition of low-k dielectric materials on substrates with trenches.
  • the method of depositing the STI material 150 is by CVD.
  • the STI 150 may be planarized to the top surface 154 of the defect- free semiconductor structure 112 by any suitable planaraization process such as CMP.
  • the defect-free semiconductor structure 112 has a flat top surface 154 following the planarization process.
  • the defect-free semiconductor structure 112 has a width 144 formed by LEO of defect- free semiconductor material 113.
  • the dimensions of the blown-out region 152 determine the width 144 of the defect-free semiconductor structure 112.
  • planarization of the STI 150 results in forming two portions of STI structures: STI 150A and STI 150B.
  • Top surfaces 152 of STI 150A and 150B are substantially coplanar with the top surface 154 of the defect- free semiconductor structure 112.
  • STI 150B is disposed on top of the substrate 102 and directly adjacent to the first sidewall 124 of the first layer 104 and the inner semiconductor sidewall 136 of the defect-free semiconductor structure 112.
  • STI 150A is disposed on the top surface 105 of the first layer 104 and directly adjacent to the outer semiconductor sidewall 138 of the defect- free semiconductor structure 112.
  • defect-free semiconductor structures 112 have an exposed top surface 154 for forming devices, such as a planar MOSFET transistor.
  • Figure 2A illustrates an isometric view of a planar MOSFET device formed on a defect-free semiconductor structure 112 in accordance with an embodiment of the invention.
  • the dotted rectangular frame depicted in Figure IK shows the cross-sectional viewing plane of Figure 2A.
  • the planar MOSFET device is formed by a gate electrode 160 disposed over a portion of a top surface 154 of a defect-free semiconductor structure 112.
  • the defect-free semiconductor structure 112 has a width 144.
  • a width 144 of the defect-free semiconductor structure 112 is chosen to provide a desired gate width for forming a planar MOSFET transistor.
  • the defect- free semiconductor structure 112 extends a distance 129 of the MOSFET device.
  • the gate electrode 160 may be composed of any suitable material and formed by any suitable technique typically used in the art. Disposed directly between the defect- free semiconductor structure 112 and the gate electrode 160 is a gate dielectric 162.
  • the gate dielectric 162 may be an oxide material, such as silicon dioxide, formed by any technique well known in the art.
  • a channel region is formed by a portion of the defect-free semiconductor structure 112 disposed below the gate electrode 160 and directly below the gate dielectric 162.
  • a source region 168 and a drain region 164 are formed by a portion of the defect-free semiconductor structure 112 disposed directly adjacent and on opposite sides of the channel region.
  • the planar MOSFET device includes a fist dielectric 104 and a second dielectric 150.
  • the first dielectric 104 is disposed directly below the defect-free semiconductor structure 112.
  • the first dielectric 104 electrically insulates a bottom surface 149 of the defect- free semiconductor structure 112.
  • the second dielectric 150 includes two portions: a first portion 150A and a second portion 150B.
  • the first portion 150A is disposed directly on the top surface 105 of the first dielectric layer 104 and immediately adjacent to the outer semiconductor sidewall 138 of the defect-free semiconductor structure 112.
  • the second portion 150B is disposed directly on the top surface 122 of the semiconductor substrate 102 and immediately adjacent to the inner semiconductor sidewall 136 of the defect-free semiconductor structure 112 and the first sidewall 124 of the first layer 104.
  • the second dielectric 150 electrically insulates the opposing semiconductor sidewalls 136 and 138 of the defect-free semiconductor structure 112.
  • the inner semiconductor sidewall 136 and first sidewall 124 are substantially vertically aligned to one another.
  • the self-aligned properties of the third layer mask 108 causes the inner semiconductor sidewall 136 and the first sidewall 124 to be substantially vertically aligned to one another.
  • the top surface 152 of the STI 150 is substantially coplanar with the top surface 154 of the defect-free semiconductor structure 112.
  • a separate etch process such as an HF wet etch process, further removes the STI 150 in order to form a non-planar device (e.g., a tri-gate or finFET transistor).
  • a separate etch process such as an HF wet etch process, further removes the STI 150 in order to form a non-planar device (e.g., a tri-gate or finFET transistor).
  • the top surface 152 of the STI 150 is below the top surface 154 of the defect-free semiconductor structure 112 and above the top surface 105 of the first dielectric layer 104.
  • the top surface 154 and a portion of the inner and outer semiconductor sidewalls 136 and 138 of the defect free semiconductor structure 112 are exposed.
  • STI 150B is disposed on top of the substrate 102 and directly adjacent to the first sidewall 124.
  • STI 150B is further adjacent to only a portion of the inner semiconductor sidewall 136 of the defect-free semiconductor structure 112.
  • STI 150A forms on top of the first dielectric layer 104 and directly adjacent to only a portion of the inner semiconductor sidewall 136 of the defect-free
  • the exposed top surface 154 and inner and outer semiconductor sidewalls 136 and 138 may thus form a fin structure for forming a finFET transistor.
  • a finFET is a transistor built around a thin strip of semiconductor material generally referred to as the fin.
  • the transistor includes the standard field effect transistor (FET) nodes, including a gate, a gate dielectric, a source region, and a drain region.
  • FET field effect transistor
  • the conductive channel of the device resides on the outer sides of the fin beneath the gate dielectric.
  • Figure 2B illustrates an isometric view of such a finFET transistor formed on a defect- free semiconductor structure 112 in accordance with an embodiment of the invention.
  • the dotted rectangular frame depicted in Figure 1L shows the cross-sectional viewing plane of Figure 2B.
  • the non-planar finFET device is formed by a gate electrode 160 wrapped around a portion of three exposed surfaces of a defect-free semiconductor structure 112.
  • the defect-free semiconductor structure 112 is a fin that has a width 144.
  • a width 144 of the fin 112 is chosen to provide a desired gate width for forming a finFET transistor. Furthermore, the defect-free semiconductor structure 112 extends a distance 129 of the finFET device. Disposed directly between the fin 112 and the gate electrode 160 is a gate dielectric 162. The gate dielectric 162 contacts the top surface 154 and a portion of the inner and outer sidewalls 136 and 138 of the fin 112. In addition, a channel region is formed by a portion of the fin 112 disposed directly below and adjacent to the dielectric layer 162. A source region 168 and a drain region 164 are formed by a portion of the fin 112 disposed directly adjacent and on opposite sides of the channel region. In an embodiment, a width 144 of the defect-free semiconductor structure 112 is chosen to provide a desired gate width for forming a finFET transistor.
  • the non-planar finFET device further includes a first dielectric 104 disposed directly below the fin 112.
  • a second dielectric 150 includes two portions: a first portion 150A and a second portion 150B.
  • the first portion 150A is disposed directly on the top surface 105 of the first dielectric layer 104 and immediately adjacent to a portion of the outer semiconductor sidewall 138 of the fin 112.
  • the second portion 150B is disposed directly on the top surface 122 of the semiconductor substrate 102 and immediately adjacent to the first sidewall 124 of the first layer 104 and a portion of the inner semiconductor sidewall 136 of the fin 112.
  • the inner semiconductor sidewall 136 and first sidewall 124 are substantially vertically aligned to one another.
  • the self-aligned properties of the third layer mask 108 causes the inner semiconductor sidewall 136 and the first sidewall 124 to be substantially vertically aligned to one another.
  • the top surface 152 of the second dielectric 150 is below the top surface of the fin 112 and above the top surface 105 of the first dielectric 104.
  • FIG. 3 illustrates a computing system 300 implemented with one implementation of the invention.
  • the computing device 300 houses a board 302.
  • the board 302 may include a number of components, including but not limited to a processor 304 and at least one
  • the processor 304 is physically and electrically coupled to the board 302.
  • the at least one communication chip 306 is also physically and electrically coupled to the board 302.
  • the communication chip 306 is part of the processor 304.
  • computing device 300 may include other components that may or may not be physically and electrically coupled to the board 302. These other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touchscreen display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth).
  • volatile memory e.g., DRAM
  • non-volatile memory e.g., ROM
  • flash memory e.g., a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a
  • the communication chip 306 enables wireless communications for the transfer of data to and from the computing device 300.
  • wireless and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non- solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not.
  • the communication chip 306 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev- DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond.
  • the computing device 300 may include a plurality of communication chips 306.
  • a first communication chip 306 may be dedicated to shorter range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 306 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
  • the processor 304 of the computing device 300 includes an integrated circuit die packaged within the processor 304.
  • the integrated circuit die of the processor includes one or more devices, such as airgap interconnects with hood layers, that are formed in accordance with implementations of the invention.
  • processor may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
  • the communication chip 306 also includes an integrated circuit die packaged within the communication chip 306.
  • the integrated circuit die of the communication chip includes one or more devices, such as transistors formed from a semiconductor structure on a dissimilar substrate, that are formed in accordance with implementations of the invention.
  • another component housed within the computing device 300 may contain an integrated circuit die that includes one or more devices, such as airgap interconnects with hood layers, that are formed in accordance with implementations of the invention.
  • the computing device 300 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set- top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder.
  • the computing device 300 may be any other electronic device that processes data.
  • a method of forming a semiconductor structure comprises forming a mask comprising a first, a second, and a third layer on a substrate; the first layer having a first opening with a first width and a first sidewall exposing a top surface of the substrate; the second layer having a second opening with a second width and a second sidewall exposing the top surface of the substrate and a top surface of the first layer, the second width is greater than the first width; and the third layer having a third opening with a third width and a third sidewall exposing the top surface of the substrate, wherein the first, second, and third openings are centered along a common central axis; growing a semiconductor material from the top surface of the substrate and laterally onto the top surface of the first layer; and etching the semiconductor material disposed within and vertically below the third opening by using the third layer as an etching mask so that the semiconductor material that laterally overflowed onto the top surface of the first layer forms a remaining structure.
  • the forming a mask comprising a first, a second, and a third layer on a substrate further comprises depositing the first, second, and third layers on the substrate, the second layer formed above the first layer and below the third layer; forming an initial opening through the first, second, and third layers; and etching the second layer from within the initial opening with an etch process selective to the first and third layers.
  • the method of forming a semiconductor structure further comprises removing the second and third layers; blanket depositing a dielectric material on the top surface of the substrate, the top surface of the first layer, the first sidewall, and exposed surfaces of the remaining structure; and planarizing the dielectric material to a top surface of the remaining structure.
  • the method of forming a semiconductor structure further comprises removing a portion of the dielectric material to expose a top surface of the remaining structure and a portion of two opposing sidewalls of the remaining structure.
  • the planarizing forms a flat top surface on the remaining structure.
  • the semiconductor material comprises at least one element of a group consisting of III- V semiconductor material, gallium, nitride, germanium, and silicon.
  • the semiconductor material comprises GaN.
  • the semiconductor material comprises SiGe.
  • the substrate comprises silicon.
  • the first, second, and third layers are metal layers.
  • the first, second, and third layers are dielectric layers.
  • the second layer comprises a material different from a material of the first and third layers. In an alternative embodiment, the second layer can be selectively etched relative to the first and third layers.
  • the second layer comprises silicon nitride and the first and third layers comprise silicon dioxide.
  • the etching the semiconductor material is an anisotropic etch process.
  • the third width is equal to the first width. In an alternative embodiment, the third width is greater than the first width.
  • the top surface of the substrate is a modified surface.
  • the modified surface comprises a V-groove profile. In one other embodiment, the V-groove profile exposes the ⁇ 111> plane in the substrate.
  • a semiconductor structure comprises a first layer disposed on a substrate, the first layer having an opening with a first sidewall exposing a top surface of the substrate; a semiconductor structure disposed on a top surface of the first layer, the
  • the semiconductor structure having an opposing pair of inner and outer semiconductor sidewalls, wherein the inner semiconductor sidewall and first sidewall are vertically aligned to one another; and a second layer disposed adjacent to the inner semiconductor sidewall, adjacent to the dielectric sidewall, and on the top surface of the substrate.
  • the second layer is further disposed adjacent to the outer semiconductor sidewall and on the first layer.
  • a top surface of the second layer and a top surface of the semiconductor structure are coplanar.
  • the second layer is lower than a top surface of the semiconductor structure and above a top surface of the first layer.
  • the semiconductor structure has an exposed top surface and an exposed portion of the inner and outer semiconductor sidewall.
  • the semiconductor structure comprises a material selected from at least one element of a group consisting of III- V
  • the semiconductor structure comprises GaN. In an alternative embodiment, the semiconductor structure comprises SiGe. In another embodiment, the substrate comprises silicon. In yet another embodiment, the top surface of the substrate is a modified surface.

Abstract

Techniques are disclosed for forming a defect-free semiconductor structure on a dissimilar substrate with a multi-aspect ratio mask. The multi-aspect ratio mask comprises a first, second, and third layer formed on a substrate. The second layer has a second opening wider than a first opening and a third opening in the first and third layers, respectively. All three openings are centered along a common central axis. A semiconductor material is grown from the top surface of the substrate and laterally onto the top surface of the first layer within the second opening. The semiconductor material disposed within and vertically below the third opening is etched by using the third layer as an etch mask so that the remaining material that laterally overflowed onto the top surface of the first layer forms a remaining structure.

Description

METHOD OF FABRICATING SEMICONDUCTOR STRUCTURES ON
DISSIMILAR SUBSTRATES
TECHNICAL FIELD
Embodiments of the present invention relate generally to methods of fabricating semiconductor structures on dissimilar substrates. More particularly, embodiments of the present invention relate to methods of fabricating gallium nitride structures on dielectric layers.
BACKGROUND
Gallium nitride (GaN) is a wide band gap semiconductor material that has been widely explored for its beneficial properties relating to micro-electronic devices including, but not limited to, transistors, light emitting diodes (LED), and high-power transistor devices. Currently, GaN is grown directly on non-native substrates such as silicon substrates. Substantial lattice mismatch occurs when GaN is grown on non-native substrates. Lattice mismatch causes threading dislocation defects to propagate within the epitaxially grown GaN material. Currently, conventional solutions grow high quality GaN layers by lateral epitaxial overgrowth (LEO) where high quality GaN is grown laterally (<100> direction) over a non-GaN substrate from an adjacent trench. BRIEF DESCRIPTION OF THE DRAWINGS
Figures 1A-1L illustrate cross-sectional, top-down, and isometric views of a method for forming a semiconductor structure on a dissimilar substrate, in accordance with an embodiment of the invention.
Figure 2A illustrates an isometric view of a planar device having a semiconductor source, drain, and channel region formed on a dissimilar substrate, in accordance with an embodiment of the invention.
Figure 2B illustrates an isometric view of a finFET device having a fin formed by a semiconductor material disposed on a dissimilar substrate, in accordance with an embodiment of the invention.
Figure 3 illustrates a computing system implemented with one implementation of the invention. DETAILED DESCRIPTION
A method to fabricate a semiconductor structure on a dissimilar substrate is described. Embodiments of the present invention have been described with respect to specific details in order to provide a thorough understanding of the invention. One of ordinary skill in the art will appreciate that the invention can be practiced without these specific details. In other instances, well known semiconductor processes and equipment have not been described in specific detail in order to not unnecessarily obscure embodiments of the present invention. Additionally, the various embodiments shown in the figures are illustrative representations and are not necessarily drawn to scale.
Embodiments of the present invention are directed to fabricating semiconductor structures on dissimilar substrates. In an embodiment, a mask is initially formed on a
semiconductor substrate. The mask can be a multi-layered mask consisting of three vertically stacked dielectric layers. An initial opening is then formed through all three layers. Each layer has an opening and all three openings are aligned to one another so that the semiconductor substrate is exposed. Next, the second opening is laterally extended so that the second opening is wider than the first and the third openings. Afterwards, a semiconductor material is epitaxially grown from a top surface of the substrate. When the semiconductor material grows above the first layer, it begins to grow laterally into the wider second opening by LEO. Next, a defective portion of the epitaxially grown semiconductor material is etched away by using the third layer as a self-aligned etching mask. A portion of the epitaxially grown semiconductor material that is substantially free of defects subsequently remains on the first layer of the multi-layered mask. The remaining defect-free semiconductor material forms a semiconductor structure that is dissimilar to the substrate upon which it is formed.
Figures 1A-1L illustrate cross-sectional, top-down, and isometric views of a method for forming a semiconductor structure on a dissimilar substrate in accordance with embodiments of the invention.
In Figure 1A, the method begins by providing a substrate 102 with a top surface 103. The substrate can be any suitable structure, such as a monocrystalline substrate or a silicon-on- insulator (SOI) substrate. Furthermore, the substrate can be composed of any suitable material such as, but not limited to, silicon (Si), sapphire (AI2O3), silicon carbide (SiC), gallium arsenide (GaAs), and gallium phosphide (GaP). In one embodiment, the substrate is a global <100> oriented monocrystalline silicon substrate.
As shown in Figure IB, a first layer 104 is then disposed on the top surface 103 of the substrate 102. The first layer 104 has a thickness 117 and a top surface 105. The first layer 104 may be composed of any suitable dielectric or insulating material such as, but not limited to, silicon nitride (S13N4), silicon dioxide (S1O2), carbon-doped oxide, or a low-k dielectric material. In one embodiment, the first layer 104 is a dielectric layer composed of Si02. Additionally, the first layer 104 may be composed of any suitable metal such as, but not limited to, titanium- nitride, tungsten, or tantalum nitride. The first layer 104 can be used as an aspect ratio trapping (ART) layer to trap defects during epitaxial growth of semiconductor materials. Thus, the first layer 104 can have a thickness 117 that traps a desired amount of defects. In one embodiment, the thickness 117 of the first layer 104 is less than 2000 A. In one particular embodiment, the thickness 117 of the first layer 104 ranges from 200 A to 2000 A.
Next, as depicted in Figure 1C, a second layer 106 is formed on the top surface 105 of the first layer 104. The second layer 106 has a thickness 119 and a top surface 107. The second layer 106 may be composed of any suitable dielectric or insulating material such as, but not limited to, S13N4, Si02, carbon-doped oxide, or a low-k dielectric material. Additionally, the second layer 106 may be composed of any suitable metal such as, but not limited to, titanium- nitride, tungsten, or tantalum nitride. The material of the second layer 106 is different from the material of the first layer 104 so that the second layer 106 may be etched selective to the first layer 104. That is, the second layer 106 is etched and the first layer 104 is not substantially etched. For instance, the etch rate for the second layer 106 is substantially higher than the etch rate for the first layer 104, such as a selectivity ratio of 5: 1 in one embodiment, or 10: 1 in an alternative embodiment. In one embodiment, the first layer is a Si02 layer and the second layer is a S13N4 layer. The second layer can be widened to allow LEO of a semiconductor material to be disposed on the first layer 104.
Subsequently, as shown in Figure ID, a third layer 108 is formed on the second layer 106. The third layer 108 has a thickness 121 and a top surface 109. The third layer 108 may be composed of any suitable dielectric or insulating material such as, but not limited to, S13N4, Si02, carbon-doped oxide, or a low-k dielectric material. Furthermore, the third layer 108 may be composed of any suitable metal such as, but not limited to, titanium-nitride, tungsten, or tantalum nitride. In an embodiment, the material of the third layer 108 is different from the material of the second layer 106 so that the second layer 106 may be etched selective to the third layer 108. Additionally, the third layer 108 may be composed of the same material as the first layer 104. In one embodiment, the first and third layers are Si02 layers and the second layer is a S13N4 layer. In another embodiment, all three layers are composed of different materials such that any one layer can be etched selective to any other layer. The third layer 108 can be used as a self-aligned mask layer to remove defective semiconductor material within the first and second layers. Thus, the thickness 121 of the third layer 108 can be any suitable thickness to etch the desired amount of material within the first and second layers 104 and 106. Generally, the thickness 121 of the third layer 108 is larger than the thickness 117 of the first layer 104 and the thickness 119 of the second layer 106. In some embodiments, the thickness 121 is larger than the thicknesses 117 and 119 combined. In one embodiment, the thickness 121 of the third layer 108 is less than 1 μιη. In one particular embodiment, the thickness 121 of the third layer 108 ranges from 200 A to 1 μιη.
The first, second, and third layers may be formed by any suitable blanket deposition technique such as, but not limited to, chemical vapor deposition (CVD) and plasma vapor deposition (PVD). Additionally, a chemical-mechanical polishing (CMP) process can be used to planarize one or any of the top surface of the dielectric material, thereby forming a uniform, flat layer if desired.
With reference to Figure IE, after formation of the third layer 108, a first etch process forms an initial opening 150 through all three layers. The initial opening 150 has a common central axis 110 that represents the center of the opening. The first etch process creates the initial opening 150 with vertically aligned sidewalls 124, 126, and 128 within the first, second, and third layers. The initial opening 150 extends a depth 132 from the top surface 109 of the third layer 108 to the top surface 122 of the substrate 102 in order to expose the top surface 122 of the substrate 102. In one embodiment, the depth 132 ranges from 600 A to 2 μιη. Moreover, the initial opening 150 may also have a width 130 and a length 129 as depicted in Figure lE-1. The length 129 may be significantly longer than the width 130 to form a trench. In some
embodiments, the initial opening is a trench that has a width to length ratio that ranges from 1: 1 to 1:20.
The initial opening may be formed by any suitable anisotropic dry etch process typically used to form vertical openings in a multi-layer dielectric mask. In one embodiment, the initial opening is formed by an anisotropic dry etch process using a Cl2-based reactant gas. In another embodiment, the initial opening is formed by an anisotropic dry etch process using a fluorine-based reactant gas.
As also depicted in Figure IE, the top surface 122 of the substrate 102 can be further etched to have a modified surface. In one embodiment, the top surface 122 is further etched to have a V-groove profile. The V-groove profile has modified top surfaces 122 that expose the <111> plane within a global <100> silicon substrate and converge at a lowest point. One advantage of a V-groove profile is that it allows better lattice matching between the epitaxially grown material and non-native substrate. In another embodiment, the top surface 122 is a deep V-groove profile, which is not shown in Figure IE. A deep V-groove profile is a V-groove profile formed at a bottom of a trench formed within the silicon substrate. The substrate has vertical sidewalls extending up from the edges of the modified top surfaces 122 to the first layer 104.
The modified top surfaces 122 may be formed by any typical cry stallo graphic etch process. In one embodiment, the modified top surfaces 122 are formed by a wet etch process. By way of example, not by way of limitation, a silicon substrate may be etched with an active solution such as, but not limited to, potassium hydroxide (KOH) or tetramethyl ammonium hydroxide (TMAH). The deep V-groove profile may be formed by any typical anisotropic dry etch process followed by any typical crystallographic etch process. In one embodiment, the deep V-groove profile is formed by an anisotropic dry etch process using a Cl2-based reactant gas followed by a wet etch process with an active solution comprising KOH.
Next, as shown in Figure IF, a lateral extension 120 is formed in a second opening 116. The lateral extension 120 makes the second opening 116 of the second layer 106 wider than a first opening 114 of the first layer 104 and a third opening 118 of the third layer 108. The wider second opening 116 forms a blown-out region 152 within the second layer 106. Consequently, a multi-aspect ratio mask is formed. The blown-out region 152 allows a semiconductor structure to be subsequently disposed on the top surface 105 of the first layer 104. As will be appreciated in light of this disclosure, the distance of the lateral extension 120 may depend on the dimensions of the semiconductor structure sought to be disposed within the blown-out region 152.
An isotropic second etch process may form the lateral extension 120 by etching the second layer 106 selective to the first and third layers 104 and 108. For instance, if the second layer is S13N4 and the first and third layers are Si02, the S13N4 layer can be wet etched with hot phosphoric acid (H3PO4). A selectivity of greater than 80: 1 can be observed with an H3PO4 wet etchant solution at a process temperature of 160-165°C. Instead of H3PO4, a selective dry etch may be used, such as carbon tetrafluoride (CF4) and 02. A selectivity of around 40: 1 can be observed with CF4 as an etchant gas with an 02 flow of around 30 seem. The S13N4 layer can also be selectively etched with nitrogen trifluoride (NF3) and 02 instead of CF4 and 02. A selectivity of 100: 1 can be observed with NF3 as an etchant gas with an 02 flow of around 45 seem. These process gasses primarily remove the S13N4 material while leaving the Si02 material substantially intact.
Other suitable process flows, not including the one just mentioned in Figures IE- IF, can be used to form the three openings in the multi-aspect ratio mask according to an
embodiment of the invention. For example, an initial anisotropic etch process may be used to form an opening in the third layer. Following this initial etch, a selective isotropic etch may be used to laterally extend the second opening while leaving the first and third layers substantially intact. Subsequently, another anisotropic etch may be used to form an opening in the first layer, exposing a top surface of the substrate. Thereafter, an optional crystallographic etch may be used to modify the top surface of the semiconductor substrate. At any rate, any method of forming a multi-aspect ratio mask with a wider middle opening may be a suitable method envisioned in an embodiment of the invention.
Shown in Figure 1G, after etching the second layer 106 selective to a first and third layers 104 and 108, a semiconductor material 142 is epitaxially grown on the top surface 122 of the semiconductor substrate 102. In an embodiment, the semiconductor material 142 epitaxially grown on surface 122 is composed of a wide band gap material (e.g., any material with a band gap greater than 2.0 eV), a III-V material, germanium, silicon, or any material that suffers from dislocations and stacking faults in its crystal structure during epitaxial growth on a non-native substrate. In one particular embodiment, the semiconductor material 142 is GaN. In a specific embodiment, the semiconductor material 142 is GaN and the semiconductor substrate 102 is silicon. In an alternative embodiment, the semiconductor material 142 is composed of silicon germanium (SiGe).
Semiconductor material 142 initially epitaxially grows within the confined boundaries of the first opening 114. Because of first sidewall 124, semiconductor material 142 cannot grow laterally. Thus, semiconductor material 142 grows substantially vertically within the first opening 114. Threading dislocation defects 140 may form in the semiconductor material 142 during epitaxial growth. These defects may be caused by a lattice mismatch between the semiconductor material 142 and the non-native substrate 102. A non-native substrate can be any substrate that has a mismatching lattice structure and/or a mismatching lattice constant with the semiconductor material epitaxially grown on top of it. Threading dislocation defects 140 originate from the top surface 122 of the semiconductor substrate 102 and propagate through the semiconductor material 142. Horizontally and diagonally propagating threading dislocation defects terminate against the first sidewall 124 of the first layer 104. As such, very few horizontally and diagonally propagating threading dislocation defects continue to propagate above the first layer 104. Rather, only vertically propagating defects continue to propagate above the first layer 104. Accordingly, the thickness 117 of the first layer 104 directly affects the amount of horizontally and diagonally propagating threading dislocation defects 140 that propagate above the first layer 104. For instance, a larger first layer thickness 117 provides a larger first sidewall 124 to trap dislocation defects, thereby significantly decreasing the amount of horizontal and diagonal defects that may propagate above the first layer 104. In one embodiment, the first layer 104 is formed to a sufficient thickness 117 for trapping defects. In an embodiment, the thickness 117 of the first layer 104 is less than 2000 A. In a particular embodiment, the thickness 117 of the first layer 104 ranges from 200 A to 2000 A.
As the semiconductor material 142 grows above the first layer 104, the semiconductor material 142 grows laterally into the blown-out region 152 of the second layer 106. The semiconductor material 142 laterally extends a distance 144 into the blown-out region 152. The semiconductor material that laterally grows into the blown-out region 152 may have very little threading dislocation defects 140 because most of the defects 140 that propagate horizontally and diagonally have already terminated into the first sidewall 124 of the first layer 104. Furthermore, the vertically propagating defects would not propagate laterally into the blown-out region 152. Therefore, the semiconductor material that laterally grows into the blown-out region 152 is substantially high-quality material that is significantly free of defects ("defect- free").
Accordingly, a defect-free semiconductor material 113 is formed within the blown-out region 152 of the multi-aspect ratio mask. In one embodiment, the dimensions of the blown-out region 152 contain an extended region 120 and a thickness 119 that do not limit the defect-free semiconductor material 113 growth. The defect- free semiconductor material 113 may grow laterally within the blown-out region until the end of a process time. Accordingly, the lateral growth may stop before the defect-free semiconductor material 113 reaches the second sidewall 126. As such, the defect- free semiconductor material 113 may not coalesce with the second sidewall 126. In addition, the defect-free semiconductor material 113 may also grow vertically until the end of the process time. Accordingly, the vertical growth may stop before the defect- free semiconductor material 113 reaches a bottom surface 111 of the third layer 108. As such, the defect- free semiconductor material 113 may not coalesce with the bottom surface 111 of the third layer 108.
In an alternative embodiment, the extended region 120 is designed to limit the lateral growth of defect-free semiconductor material 113. For instance, a self-limiting process may grow the defect- free semiconductor material 113 within the blown-out region 152. The self- limiting process may grow the defect- free semiconductor material 113 so that it coalesces with the second sidewall 126. Thereafter, the lateral growth is stopped regardless of whether process gas continues to flow. As a result, the width of the extended region 120 may determine the exact width 144 of the defect- free semiconductor material 113.
In yet another alternative embodiment, the thickness 119 is designed to limit the vertical growth of defect- free semiconductor material 113. For example, a self-limiting process may grow the defect- free semiconductor material 113 so that it coalesces with the bottom surface 111 of the third layer 108. Thereafter, the vertical growth is stopped regardless of whether process gas continues to flow. As such, the thickness 119 of the second layer 106 may determine the exact height 146 of the defect-free semiconductor material 113. Furthermore, the thickness 119 can also be designed to limit the lateral growth of the defect- free semiconductor material 113. For instance, a self-limiting process may grow the defect- free semiconductor material 113 so that it coalesces with the bottom surface 111 of the third layer 108. As such, process gas stops flowing into the blown-out region 152 and prevents further lateral growth of defect- free semiconductor material 113. Accordingly, a thin second layer 106 may result in a narrow width
144. In one embodiment, the thickness 119 of the second layer 106 is less than 2000 A. In one particular embodiment, the thickness 119 of the second layer 106 ranges from 200 A to 2000 A.
Next, as illustrated in Figure 1H, the semiconductor material 142 that contains defects 140 is etched away by an anisotropic third etch process. The third etch process uses the third layer 108 as an etching mask. According to an embodiment of the invention, the third layer 108 is a self-aligned mask created by the anisotropic etch process that formed the initial opening 150. The self-aligned third layer 108 has a third opening 118 and a third sidewall 128 that is substantially vertically aligned to the first sidewall 124. As such, the third layer 108 can be used as an etching mask to remove defective semiconductor material 142 within and vertically below the third opening 118. In an alternative embodiment, the third opening 118 is wider than the first opening 114. As such, the first sidewall 124 and the third sidewall 128 are not substantially vertically aligned. Thus, the defective semiconductor material 142 as well as a portion of the defect-free semiconductor material 113 may be removed. Any suitable anisotropic etch process can be used as the third etch process to remove the defective semiconductor material 142. In one embodiment, the third etch process is an anisotropic dry etch process that uses Cl2 plasma to remove defective GaN material.
Because the multi-aspect ratio mask has the self-aligned third layer 108, a separate lithography step is not required to remove the defective semiconductor material 142. Prior art methods disadvantageously require a separate lithography step to etch away the defective portions of the semiconductor structure. Such lithography steps require stringent critical alignments that are highly susceptible to misalignment issues. However, by removing these stringent lithography steps, embodiments of the invention can decrease production cost. In addition, the self-aligned property of the multi-aspect ratio mask can also allow dense integration of semiconductor structures 112 in IC devices due to less constrained registration requirements.
As depicted in Figure 1H, the defect-free semiconductor structure 112 has an opposing pair of semiconductor sidewalls 136 and 138. More specifically, the semiconductor structure 112 has an inner semiconductor sidewall 136 and an outer semiconductor sidewall 138. The inner semiconductor sidewall 136 is substantially vertically aligned with the first sidewall 124 of the first layer 104. The defect-free semiconductor structure 112 has a width 144 and a height 146. As discussed above, the width 144 and height 146 may be determined based upon a deposition time or a design of the blown-out region 152.
After the defective GaN material is etched out of the mask, the method of forming a semiconductor structure on a dissimilar substrate is now complete. However, various processes may subsequently be performed to form the structures 112 into more practical structures. For example, as depicted in Figure II, a fourth etch process may be used to remove the second and third layers 106 and 108 of the multi-aspect ratio mask. The fourth etch process may be any suitable process typically used in the industry to remove layers of dielectric materials. Only the defect-free semiconductor structures 112 remain on the top surface 105 of the first layer 104 after the fourth etch process. The defect-free semiconductor structure 112 has a width 144 and a height 146. In an embodiment, the inner semiconductor sidewalls 136 of the remaining defect- free semiconductor structures 112 are substantially vertically aligned with the first sidewall 124 of the first layer 104.
Corresponding Figure II- 1 depicts a top view perspective of the multi-aspect ratio mask after removing the second and third mask layers 106 and 108. A first opening 114 exposes the top surface 122 of the substrate 102. The defect-free semiconductor structure 112 remains on the top surface 105 of the first layer 104. The inner semiconductor sidewall 136 of the defect-free semiconductor structure 112 is vertically aligned with first sidewall 124 of the first layer 104 located directly below the inner semiconductor sidewall 136. In an embodiment, the defect-free semiconductor structure 112 has a width 144 that proximately forms around the perimeter of the first opening 114. According to an embodiment of the invention, the longer sides of the defect- free semiconductor structure 112 may be used to form active regions for semiconductor devices. In one embodiment, the longer sides of the defect- free semiconductor structure 112 are used to form fins for finFET transistor devices.
Referring to Figure 11-2, the illustration depicts a top view perspective of the defect- free semiconductor structure 112 after device cropping. A set of fins 112A and 112B are disposed on the top surface 105 of the first layer 104 according to an embodiment of the present invention. Device cropping may be performed by any suitable masking and etching technique. As depicted in Figure 11-2, an embodiment may have resulting fins 112A and 112B with length 129 and width 144. Such fin structures may, for example, operate as fin structures for transistor applications in integrated chip (IC) devices, such as planar transistors and finFET transistors. The inner semiconductor sidewall 136 of fin 112A and 112B are vertically aligned with the first sidewall 124 of the first layer 104. The first opening 114 exposes the top surface 122 of the substrate 102. While the method of forming a semiconductor structure according to an embodiment of the present invention may require a device cropping etch process, the critical dimension requirements in photolithography for device cropping are significantly less stringent than the requirements in photolithography for forming a mask to remove defective material within a narrow opening. Therefore, replacing the more stringent photolithography process with a less stringent photolithography process is advantageous for not only economic reasons such as decreasing cost, but also production reasons such as increasing throughput and decreasing turnaround time.
Referring to Figure 1J, a shallow trench isolation (STI) material 150 is blanket deposited over the exposed surfaces of the first layer 104, substrate 102, and defect-free semiconductor structures 112 after device cropping. STI 150 may be any suitable insulating layer such as silicon dioxide. The STI layer 150 may be deposited by chemical vapor deposition (CVD), plasma vapor deposition (PVD), plasma enhanced chemical vapor deposition (PECVD), atomic layer deposition (ALD), or any other suitable technique used to form a blanket deposition of low-k dielectric materials on substrates with trenches. In one embodiment, the method of depositing the STI material 150 is by CVD.
As illustrated in Figure IK, after deposition of the STI 150, the STI 150 may be planarized to the top surface 154 of the defect- free semiconductor structure 112 by any suitable planaraization process such as CMP. The defect-free semiconductor structure 112 has a flat top surface 154 following the planarization process. The defect-free semiconductor structure 112 has a width 144 formed by LEO of defect- free semiconductor material 113. In an embodiment, the dimensions of the blown-out region 152 determine the width 144 of the defect-free semiconductor structure 112. In some embodiments, planarization of the STI 150 results in forming two portions of STI structures: STI 150A and STI 150B. Top surfaces 152 of STI 150A and 150B are substantially coplanar with the top surface 154 of the defect- free semiconductor structure 112. STI 150B is disposed on top of the substrate 102 and directly adjacent to the first sidewall 124 of the first layer 104 and the inner semiconductor sidewall 136 of the defect-free semiconductor structure 112. In addition, STI 150A is disposed on the top surface 105 of the first layer 104 and directly adjacent to the outer semiconductor sidewall 138 of the defect- free semiconductor structure 112. As a result, defect-free semiconductor structures 112 have an exposed top surface 154 for forming devices, such as a planar MOSFET transistor. Figure 2A illustrates an isometric view of a planar MOSFET device formed on a defect-free semiconductor structure 112 in accordance with an embodiment of the invention. The dotted rectangular frame depicted in Figure IK shows the cross-sectional viewing plane of Figure 2A.
Illustrated in Figure 2 A, the planar MOSFET device is formed by a gate electrode 160 disposed over a portion of a top surface 154 of a defect-free semiconductor structure 112. The defect-free semiconductor structure 112 has a width 144. In an embodiment, a width 144 of the defect-free semiconductor structure 112 is chosen to provide a desired gate width for forming a planar MOSFET transistor. Furthermore, the defect- free semiconductor structure 112 extends a distance 129 of the MOSFET device. The gate electrode 160 may be composed of any suitable material and formed by any suitable technique typically used in the art. Disposed directly between the defect- free semiconductor structure 112 and the gate electrode 160 is a gate dielectric 162. The gate dielectric 162 may be an oxide material, such as silicon dioxide, formed by any technique well known in the art. In addition, a channel region is formed by a portion of the defect-free semiconductor structure 112 disposed below the gate electrode 160 and directly below the gate dielectric 162. A source region 168 and a drain region 164 are formed by a portion of the defect-free semiconductor structure 112 disposed directly adjacent and on opposite sides of the channel region.
Furthermore, the planar MOSFET device includes a fist dielectric 104 and a second dielectric 150. The first dielectric 104 is disposed directly below the defect-free semiconductor structure 112. The first dielectric 104 electrically insulates a bottom surface 149 of the defect- free semiconductor structure 112. The second dielectric 150 includes two portions: a first portion 150A and a second portion 150B. The first portion 150A is disposed directly on the top surface 105 of the first dielectric layer 104 and immediately adjacent to the outer semiconductor sidewall 138 of the defect-free semiconductor structure 112. The second portion 150B is disposed directly on the top surface 122 of the semiconductor substrate 102 and immediately adjacent to the inner semiconductor sidewall 136 of the defect-free semiconductor structure 112 and the first sidewall 124 of the first layer 104. The second dielectric 150 electrically insulates the opposing semiconductor sidewalls 136 and 138 of the defect-free semiconductor structure 112. In one embodiment, the inner semiconductor sidewall 136 and first sidewall 124 are substantially vertically aligned to one another. The self-aligned properties of the third layer mask 108 causes the inner semiconductor sidewall 136 and the first sidewall 124 to be substantially vertically aligned to one another. In addition, in one embodiment, the top surface 152 of the STI 150 is substantially coplanar with the top surface 154 of the defect-free semiconductor structure 112.
Referring to an alternative embodiment depicted in Figure 1L, after planarizing STI 150, a separate etch process, such as an HF wet etch process, further removes the STI 150 in order to form a non-planar device (e.g., a tri-gate or finFET transistor). After the separate etch process, the top surface 152 of the STI 150 is below the top surface 154 of the defect-free semiconductor structure 112 and above the top surface 105 of the first dielectric layer 104. As such, the top surface 154 and a portion of the inner and outer semiconductor sidewalls 136 and 138 of the defect free semiconductor structure 112 are exposed. STI 150B is disposed on top of the substrate 102 and directly adjacent to the first sidewall 124. In one embodiment, STI 150B is further adjacent to only a portion of the inner semiconductor sidewall 136 of the defect-free semiconductor structure 112. STI 150A forms on top of the first dielectric layer 104 and directly adjacent to only a portion of the inner semiconductor sidewall 136 of the defect-free
semiconductor structure 112. The exposed top surface 154 and inner and outer semiconductor sidewalls 136 and 138 may thus form a fin structure for forming a finFET transistor.
As is known, a finFET is a transistor built around a thin strip of semiconductor material generally referred to as the fin. The transistor includes the standard field effect transistor (FET) nodes, including a gate, a gate dielectric, a source region, and a drain region. The conductive channel of the device resides on the outer sides of the fin beneath the gate dielectric.
Specifically, current runs along both sides of the fin (sides perpendicular to the substrate surface) as well as along the top of the fin (side parallel to the substrate surface). Because the conductive channel of such configurations essentially resides along the three different outer, planar regions of the fin, such a finFET design is sometimes referred to as a tri-gate finFET.
Figure 2B illustrates an isometric view of such a finFET transistor formed on a defect- free semiconductor structure 112 in accordance with an embodiment of the invention. The dotted rectangular frame depicted in Figure 1L shows the cross-sectional viewing plane of Figure 2B.
As illustrated in Figure 2B, the non-planar finFET device is formed by a gate electrode 160 wrapped around a portion of three exposed surfaces of a defect-free semiconductor structure 112. The defect-free semiconductor structure 112 is a fin that has a width 144. In an
embodiment, a width 144 of the fin 112 is chosen to provide a desired gate width for forming a finFET transistor. Furthermore, the defect-free semiconductor structure 112 extends a distance 129 of the finFET device. Disposed directly between the fin 112 and the gate electrode 160 is a gate dielectric 162. The gate dielectric 162 contacts the top surface 154 and a portion of the inner and outer sidewalls 136 and 138 of the fin 112. In addition, a channel region is formed by a portion of the fin 112 disposed directly below and adjacent to the dielectric layer 162. A source region 168 and a drain region 164 are formed by a portion of the fin 112 disposed directly adjacent and on opposite sides of the channel region. In an embodiment, a width 144 of the defect-free semiconductor structure 112 is chosen to provide a desired gate width for forming a finFET transistor.
The non-planar finFET device further includes a first dielectric 104 disposed directly below the fin 112. A second dielectric 150 includes two portions: a first portion 150A and a second portion 150B. The first portion 150A is disposed directly on the top surface 105 of the first dielectric layer 104 and immediately adjacent to a portion of the outer semiconductor sidewall 138 of the fin 112. The second portion 150B is disposed directly on the top surface 122 of the semiconductor substrate 102 and immediately adjacent to the first sidewall 124 of the first layer 104 and a portion of the inner semiconductor sidewall 136 of the fin 112. In one embodiment, the inner semiconductor sidewall 136 and first sidewall 124 are substantially vertically aligned to one another. The self-aligned properties of the third layer mask 108 causes the inner semiconductor sidewall 136 and the first sidewall 124 to be substantially vertically aligned to one another. In an embodiment, the top surface 152 of the second dielectric 150 is below the top surface of the fin 112 and above the top surface 105 of the first dielectric 104.
Figure 3 illustrates a computing system 300 implemented with one implementation of the invention. The computing device 300 houses a board 302. The board 302 may include a number of components, including but not limited to a processor 304 and at least one
communication chip 306. The processor 304 is physically and electrically coupled to the board 302. In some implementations the at least one communication chip 306 is also physically and electrically coupled to the board 302. In further implementations, the communication chip 306 is part of the processor 304.
Depending on its applications, computing device 300 may include other components that may or may not be physically and electrically coupled to the board 302. These other components include, but are not limited to, volatile memory (e.g., DRAM), non-volatile memory (e.g., ROM), flash memory, a graphics processor, a digital signal processor, a crypto processor, a chipset, an antenna, a display, a touchscreen display, a touchscreen controller, a battery, an audio codec, a video codec, a power amplifier, a global positioning system (GPS) device, a compass, an accelerometer, a gyroscope, a speaker, a camera, and a mass storage device (such as hard disk drive, compact disk (CD), digital versatile disk (DVD), and so forth). The communication chip 306 enables wireless communications for the transfer of data to and from the computing device 300. The term "wireless" and its derivatives may be used to describe circuits, devices, systems, methods, techniques, communications channels, etc., that may communicate data through the use of modulated electromagnetic radiation through a non- solid medium. The term does not imply that the associated devices do not contain any wires, although in some embodiments they might not. The communication chip 306 may implement any of a number of wireless standards or protocols, including but not limited to Wi-Fi (IEEE 802.11 family), WiMAX (IEEE 802.16 family), IEEE 802.20, long term evolution (LTE), Ev- DO, HSPA+, HSDPA+, HSUPA+, EDGE, GSM, GPRS, CDMA, TDMA, DECT, Bluetooth, derivatives thereof, as well as any other wireless protocols that are designated as 3G, 4G, 5G, and beyond. The computing device 300 may include a plurality of communication chips 306. For instance, a first communication chip 306 may be dedicated to shorter range wireless communications such as Wi-Fi and Bluetooth and a second communication chip 306 may be dedicated to longer range wireless communications such as GPS, EDGE, GPRS, CDMA, WiMAX, LTE, Ev-DO, and others.
The processor 304 of the computing device 300 includes an integrated circuit die packaged within the processor 304. In some implementations of the invention, the integrated circuit die of the processor includes one or more devices, such as airgap interconnects with hood layers, that are formed in accordance with implementations of the invention. The term
"processor" may refer to any device or portion of a device that processes electronic data from registers and/or memory to transform that electronic data into other electronic data that may be stored in registers and/or memory.
The communication chip 306 also includes an integrated circuit die packaged within the communication chip 306. In accordance with another implementation of the invention, the integrated circuit die of the communication chip includes one or more devices, such as transistors formed from a semiconductor structure on a dissimilar substrate, that are formed in accordance with implementations of the invention.
In further implementations, another component housed within the computing device 300 may contain an integrated circuit die that includes one or more devices, such as airgap interconnects with hood layers, that are formed in accordance with implementations of the invention.
In various implementations, the computing device 300 may be a laptop, a netbook, a notebook, an ultrabook, a smartphone, a tablet, a personal digital assistant (PDA), an ultra mobile PC, a mobile phone, a desktop computer, a server, a printer, a scanner, a monitor, a set- top box, an entertainment control unit, a digital camera, a portable music player, or a digital video recorder. In further implementations, the computing device 300 may be any other electronic device that processes data.
In an embodiment, a method of forming a semiconductor structure comprises forming a mask comprising a first, a second, and a third layer on a substrate; the first layer having a first opening with a first width and a first sidewall exposing a top surface of the substrate; the second layer having a second opening with a second width and a second sidewall exposing the top surface of the substrate and a top surface of the first layer, the second width is greater than the first width; and the third layer having a third opening with a third width and a third sidewall exposing the top surface of the substrate, wherein the first, second, and third openings are centered along a common central axis; growing a semiconductor material from the top surface of the substrate and laterally onto the top surface of the first layer; and etching the semiconductor material disposed within and vertically below the third opening by using the third layer as an etching mask so that the semiconductor material that laterally overflowed onto the top surface of the first layer forms a remaining structure. In an alternative embodiment, the forming a mask comprising a first, a second, and a third layer on a substrate further comprises depositing the first, second, and third layers on the substrate, the second layer formed above the first layer and below the third layer; forming an initial opening through the first, second, and third layers; and etching the second layer from within the initial opening with an etch process selective to the first and third layers. In another embodiment, the method of forming a semiconductor structure further comprises removing the second and third layers; blanket depositing a dielectric material on the top surface of the substrate, the top surface of the first layer, the first sidewall, and exposed surfaces of the remaining structure; and planarizing the dielectric material to a top surface of the remaining structure. In yet another embodiment, the method of forming a semiconductor structure further comprises removing a portion of the dielectric material to expose a top surface of the remaining structure and a portion of two opposing sidewalls of the remaining structure.
In an alternative embodiment, the planarizing forms a flat top surface on the remaining structure. In another embodiment, the semiconductor material comprises at least one element of a group consisting of III- V semiconductor material, gallium, nitride, germanium, and silicon. In yet another embodiment, the semiconductor material comprises GaN. In one embodiment, the semiconductor material comprises SiGe. In one other embodiment, the substrate comprises silicon. In another embodiment, the first, second, and third layers are metal layers. In yet another embodiment, the first, second, and third layers are dielectric layers. In one embodiment, the second layer comprises a material different from a material of the first and third layers. In an alternative embodiment, the second layer can be selectively etched relative to the first and third layers. In another embodiment, the second layer comprises silicon nitride and the first and third layers comprise silicon dioxide. In yet another embodiment, the etching the semiconductor material is an anisotropic etch process. In an embodiment, the third width is equal to the first width. In an alternative embodiment, the third width is greater than the first width. In another embodiment, the top surface of the substrate is a modified surface. In yet another embodiment, the modified surface comprises a V-groove profile. In one other embodiment, the V-groove profile exposes the <111> plane in the substrate.
In an embodiment, a semiconductor structure comprises a first layer disposed on a substrate, the first layer having an opening with a first sidewall exposing a top surface of the substrate; a semiconductor structure disposed on a top surface of the first layer, the
semiconductor structure having an opposing pair of inner and outer semiconductor sidewalls, wherein the inner semiconductor sidewall and first sidewall are vertically aligned to one another; and a second layer disposed adjacent to the inner semiconductor sidewall, adjacent to the dielectric sidewall, and on the top surface of the substrate. In another embodiment, the second layer is further disposed adjacent to the outer semiconductor sidewall and on the first layer. In one embodiment, a top surface of the second layer and a top surface of the semiconductor structure are coplanar. In one other embodiment, the second layer is lower than a top surface of the semiconductor structure and above a top surface of the first layer. In another embodiment, the semiconductor structure has an exposed top surface and an exposed portion of the inner and outer semiconductor sidewall. In yet another embodiment, the semiconductor structure comprises a material selected from at least one element of a group consisting of III- V
semiconductor material, gallium, nitride, germanium, and silicon. In an embodiment, the semiconductor structure comprises GaN. In an alternative embodiment, the semiconductor structure comprises SiGe. In another embodiment, the substrate comprises silicon. In yet another embodiment, the top surface of the substrate is a modified surface.
In utilizing the various aspects of this invention, it would become apparent to one skilled in the art that combinations or variations of the above embodiments are possible for forming a transistor formed from a semiconductor structure on a dissimilar substrate. Although embodiments of the present invention have been described in language specific to structural features and/or methodological acts, it is to be understood that the invention defined in the appended claims is not necessarily limited to the specific features or acts described. The specific features and acts disclosed are instead to be understood as particularly graceful implementations of the claimed invention useful for illustrating embodiments of the present invention.

Claims

CLAIMS What is claimed is:
1. A method of forming a semiconductor structure, comprising:
forming a mask comprising a first, a second, and a third layer on a substrate;
the first layer having a first opening with a first width and a first sidewall exposing a top surface of the substrate;
the second layer having a second opening with a second width and a second sidewall exposing the top surface of the substrate and a top surface of the first layer, the second width is greater than the first width; and
the third layer having a third opening with a third width and a third sidewall exposing the top surface of the substrate, wherein the first, second, and third openings are centered along a common central axis;
growing a semiconductor material from the top surface of the substrate and laterally onto the top surface of the first layer; and
etching the semiconductor material disposed within and vertically below the third opening by using the third layer as an etching mask so that the semiconductor material that laterally overflowed onto the top surface of the first layer forms a remaining structure.
2. The method of claim 1, wherein the forming a mask comprising a first, a second, and a third layer on a substrate comprises:
depositing the first, second, and third layers on the substrate, the second layer formed above the first layer and below the third layer;
forming an initial opening through the first, second, and third layers; and
etching the second layer from within the initial opening with an etch process selective to the first and third layers.
3. The method of claim 1, further comprising:
removing the second and third layers;
blanket depositing a dielectric material on the top surface of the substrate, the top surface of the first layer, the first sidewall, and exposed surfaces of the remaining structure; and
planarizing the dielectric material to a top surface of the remaining structure.
4. The method of claim 3, further comprising removing a portion of the dielectric material to expose a top surface of the remaining structure and a portion of two opposing sidewalls of the remaining structure.
5. The method of claim 3, wherein the planarizing forms a flat top surface on the remaining structure.
6. The method of claim 1, wherein the semiconductor material comprises at least one element of a group consisting of III- V semiconductor material, gallium, nitride, germanium, and silicon.
7. The method of claim 6, wherein the semiconductor material comprises GaN.
8. The method of claim 6, wherein the semiconductor material comprises SiGe.
9. The method of claim 1, wherein the substrate comprises silicon.
10. The method of claim 1, wherein the first, second, and third layers are metal layers.
11. The method of claim 1, wherein the first, second, and third layers are dielectric layers.
12. The method of claim 11, wherein the second layer comprises a material different from a material of the first and third layers.
13. The method of claim 12, wherein the second layer can be selectively etched relative to the first and third layers.
14. The method of claim 13, wherein the second layer comprises silicon nitride and the first and third layers comprise silicon dioxide.
15. The method of claim 1, wherein the etching the semiconductor material is an anisotropic etch process.
16. The method of claim 1, wherein the third width is equal to the first width.
17. The method of claim 1, wherein the third width is greater than the first width.
18. A semiconductor structure, comprising:
a first layer disposed on a substrate, the first layer having an opening with a first sidewall exposing a top surface of the substrate;
a semiconductor structure disposed on a top surface of the first layer, the semiconductor structure having an opposing pair of inner and outer semiconductor sidewalls, wherein the inner semiconductor sidewall and first sidewall are vertically aligned to one another; and
a second layer disposed adjacent to the inner semiconductor sidewall, adjacent to the dielectric sidewall, and on the top surface of the substrate.
19. The transistor structure of claim 18, wherein the second layer is further disposed adjacent to the outer semiconductor sidewall and on the first layer.
20. The transistor structure of claim 18, wherein a top surface of the second layer and a top surface of the semiconductor structure are coplanar.
21. The transistor structure of claim 18, wherein a top surface of the second layer is lower than a top surface of the semiconductor structure and above a top surface of the first layer.
22. The transistor structure of claim 18, wherein the semiconductor structure comprises a material selected from at least one element of a group consisting of III- V semiconductor material, gallium, nitride, germanium, and silicon.
23. The transistor structure of claim 22, wherein the semiconductor structure comprises GaN.
24. The transistor structure of claim 22, wherein the semiconductor structure comprises SiGe.
25. The transistor structure of claim 18, wherein the top surface of the substrate is a modified surface.
PCT/US2013/077622 2013-12-23 2013-12-23 Method of fabricating semiconductor structures on dissimilar substrates WO2015099689A1 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
PCT/US2013/077622 WO2015099689A1 (en) 2013-12-23 2013-12-23 Method of fabricating semiconductor structures on dissimilar substrates
KR1020167013116A KR102133428B1 (en) 2013-12-23 2013-12-23 Method of fabricating semiconductor structures on dissimilar substrates
CN201380081120.2A CN105745769B (en) 2013-12-23 2013-12-23 The method of semiconductor structure is manufactured in foreign substrate
US15/036,406 US9698222B2 (en) 2013-12-23 2013-12-23 Method of fabricating semiconductor structures on dissimilar substrates
EP13900449.3A EP3087616A4 (en) 2013-12-23 2013-12-23 Method of fabricating semiconductor structures on dissimilar substrates
TW103140480A TWI582912B (en) 2013-12-23 2014-11-21 Semiconductor structure and method of forming semiconductor structure
TW106107042A TWI630685B (en) 2013-12-23 2014-11-21 Semiconductor structure and method of forming semiconductor structure
US15/598,290 US10204989B2 (en) 2013-12-23 2017-05-17 Method of fabricating semiconductor structures on dissimilar substrates

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2013/077622 WO2015099689A1 (en) 2013-12-23 2013-12-23 Method of fabricating semiconductor structures on dissimilar substrates

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US15/036,406 A-371-Of-International US9698222B2 (en) 2013-12-23 2013-12-23 Method of fabricating semiconductor structures on dissimilar substrates
US15/598,290 Continuation US10204989B2 (en) 2013-12-23 2017-05-17 Method of fabricating semiconductor structures on dissimilar substrates

Publications (1)

Publication Number Publication Date
WO2015099689A1 true WO2015099689A1 (en) 2015-07-02

Family

ID=53479360

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2013/077622 WO2015099689A1 (en) 2013-12-23 2013-12-23 Method of fabricating semiconductor structures on dissimilar substrates

Country Status (6)

Country Link
US (1) US9698222B2 (en)
EP (1) EP3087616A4 (en)
KR (1) KR102133428B1 (en)
CN (1) CN105745769B (en)
TW (2) TWI582912B (en)
WO (1) WO2015099689A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3300117A1 (en) * 2016-09-22 2018-03-28 IMEC vzw A high aspect ratio channel semiconductor device and method for manufacturing thereof

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11158712B2 (en) * 2017-12-27 2021-10-26 Intel Corporation Field-effect transistors with buried gates and methods of manufacturing the same
DE102019120765B4 (en) * 2018-09-27 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. METHOD FOR FORMING A SEMICONDUCTOR COMPONENT
US11145507B2 (en) * 2019-12-16 2021-10-12 Wafer Works Corporation Method of forming gallium nitride film over SOI substrate
TWI808715B (en) * 2022-04-08 2023-07-11 睿緒應用材料股份有限公司 How to make transistors

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030073295A1 (en) * 2001-10-11 2003-04-17 Daniel Xu Carbon-containing interfacial layer for phase-change memory
KR20040085902A (en) * 2003-04-02 2004-10-08 삼성전자주식회사 Phase change memory device and method for forming the same
US20080012000A1 (en) * 1995-06-07 2008-01-17 Harshfield Steven T Method and apparatus for forming an integrated circuit electrode having a reduced contact area
US20080096375A1 (en) 2006-10-18 2008-04-24 Macronix International Co., Ltd. Method for Making Memory Cell Device
US20080138929A1 (en) * 2006-12-06 2008-06-12 Macronix International Co., Ltd. Method for Making a Self-Converged Memory Material Element for Memory Cell
WO2010072273A1 (en) 2008-12-24 2010-07-01 Saint-Gobain Cristaux & Detecteurs Manufacturing of low defect density free-standing gallium nitride substrates and devices fabricated thereof
US20110012169A1 (en) 2008-03-28 2011-01-20 Toshiyuki Takizawa Nitride semiconductor light-emitting device

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6235639B1 (en) * 1998-11-25 2001-05-22 Micron Technology, Inc. Method of making straight wall containers and the resultant containers
US6514809B1 (en) * 2000-11-03 2003-02-04 Advanced Micro Devices, Inc. SOI field effect transistors with body contacts formed by selective etch and fill
US6861267B2 (en) * 2001-09-17 2005-03-01 Intel Corporation Reducing shunts in memories with phase-change material
US6909151B2 (en) * 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7098477B2 (en) * 2004-04-23 2006-08-29 International Business Machines Corporation Structure and method of manufacturing a finFET device having stacked fins
US7638381B2 (en) * 2005-10-07 2009-12-29 International Business Machines Corporation Methods for fabricating a semiconductor structure using a mandrel and semiconductor structures formed thereby
US20070287256A1 (en) * 2006-06-07 2007-12-13 International Business Machines Corporation Contact scheme for FINFET structures with multiple FINs
US8637359B2 (en) * 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
RU2626970C2 (en) * 2013-06-28 2017-08-02 Интел Корпорейшн Defect-free device manufacturing on the basis of rib in cross epitaxial overgrowth area

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080012000A1 (en) * 1995-06-07 2008-01-17 Harshfield Steven T Method and apparatus for forming an integrated circuit electrode having a reduced contact area
US20030073295A1 (en) * 2001-10-11 2003-04-17 Daniel Xu Carbon-containing interfacial layer for phase-change memory
KR20040085902A (en) * 2003-04-02 2004-10-08 삼성전자주식회사 Phase change memory device and method for forming the same
US20080096375A1 (en) 2006-10-18 2008-04-24 Macronix International Co., Ltd. Method for Making Memory Cell Device
US20080138929A1 (en) * 2006-12-06 2008-06-12 Macronix International Co., Ltd. Method for Making a Self-Converged Memory Material Element for Memory Cell
US20110012169A1 (en) 2008-03-28 2011-01-20 Toshiyuki Takizawa Nitride semiconductor light-emitting device
WO2010072273A1 (en) 2008-12-24 2010-07-01 Saint-Gobain Cristaux & Detecteurs Manufacturing of low defect density free-standing gallium nitride substrates and devices fabricated thereof

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP3087616A4 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3300117A1 (en) * 2016-09-22 2018-03-28 IMEC vzw A high aspect ratio channel semiconductor device and method for manufacturing thereof
US10224250B2 (en) 2016-09-22 2019-03-05 Imec Vzw High aspect ratio channel semiconductor device and method of manufacturing same
US10566250B2 (en) 2016-09-22 2020-02-18 Imec Vzw High aspect ratio channel semiconductor device and method of manufacturing same

Also Published As

Publication number Publication date
US20160276438A1 (en) 2016-09-22
TW201535618A (en) 2015-09-16
TW201724379A (en) 2017-07-01
EP3087616A1 (en) 2016-11-02
CN105745769A (en) 2016-07-06
EP3087616A4 (en) 2017-08-02
KR102133428B1 (en) 2020-07-14
TWI630685B (en) 2018-07-21
US9698222B2 (en) 2017-07-04
TWI582912B (en) 2017-05-11
KR20160100921A (en) 2016-08-24
CN105745769B (en) 2019-02-22

Similar Documents

Publication Publication Date Title
US10096682B2 (en) III-N devices in Si trenches
US8765563B2 (en) Trench confined epitaxially grown device layer(s)
US9660085B2 (en) Wide band gap transistors on non-native semiconductor substrates and methods of manufacture thereof
US20170186598A1 (en) Non-silicon device heterolayers on patterned silicon substrate for cmos by combination of selective and conformal epitaxy
US10475706B2 (en) Making a defect free fin based device in lateral epitaxy overgrowth region
US20160181085A1 (en) Integration of iii-v devices on si wafers
US10580895B2 (en) Wide band gap transistors on non-native semiconductor substrates
US9698222B2 (en) Method of fabricating semiconductor structures on dissimilar substrates
US10204989B2 (en) Method of fabricating semiconductor structures on dissimilar substrates

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13900449

Country of ref document: EP

Kind code of ref document: A1

REEP Request for entry into the european phase

Ref document number: 2013900449

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 15036406

Country of ref document: US

Ref document number: 2013900449

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20167013116

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE