WO2014165547A1 - Mesoscopic defect detection for reticle inspection - Google Patents

Mesoscopic defect detection for reticle inspection Download PDF

Info

Publication number
WO2014165547A1
WO2014165547A1 PCT/US2014/032582 US2014032582W WO2014165547A1 WO 2014165547 A1 WO2014165547 A1 WO 2014165547A1 US 2014032582 W US2014032582 W US 2014032582W WO 2014165547 A1 WO2014165547 A1 WO 2014165547A1
Authority
WO
WIPO (PCT)
Prior art keywords
die
image
portions
differences
sizing
Prior art date
Application number
PCT/US2014/032582
Other languages
French (fr)
Inventor
Rui-Fang Ray SHI
Zhian GUO
Bing Li
Original Assignee
Kla-Tencor Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/227,782 external-priority patent/US9607371B2/en
Application filed by Kla-Tencor Corporation filed Critical Kla-Tencor Corporation
Publication of WO2014165547A1 publication Critical patent/WO2014165547A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Definitions

  • the present disclosure generally relates to systems and methods related to detecting defects in reticles or photomasks. More particularly, the disclosure generally relates to systems and methods for detecting defects in reticles and/or the photomasks used to produce dies by comparing images (e.g., of said dies).
  • photolithography One process used in the production of semiconductor devices is photolithography.
  • photolithography masks or “reticles” are used to transfer circuitry patterns to semiconductor wafers.
  • a photolithographic reticle includes an intricate set of geometric patterns corresponding to the circuit components to be integrated onto the wafer. Every reticle in a series is used to transfer its corresponding pattern onto a photosensitive layer.
  • the transfer of the reticle pattern onto the photoresist layer is typically performed by an optical exposure tool, which directs light or other radiation through the reticle to expose the photoresist.
  • the photoresist is used to form a photoresist mask, and the underlying polysilicon or metal layer is selectively etched in accordance with the mask to form features such as lines or gates.
  • any defect on the reticle such as an extra or a missing chrome may transfer onto the fabricated wafer in a repeated manner. Thus, it is of importance to inspect the reticles and detect any defects thereupon.
  • Defects on a reticle or photomask are detrimental to wafer yield in the semiconductor manufacturing process.
  • D:D die-to-die
  • D:DB die-to-database
  • a method and/or system may include detecting defects in photomasks.
  • the method may include acquiring a first image of a first die.
  • the method may include acquiring a second image of a second die.
  • the method may mclude dividing the first and the second image into a number of first and second portions respectively.
  • the method may include reducing (e.g., minimizing) one or more differences in sizing of the first and the second portions.
  • the method may include determining a difference in a function derived from an image intensity between the corresponding first and second portions.
  • the method may include summing the differences in the function between the corresponding first and second portions.
  • the method may include generating a graphical display map of the differences as a function of the locations on the surfaces associated with the first die and the second die.
  • the method may include detecting mesoscopic scale defects in the second die.
  • the first image and/or second image is acquired using transmitted light or reflected light.
  • the first die comprises a reference die.
  • the second die comprises a test die.
  • the method may include dividing the first and the second image into a number of first and second portions respectively.
  • the method may include reducing one or more differences in sizing of the first and the second portions.
  • reducing any difference in sizing of the first and second image includes using b
  • b may be linearly proportional to the critical dimension (CD) sizing difference, b may be derived from minimizing the following objective function: ⁇ [I test (x, y) - I ref (x, y) - b ⁇ re/ (x, , y) ⁇ f .
  • b varies within a first and/or a second portion the portion is subdivided into subportions.
  • the method includes mapping defects in the second die upon deriving b.
  • the first die includes a theoretically modelled die.
  • the method includes calibrating the theoretically modelled die.
  • Calibrating the theoretically modelled die may include deriving a set of modeling parameters (b; a ) wherein b denotes the bias amount on features and a denotes a set of modeling parameters.
  • Calibrating the theoretically modelled die may include freezing a and floating b, for each patch image, by minimizing ⁇ [I test (x,y) - I ref (x,y;b) ⁇ f .
  • a system may include a processor and a memory medium.
  • the memory medium may be coupled to the processor that stores program instructions.
  • the program instruction may be executable by the processor to acquire a first image of a first die.
  • the program instruction may be executable by the processor to acquire a second image of a second die.
  • the program instruction may be executable by the processor to divide the first and the second image into approximately equivalent first and second portions.
  • the program instruction may be executable by the processor to reduce any difference in sizing of the first and the second portions.
  • the program instruction may be executable by the processor to detect defects in the second die using the first die as a reference.
  • FIG. 1 depicts an embodiment of a representation of a method of detecting defects in photomasks.
  • first,” “second,” “third,” and so forth as used herein are used as labels for nouns that they precede, and do not imply any type of ordering (e.g., spatial, temporal, logical, etc.) unless such an ordering is otherwise explicitly indicated.
  • a “third die electrically connected to the module substrate” does not preclude scenarios in which a “fourth die electrically connected to the module substrate” is connected prior to the third die, unless otherwise specified.
  • a “second” feature does not require that a “first” feature be implemented prior to the “second” feature, unless otherwise specified.
  • Various components may be described as “configured to” perform a task or tasks.
  • “configured to” is a broad recitation generally meaning “having structure that" performs the task or tasks during operation.
  • the component can be configured to perform the task even when the component is not currently performing that task (e.g., a set of electrical conductors may be configured to electrically connect a module to another module, even when the two modules are not connected).
  • “configured to” may be a broad recitation of structure generally meaning “having circuitry that" performs the task or tasks during operation.
  • the component can be configured to perform the task even when the component is not currently on,
  • the circuitry that forms the structure corresponding to "configured to” may include hardware circuits.
  • connection generally refers to pieces which may be joined or linked together.
  • Coupled generally refers to pieces which may be used operatively with each other, or joined or linked together, with or without one or more intervening members.
  • a method and/or system may include detecting defects in photomasks.
  • FIG. 1 depicts an embodiment of a representation of a method of detecting defects in photomasks.
  • the method may include acquiring a first image of a first die 100.
  • the method may include acquiring a second image of a second die 110.
  • the method may include dividing the first and the second image into a number of first and second portions respectively 120.
  • the method may include reducing any difference in sizing of the first and the second portions 130.
  • the method may include determining any differences in a function derived from an image intensity between the corresponding first and second portions 140.
  • the method may include summing the differences in the function between the corresponding first and second portions.
  • the method may include generating a graphical display map of substantially all the differences as a function of the locations associated with the first die and the second die 150.
  • the method may include detecting mesoscopic scale defects in the second die 160.
  • the method may include detecting mesoscopic scale defects in the second die using the first die as a reference.
  • the method and/or system may be applicable to D:D inspection mode.
  • the first image and/or second image is acquired using transmitted light or reflected light. In some embodiments, the first image and/or second image is acquired using a combination of transmitted light and reflected light. For example, transmitted light and reflected light may be combined at a detector.
  • the first die comprises a reference die. In some embodiments, the second die comprises a test die.
  • t(x,y) and I re f(x,y) optical images from reference and test dies, respectively, for a particular processing patch. These optical images can be acquired from transmitted light or reflected light. In some embodiments, one may assume image registration has already been performed. In some embodiments, wherein reducing any difference in sizing of the first and second image includes using b
  • the above summation may be used over all the valid pixels within the patch. If b changes within a patch, one may divide a patch into several subpatches. For parts of the following discussion, it will be assumed b is a constant within a patch. In some embodiments, when b varies significantly within a first and/or a second portion of the first and second image the portion is subdivided into subportions. In some embodiments, the method includes mapping mesoscopic defects in the second die upon deriving b. [0030] Once b is determined for each patch, a plate-level map may be generated. Defect detection threshold is normally set at a level to capture outliers (e.g., defects) with low count of nuisances or false counts (background noises).
  • outliers e.g., defects
  • bi is the differential bias and d t is the CD bias amount
  • the method and/or system may be applicable to D:DB inspection mode.
  • the first die includes a theoretically modelled die.
  • One may utilize the same equation ⁇ [I test (x,y) - I ref (x,y) - b ⁇ VI ref (x, , y) ⁇ f to minimize the difference between the test and reference images. What is different here is the test image is optical and the reference image is theoretically modeled.
  • the method includes calibrating the theoretically modelled die. Calibrating the theoretically modeled die may include deriving a set of modeling parameters (b; a ) wherein b denotes the bias amount on features and ⁇ denotes a set of modeling parameters.
  • Calibrating the theoretically modeled die may include freezing a and floating b, for each patch image, by minimizing ⁇ Utest( x > y) ⁇ Iref ( x > > b) ⁇ f ⁇
  • the resultant parameter value b is an approximation for the mesoscopic bias amount for that patch.
  • the herein described embodiments apply to various imaging modes.
  • the images can be acquired by a high resolution microscope with both transmitted and reflected light. These images may be acquired by an imaging condition similar or identical to that of a stepper or scanner.
  • a parameter is deduced that is proportional to the CD various on the mask based on optical images (D:D) and a combination of optical and modeled images (D:DB).
  • a system may include a processor and a memory medium.
  • the memory medium may be coupled to the processor that stores program instructions.
  • the program instruction may be executable by the processor to acquire a first image of a first die.
  • the program instruction may be executable by the processor to acquire a second image of a second die.
  • the program instruction may be executable by the processor to divide the first and the second image into approximately equivalent first and second portions.
  • the program instruction may be executable by the processor to reducing any difference in sizing of the first and the second portions.
  • the program instruction may be executable by the processor to detect defects in the second die using the first die as a reference.
  • the current invention can detect mesoscopic and macroscopic defects.
  • the current invention is less sensitive to pattern density effects and is a more direct measurement of the feature sizing difference for a multi-die photomask.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

In some embodiments, a method and/or system may include detecting defects in photomasks. The method may include acquiring a first image of a first die. The method may include acquiring a second image of a second die. In some embodiments, the method may include dividing the first and the second image into a number of first and second portions respectively. The method may include reducing one or more differences in sizing of the first and the second portions. In some embodiments, the method may include determining a difference in a function derived from an image intensity between the corresponding first and second portions. The method may include summing the differences in the function between the corresponding first and second portions. The method may include detecting mesoscopic scale defects in the second die.

Description

MESOSCOPIC DEFECT DETECTION FOR RETICLE INSPECTION
BACKGROUND OF THE INVENTION
1. Field of the Invention
[0001] The present disclosure generally relates to systems and methods related to detecting defects in reticles or photomasks. More particularly, the disclosure generally relates to systems and methods for detecting defects in reticles and/or the photomasks used to produce dies by comparing images (e.g., of said dies). 2. Description of the Relevant Art
[0002] Current demands for semiconductor devices with high density and performance associated with increased transistor and circuit speeds and improved reliability. Such demands require formation of semiconductor devices with greater precision and uniformity, requiring meticulous process monitoring.
[0003] One process used in the production of semiconductor devices is photolithography. In photolithography masks or "reticles", are used to transfer circuitry patterns to semiconductor wafers. A photolithographic reticle includes an intricate set of geometric patterns corresponding to the circuit components to be integrated onto the wafer. Every reticle in a series is used to transfer its corresponding pattern onto a photosensitive layer. The transfer of the reticle pattern onto the photoresist layer is typically performed by an optical exposure tool, which directs light or other radiation through the reticle to expose the photoresist. The photoresist is used to form a photoresist mask, and the underlying polysilicon or metal layer is selectively etched in accordance with the mask to form features such as lines or gates. [0004] It should be appreciated that any defect on the reticle, such as an extra or a missing chrome may transfer onto the fabricated wafer in a repeated manner. Thus, it is of importance to inspect the reticles and detect any defects thereupon. [0005] Defects on a reticle or photomask are detrimental to wafer yield in the semiconductor manufacturing process. Traditionally, there have been two inspection modes, die-to-die (D:D) and die-to-database (D:DB). Both modes rely on one basic assumption: the number of defective pixels in a processing patch (defined as a small rectangular region on the photomask) is a small fraction of the total number of pixels present in the processing patch. Most of the algorithms take advantage of this assumption to reduce dynamic tool noises and photomask plate noises. For example, there have been methods to dynamically compensate for slight feature sizing differences between a test die and reference die. As a result, most of the defect detection methods are tailored to find defects on the order of 101 to 102 nm length scale. [0006] However, these existing methods do not have the capability or sensitivity to detect defects that have length scales comparable to a processing patch. These so-called mesoscopic defects can be resulting from photomask writing errors. If un-detected, they can cause yield- limiting or yield-killing consequences.
SUMMARY
[0007] In some embodiments, a method and/or system may include detecting defects in photomasks. The method may include acquiring a first image of a first die. The method may include acquiring a second image of a second die. In some embodiments, the method may mclude dividing the first and the second image into a number of first and second portions respectively. The method may include reducing (e.g., minimizing) one or more differences in sizing of the first and the second portions. In some embodiments, the method may include determining a difference in a function derived from an image intensity between the corresponding first and second portions. The method may include summing the differences in the function between the corresponding first and second portions. The method may include generating a graphical display map of the differences as a function of the locations on the surfaces associated with the first die and the second die. The method may include detecting mesoscopic scale defects in the second die.
[0008] In some embodiments, the first image and/or second image is acquired using transmitted light or reflected light. [0009] In some embodiments, the first die comprises a reference die. In some embodiments, the second die comprises a test die.
[0010] In some embodiments, the method may include dividing the first and the second image into a number of first and second portions respectively. The method may include reducing one or more differences in sizing of the first and the second portions. In some embodiments, reducing any difference in sizing of the first and second image includes using b|VI(x,y)|. b may be linearly proportional to the critical dimension (CD) sizing difference, b may be derived from minimizing the following objective function: ^ [Itest (x, y) - Iref (x, y) - b \ re/ (x, , y) \ f . In some embodiments, b varies within a first and/or a second portion the portion is subdivided into subportions. In some embodiments, the method includes mapping defects in the second die upon deriving b.
[0011] In some embodiments, the first die includes a theoretically modelled die. In some embodiments, the method includes calibrating the theoretically modelled die. Calibrating the theoretically modelled die may include deriving a set of modeling parameters (b; a ) wherein b denotes the bias amount on features and a denotes a set of modeling parameters. Calibrating the theoretically modelled die may include freezing a and floating b, for each patch image, by minimizing∑[Itest(x,y) - Iref (x,y;b) \f .
[0012] In some embodiments, a system may include a processor and a memory medium. The memory medium may be coupled to the processor that stores program instructions. The program instruction may be executable by the processor to acquire a first image of a first die. The program instruction may be executable by the processor to acquire a second image of a second die. The program instruction may be executable by the processor to divide the first and the second image into approximately equivalent first and second portions. The program instruction may be executable by the processor to reduce any difference in sizing of the first and the second portions. The program instruction may be executable by the processor to detect defects in the second die using the first die as a reference. BRIEF DESCRIPTION OF THE DRAWINGS
[0013] Advantages of the present invention may become apparent to those skilled in the art with the benefit of the following detailed description of the preferred embodiments and upon reference to the accompanying drawings.
[0014] FIG. 1 depicts an embodiment of a representation of a method of detecting defects in photomasks.
[0015] While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and may herein be described in detail. The drawings may not be to scale. It should be understood, however, that the drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the intention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the present invention as defined by the appended claims. * * *
[0016] The headings used herein are for organizational purposes only and are not meant to be used to limit the scope of the description. As used throughout this application, the word "may" is used in a permissive sense (i.e., meaning having the potential to), rather than the mandatory sense (i.e., meaning must). The words "include," "including," and "includes" indicate open- ended relationships and therefore mean including, but not limited to. Similarly, the words "have," "having," and "has" also indicated open-ended relationships, and thus mean having, but not limited to. The terms "first," "second," "third," and so forth as used herein are used as labels for nouns that they precede, and do not imply any type of ordering (e.g., spatial, temporal, logical, etc.) unless such an ordering is otherwise explicitly indicated. For example, a "third die electrically connected to the module substrate" does not preclude scenarios in which a "fourth die electrically connected to the module substrate" is connected prior to the third die, unless otherwise specified. Similarly, a "second" feature does not require that a "first" feature be implemented prior to the "second" feature, unless otherwise specified. [0017] Various components may be described as "configured to" perform a task or tasks. In such contexts, "configured to" is a broad recitation generally meaning "having structure that" performs the task or tasks during operation. As such, the component can be configured to perform the task even when the component is not currently performing that task (e.g., a set of electrical conductors may be configured to electrically connect a module to another module, even when the two modules are not connected). In some contexts, "configured to" may be a broad recitation of structure generally meaning "having circuitry that" performs the task or tasks during operation. As such, the component can be configured to perform the task even when the component is not currently on, In general, the circuitry that forms the structure corresponding to "configured to" may include hardware circuits.
[0018] Various components may be described as performing a task or tasks, for convenience in the description. Such descriptions should be interpreted as including the phrase "configured to." Reciting a component that is configured to perform one or more tasks is expressly intended not to invoke 35 U.S.C. § 112, paragraph six, interpretation for that component. [0019] The scope of the present disclosure includes any feature or combination of features disclosed herein (either explicitly or implicitly), or any generalization thereof, whether or not it mitigates any or all of the problems addressed herein. Accordingly, new claims may be formulated during prosecution of this application (or an application claiming priority thereto) to any such combination of features. In particular, with reference to the appended claims, features from dependent claims may be combined with those of the independent claims and features from respective independent claims may be combined in any appropriate manner and not merely in the specific combinations enumerated in the appended claims.
[0020] It is to be understood the present invention is not limited to particular devices or biological systems, which may, of course, vary. It is also to be understood that the terminology used herein is for the purpose of describing particular embodiments only, and is not intended to be limiting. As used in this specification and the appended claims, the singular forms "a", "an", and "the" include singular and plural referents unless the content clearly dictates otherwise. Thus, for example, reference to "a linker" includes one or more linkers. DETAILED DESCRIPTION
DEFINITIONS
[0021] Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art.
[0022] The term "connected" as used herein generally refers to pieces which may be joined or linked together.
[0023] The term "coupled" as used herein generally refers to pieces which may be used operatively with each other, or joined or linked together, with or without one or more intervening members.
[0024] The term "directly" as used herein generally refers to one structure in physical contact with another structure, or, when used in reference to a procedure, means that one process affects another process or structure without the involvement of an intermediate step or component.
[0025] The term "mesoscopic" as used herein generally refers to length scales on the order of
3 ^
10 nm to 10" μηι . For example herein there may be made reference to mesoscopic defects meaning defects on the order of 103 nm to 102 μιη in the lateral dimension .
[0026] In some embodiments, a method and/or system may include detecting defects in photomasks. FIG. 1 depicts an embodiment of a representation of a method of detecting defects in photomasks. The method may include acquiring a first image of a first die 100. The method may include acquiring a second image of a second die 110. In some embodiments, the method may include dividing the first and the second image into a number of first and second portions respectively 120. The method may include reducing any difference in sizing of the first and the second portions 130. In some embodiments, the method may include determining any differences in a function derived from an image intensity between the corresponding first and second portions 140. The method may include summing the differences in the function between the corresponding first and second portions. The method may include generating a graphical display map of substantially all the differences as a function of the locations associated with the first die and the second die 150. The method may include detecting mesoscopic scale defects in the second die 160. In some embodiments, the method may include detecting mesoscopic scale defects in the second die using the first die as a reference. In some embodiments, the method and/or system may be applicable to D:D inspection mode.
[0027] In some embodiments, the first image and/or second image is acquired using transmitted light or reflected light. In some embodiments, the first image and/or second image is acquired using a combination of transmitted light and reflected light. For example, transmitted light and reflected light may be combined at a detector. [0028] In some embodiments, the first die comprises a reference die. In some embodiments, the second die comprises a test die.
[0029] In the D:D inspection mode, denote Itest(x,y) and Iref(x,y) as optical images from reference and test dies, respectively, for a particular processing patch. These optical images can be acquired from transmitted light or reflected light. In some embodiments, one may assume image registration has already been performed. In some embodiments, wherein reducing any difference in sizing of the first and second image includes using b|VI(x,y)|. b may be linearly proportional to the CD sizing difference, b may be derived from minimizing the following objective function: ∑[Itest (x, y) - Iref (x, y) - b \ re/ (x, , y) \f .
If b is slow varying and does not change within a process patch, the above summation may be used over all the valid pixels within the patch. If b changes within a patch, one may divide a patch into several subpatches. For parts of the following discussion, it will be assumed b is a constant within a patch. In some embodiments, when b varies significantly within a first and/or a second portion of the first and second image the portion is subdivided into subportions. In some embodiments, the method includes mapping mesoscopic defects in the second die upon deriving b. [0030] Once b is determined for each patch, a plate-level map may be generated. Defect detection threshold is normally set at a level to capture outliers (e.g., defects) with low count of nuisances or false counts (background noises).
[0031] Consider the case where there are n dies (n>3) and given n-l measurements (bi):
. In the above equations, bi is the differential bias and dt is the CD bias amount
Figure imgf000010_0001
(not directly measureable) for the i h die. What one desires is the differential bias between di and the average CD bias <d> where <d> defined as < d >≡ dt / ' n in the form of di '≡di- < d > .
i
j ,_ (n - \)h + (n - 2)b2 + ... + bn
«j— ,
n
One may show that d2 '- dx +bx , , Using the above set of questions, one
may convert neighboring die differential bias information into differential bias where each die would show the CD deviation from the average from all dies.
[0032] In some embodiments, the method and/or system may be applicable to D:DB inspection mode. In some embodiments, the first die includes a theoretically modelled die. One may utilize the same equation ∑[Itest(x,y) - Iref (x,y) - b \ VIref (x, , y) \f to minimize the difference between the test and reference images. What is different here is the test image is optical and the reference image is theoretically modeled. In some embodiments, the method includes calibrating the theoretically modelled die. Calibrating the theoretically modeled die may include deriving a set of modeling parameters (b; a ) wherein b denotes the bias amount on features and ά denotes a set of modeling parameters. Calibrating the theoretically modeled die may include freezing a and floating b, for each patch image, by minimizing ∑Utest(x >y) ~ Iref (x > >b) \f ■ The resultant parameter value b is an approximation for the mesoscopic bias amount for that patch. [0033] It is noted that the herein described embodiments apply to various imaging modes. For example, the images can be acquired by a high resolution microscope with both transmitted and reflected light. These images may be acquired by an imaging condition similar or identical to that of a stepper or scanner. In some embodiments a parameter is deduced that is proportional to the CD various on the mask based on optical images (D:D) and a combination of optical and modeled images (D:DB).
[0034] In some embodiments, a system may include a processor and a memory medium. The memory medium may be coupled to the processor that stores program instructions. The program instruction may be executable by the processor to acquire a first image of a first die. The program instruction may be executable by the processor to acquire a second image of a second die. The program instruction may be executable by the processor to divide the first and the second image into approximately equivalent first and second portions. The program instruction may be executable by the processor to reducing any difference in sizing of the first and the second portions. The program instruction may be executable by the processor to detect defects in the second die using the first die as a reference.
[0035] There are numerous advantages of the herein described embodiments over current methods. Compared to standard defect detection methods which are only sensitive to microscopic defects, the current invention can detect mesoscopic and macroscopic defects. Compared to intensity CDU-based methods, the current invention is less sensitive to pattern density effects and is a more direct measurement of the feature sizing difference for a multi-die photomask. To our best knowledge, there is no known existing method to detect mesoscopic feature sizing defects in a D:DB inspection mode.
[0036] In this patent, certain U.S. patents, U.S. patent applications, and other materials (e.g., articles) have been incorporated by reference. The text of such U.S. patents, U.S. patent applications, and other materials is, however, only incorporated by reference to the extent that no conflict exists between such text and the other statements and drawings set forth herein. In the event of such conflict, then any such conflicting text in such incorporated by reference U.S. patents, U.S. patent applications, and other materials is specifically not incorporated by reference in this patent. [0037] Further modifications and alternative embodiments of various aspects of the invention will be apparent to those skilled in the art in view of this description. Accordingly, this description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as the presently preferred embodiments. Elements and materials may be substituted for those illustrated and described herein, parts and processes may be reversed, and certain features of the invention may be utilized independently, all as would be apparent to one skilled in the art after having the benefit of this description of the invention. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims.

Claims

WHAT IS CLAIMED IS:
1. A method of detecting defects in photomasks, comprising: acquiring a first image of a first die; acquiring a second image of a second die; dividing the first and the second image into a number of first and second portions respectively; reducing one or more differences in sizing of the first and the second portions; determining a difference in a function derived from an image intensity between the corresponding first and second portions;
summing the differences in the function between the corresponding first and second portions;
and detecting mesoscopic scale defects in the second die.
2. The method of claim 1, wherein the first image and/or the second image is acquired using transmitted light and/or reflected light.
3. The method of claim 1, further comprising generating a graphical display map of the differences as a function of the locations associated with the first die and the second die
4. The method of claim 1, wherein the first die comprises a reference die.
5. The method of claim 1 , wherein the second die comprises a test die.
6. The method of claim 1, wherein reducing one or more differences in sizing of the first and second image comprises determining b|VI(x,y)|, wherein b is linearly proportional to the critical dimension sizing difference.
7. The method of claim 6, wherein b is derived from minimizing the following objective function: ∑ [Itest (x, y) - Iref (x, y) - b \ VIref (x, , y) \f .
8. The method of claim 6, wherein when b varies within a first and/or a second portion of the first and second images the first and/or the second portion is subdivided into subportions.
9. The method of claim 7, further comprising mapping defects in the second die upon deriving b.
10. The method of claim 1, wherein the first die comprises a theoretically modelled die.
11. The method of claim 10, further comprising calibrating the theoretically modelled die, comprising: deriving a set of modeling parameters (b; a ) wherein b denotes the bias amount on
features and a denotes a set of modeling parameters; and freezing a and floating b, for each patch image, by minimizing ref
12. A system, comprising: a processor; a memory medium coupled to the processor that stores program instructions executable by the processor to: acquire a first image of a first die; acquire a second image of a second die; divide the first and the second image into a number of first and second portions respectively; reduce one or more differences in sizing of the first and the second portions; determine a difference in a function derived from an image intensity between the corresponding first and second portions; sum the differences in the function between the corresponding first and second portions; and detect mesoscopic scale defects in the second die.
13. The system of claim 12, wherein the first image and/or the second image is acquired using transmitted light and/or reflected light.
14. The system of claim 12, wherein the program instructions are further executable by the processor to generate a graphical display map of the differences as a function of the locations associated with the first die and the second die.
15. The system of claim 12, wherein the first die comprises a reference die.
16. The system of claim 12, wherein the second die comprises a test die.
17. The system of claim 12, wherein reducing one or more differences in sizing of the first and second image comprises determining b|VI(x,y)|, wherein b is linearly proportional to the critical dimension sizing difference.
18. The system of claim 17, wherein b is derived from minimizing the following objective function: ∑ [Itest (x, y) - Iref (x, y) - b \ VIref (x, , y) \f .
19. The system of claim 17, wherein when b varies within a first and/or a second portion the portion is subdivided into subportions.
20. The system of claim 19, further comprising mapping defects in the second die upon deriving b.
21. The system of claim 12, wherein the first die comprises a theoretically modelled die.
22. The system of claim 21, further comprising calibrating the theoretically modelled die, comprising: deriving a set of modeling parameters (b; a ) wherein b denotes the bias amount on
features and a denotes a set of modeling parameters; and freezing a and floating b, for each patch image, by minimizing ∑V,Ax, ) - iref (x, yi t>) \f - x,y
PCT/US2014/032582 2013-04-01 2014-04-01 Mesoscopic defect detection for reticle inspection WO2014165547A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361807109P 2013-04-01 2013-04-01
US61/807,109 2013-04-01
US14/227,782 US9607371B2 (en) 2013-04-01 2014-03-27 Mesoscopic defect detection for reticle inspection
US14/227,782 2014-03-27

Publications (1)

Publication Number Publication Date
WO2014165547A1 true WO2014165547A1 (en) 2014-10-09

Family

ID=51659179

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2014/032582 WO2014165547A1 (en) 2013-04-01 2014-04-01 Mesoscopic defect detection for reticle inspection

Country Status (2)

Country Link
TW (1) TWI614569B (en)
WO (1) WO2014165547A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10535131B2 (en) * 2015-11-18 2020-01-14 Kla-Tencor Corporation Systems and methods for region-adaptive defect detection
US11683598B1 (en) * 2022-02-24 2023-06-20 Omnivision Technologies, Inc. Image sensor with on-chip occlusion detection and methods thereof

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040130711A1 (en) * 2002-10-28 2004-07-08 Asml Netherlands B.V. Method of detecting mask defects, a computer program and reference substrate
US20070035728A1 (en) * 2005-08-02 2007-02-15 Kekare Sagar A Methods and systems for detecting defects in a reticle design pattern
US20080259323A1 (en) * 2007-04-18 2008-10-23 Advanced Mask Inspection Technology Inc. Reticle defect inspection apparatus and reticle defect inspection method
WO2010065676A2 (en) * 2008-12-05 2010-06-10 Kla-Tencor Corporation Methods and systems for detecting defects on a reticle
US20120114222A1 (en) * 2005-07-22 2012-05-10 Hitachi High-Technologies Corporation Method and apparatus for visual inspection

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6268093B1 (en) * 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
US7491928B2 (en) * 2005-12-05 2009-02-17 New York University Extended optical traps by shape-phase holography
US9733640B2 (en) * 2012-01-13 2017-08-15 Kla-Tencor Corporation Method and apparatus for database-assisted requalification reticle inspection

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040130711A1 (en) * 2002-10-28 2004-07-08 Asml Netherlands B.V. Method of detecting mask defects, a computer program and reference substrate
US20120114222A1 (en) * 2005-07-22 2012-05-10 Hitachi High-Technologies Corporation Method and apparatus for visual inspection
US20070035728A1 (en) * 2005-08-02 2007-02-15 Kekare Sagar A Methods and systems for detecting defects in a reticle design pattern
US20080259323A1 (en) * 2007-04-18 2008-10-23 Advanced Mask Inspection Technology Inc. Reticle defect inspection apparatus and reticle defect inspection method
WO2010065676A2 (en) * 2008-12-05 2010-06-10 Kla-Tencor Corporation Methods and systems for detecting defects on a reticle

Also Published As

Publication number Publication date
TW201508415A (en) 2015-03-01
TWI614569B (en) 2018-02-11

Similar Documents

Publication Publication Date Title
US11270430B2 (en) Wafer inspection using difference images
US8260031B2 (en) Pattern inspection apparatus, pattern inspection method, and computer-readable recording medium storing a program
US9576861B2 (en) Method and system for universal target based inspection and metrology
US9970885B2 (en) Inspection apparatus and inspection method
JP4537467B2 (en) Sample inspection apparatus and sample inspection method
KR20190124319A (en) Dynamic Care Areas for Defect Detection
US8532395B2 (en) Pattern inspection method and semiconductor device manufacturing method
KR20200045577A (en) Inspecting a wafer and/or predicting one or more characteristics of a device being formed on a wafer
US20190130552A1 (en) Methods of inspecting defect and methods of fabricating a semiconductor device using the same
US7932004B1 (en) Feature identification for metrological analysis
JP2019533312A (en) Hybrid metrology for the characterization of patterned wafers
US20150346610A1 (en) Aerial mask inspection based weak point analysis
JP2016528497A (en) Monitoring changes in photomask defects
US8050490B2 (en) Method for inspecting overlay shift defect during semiconductor manufacturing and apparatus thereof
US9785058B2 (en) Method for ascertaining distortion properties of an optical system in a measurement system for microlithography
Kondo et al. Massive metrology and inspection solution for EUV by area inspection SEM with machine learning technology
WO2014165547A1 (en) Mesoscopic defect detection for reticle inspection
Dey et al. Unsupervised machine learning based SEM image denoising for robust contour detection
JP4755833B2 (en) Sample defect inspection and sample inspection method
JP2001281161A (en) Defect inspecting device and inspecting method
US9607371B2 (en) Mesoscopic defect detection for reticle inspection
JP2009294123A (en) Pattern discriminator, pattern discriminating method and inspection device of sample
Schuch et al. The rise of contour metrology from niche solution to versatile enabler
JP2004101654A (en) Method for inspecting mask defect, method for manufacturing semiconductor device, mask defect inspection apparatus, and method and program for forming map of influential degree of defect
US8923601B2 (en) Method for inspecting overlay shift defect during semiconductor manufacturing and apparatus thereof

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14779121

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 14779121

Country of ref document: EP

Kind code of ref document: A1