WO2013100988A1 - Extraction de données auxquelles un accès a déjà été effectué dans un processeur multicœur - Google Patents

Extraction de données auxquelles un accès a déjà été effectué dans un processeur multicœur Download PDF

Info

Publication number
WO2013100988A1
WO2013100988A1 PCT/US2011/067639 US2011067639W WO2013100988A1 WO 2013100988 A1 WO2013100988 A1 WO 2013100988A1 US 2011067639 W US2011067639 W US 2011067639W WO 2013100988 A1 WO2013100988 A1 WO 2013100988A1
Authority
WO
WIPO (PCT)
Prior art keywords
processor
memory
core
data
cache
Prior art date
Application number
PCT/US2011/067639
Other languages
English (en)
Inventor
Simon C. STEELY Jr.
William C. Hasenplaugh
Joel S. Emer
Original Assignee
Intel Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corporation filed Critical Intel Corporation
Priority to US13/995,283 priority Critical patent/US9146871B2/en
Priority to PCT/US2011/067639 priority patent/WO2013100988A1/fr
Priority to TW101149837A priority patent/TWI564798B/zh
Publication of WO2013100988A1 publication Critical patent/WO2013100988A1/fr

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0815Cache consistency protocols
    • G06F12/0817Cache consistency protocols using directory methods
    • G06F12/0822Copy directories
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0815Cache consistency protocols
    • G06F12/0817Cache consistency protocols using directory methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0844Multiple simultaneous or quasi-simultaneous cache accessing
    • G06F12/0846Cache with multiple tag or data arrays being simultaneously accessible
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/10Program control for peripheral devices
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/382Information transfer, e.g. on bus using universal interface adapter
    • G06F13/385Information transfer, e.g. on bus using universal interface adapter for adaptation of a particular data processing system to different peripheral devices

Definitions

  • the present disclosure pertains to the field of processors and, in particular, to optimizing cache management techniques.
  • a processor or integrated circuit typically comprises a single physical processor die, where the processor die may include any number of cores that each can execute a respective hardware thread.
  • processing elements e.g., cores
  • cache memory includes a memory between a shared system memory and execution units of a processor chip to hold information in a closer proximity to the execution units
  • cache is typically smaller in size than a main system memory, which allows for the cache to be constructed from expensive, faster memory, such as Static Random Access Memory (SRAM).
  • SRAM Static Random Access Memory
  • Both the proximity to the execution units and the speed allow for caches to provide faster access to data and instructions.
  • Caches are often identified based on their proximity from execution units of a processor. For example, a first-level (LI) cache maybe close to execution units residing on the same physical processor chip (e.g., same semiconductor die). Due to the proximity and placement, first level cache is often the smallest and quickest cache.
  • LI first-level
  • a processor may also hold higher-level or further out caches, such as a second level (L2) cache, which may also reside on the processor chip but be placed between the first level cache and main memory of the computer system.
  • a third level (L3) cache may be placed on the processor chip or elsewhere in the computer system, such as at a controller hub, between the second level cache and main memory of the computer system.
  • Cache coherence is a useful mechanism in multi-core processors and multiprocessor systems to provide a shared memory abstraction to the programmer.
  • a cache coherence problem may arise because one copy of the same memory location exists in multiple caches.
  • a cache coherence protocol guarantees that a given memory location has a consistent view across all cores or all processors. There are many models of what a consistent view is, for example, sequential consistency and weak ordering. In each of these models, the coherence protocol prescribes a legal order in which memory locations can be acquired by and updated by various cores.
  • Directory-based coherency schemes utilize a centralized tag directory to record the location and the status of cache lines as they exist throughout the system. For example, the tag directory records which caches have a copy of the data, and further records if any of the caches have an updated copy of the data. When a core makes a read request for a data item, the tag directory is consulted to determine where the most recent copy of the data resides.
  • a data probe is sent to the last core that accessed the data (e.g., the last accessor) and the most recent copy of the cache line is retrieved so that it is provided to the requesting core and saved in a cache line of the requesting core.
  • the tag directory is updated to reflect the new status for that cache line either immediately in parallel with sending out the data probe for the information or is updated after sending out the data probe.
  • the change typically includes updating a last accessor field, so that if another request processes the tag-directory it goes off to the new last accessor, even if the first probe still hasn't even made it to its target.
  • the requesting core receives a negative response (e.g., negative
  • NACK acknowledgement
  • Figure 1 A is a block diagram of a system according to one embodiment
  • Figure IB is a block diagram of a processor according to one embodiment
  • Figure 2 is a block diagram of a processor core according to one embodiment
  • Figure 3 is a block diagram of a multi-core processor according to one embodiment
  • Figure 4 is a block diagram of a multi-core processor according one embodiment
  • Figure 5 is a block diagram of a multi-core processor according to one embodiment
  • Figure 6 is a block diagram of a plurality of cores of a multi-core processor according to one embodiment
  • Figure 7 illustrates elements to perform a cache line probe according to one embodiment
  • Figure 8 illustrates a flow diagram for operations of a cache line probe to be performed by a multi-core processor according to one embodiment.
  • OTRprior one time read prior
  • numerous specific details such as processing logic, processor types, microarchitectural conditions, events, enablement mechanisms, and the like are set forth in order to provide a more thorough understanding of embodiments of the present invention. It will be appreciated, however, by one skilled in the art that the invention may be practiced without such specific details. Additionally, some well known structures, circuits, and the like have not been shown in detail to avoid unnecessarily obscuring embodiments of the present invention.
  • One embodiment of the present invention may provide a multi-core processor.
  • the multi-core processor may implement a cache probe and data retrieval method.
  • the method may comprise sending a memory request from a requester to a record keeping structure.
  • the memory request may have a memory address of a memory that stores requested data.
  • the method may further comprise determining a last accessor of the memory address, sending a cache probe to the last accessor, determining the last accessor no longer has a copy of the line and sending a request for the previously accessed version of the line which bypasses the tag-directories and obtains the requested data from memory.
  • a cache may store quantities of data called cache lines or cache blocks.
  • the term cache line and cache block may be used interchangeably.
  • a cache line may be composed of two main parts: metadata (or tag) and data. Each metadata entry may identify the contents of the corresponding data entry.
  • the metadata may include status information that may include a validity bit indicating whether the data in the corresponding cache line is valid.
  • the cache line and its underlying parts or fields such as the data field may be of any size.
  • the size of cache lines may be consistent in a cache structure, and the data size may be fixed at 64 bytes.
  • a new line of 64 bytes may be allocated and 64 bytes of data (including the requested 8-byte data) are returned and filled into the cache. This is because most programs have spatial locality and the other 56 bytes of the line have a good probability of being accessed.
  • some data structures are accessed sparsely, and demonstrate no spatial locality. In these situations only the 8 bytes of the request need to be returned because the other 56 bytes will not be accessed and it is a waste of energy to move them around.
  • Embodiments of the present invention may be provided as a computer program product or software which may include a machine or computer-readable medium having stored thereon instructions which may be used to program a computer (or other electronic devices) to perform one or more operations according to embodiments of the present invention.
  • steps of embodiments of the present invention might be performed by specific hardware components that contain fixed-function logic for performing the steps, or by any combination of programmed computer components and fixed-function hardware components.
  • Instructions used to program logic to perform embodiments of the invention can be stored within a memory in the system, such as DRAM, cache, flash memory, or other storage. Furthermore, the instructions can be distributed via a network or by way of other computer readable media.
  • a machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computer), but is not limited to, floppy diskettes, optical disks, Compact Disc, Read-Only Memory (CD-ROMs), and magneto-optical disks, Read-Only Memory (ROMs), Random Access Memory (RAM), Erasable Programmable Read-Only Memory (EPROM), Electrically Erasable Programmable Read-Only Memory
  • the computer-readable medium includes any type of tangible machine-readable medium suitable for storing or transmitting electronic instructions or information in a form readable by a machine (e.g., a computer).
  • a design may go through various stages, from creation to simulation to fabrication.
  • Data representing a design may represent the design in a number of manners.
  • the hardware may be represented using a hardware description language or another functional description language.
  • a circuit level model with logic and/or transistor gates may be produced at some stages of the design process. Furthermore, most designs, at some stage, reach a level of data representing the physical placement of various devices in the hardware model.
  • the data representing the hardware model may be the data specifying the presence or absence of various features on different mask layers for masks used to produce the integrated circuit.
  • the data may be stored in any form of a machine readable medium.
  • a memory or a magnetic or optical storage such as a disc may be the machine readable medium to store information transmitted via optical or electrical wave modulated or otherwise generated to transmit such information.
  • a communication provider or a network provider may store on a tangible, machine-readable medium, at least temporarily, an article, such as information encoded into a carrier wave, embodying techniques of embodiments of the present invention.
  • an instruction set may be associated with one or more computer architectures, including data types, instructions, register architecture, addressing modes, memory architecture, interrupt and exception handling, and external input and output (I/O).
  • computer architectures including data types, instructions, register architecture, addressing modes, memory architecture, interrupt and exception handling, and external input and output (I/O).
  • the instruction set architecture may be implemented by one or more micro-architectures, which includes processor logic and circuits used to implement one or more instruction sets. Accordingly, processors with different micro-architectures can share at least a portion of a common instruction set. For example, Intel® Pentium 4 processors, Intel® CoreTM processors, and processors from Advanced Micro Devices, Inc. of Sunnyvale CA implement nearly identical versions of the x86 instruction set (with some extensions that have been added with newer versions), but have different internal designs. Similarly, processors designed by other processor development companies, such as ARM Holdings, Ltd., MPS, or their licensees or adopters, may share at least a portion a common instruction set, but may include different processor designs.
  • registers may include one or more registers, register architectures, register files, or other register sets that may or may not be addressable by a software programmer.
  • an instruction may include one or more instruction formats.
  • an instruction format may indicate various fields (number of bits, location of bits, etc.) to specify, among other things, the operation to be performed and the operand(s) on which that operation is to be performed.
  • Some instruction formats may be further broken defined by instruction templates (or sub formats). For example, the instruction templates of a given instruction format may be defined to have different subsets of the instruction format's fields and/or defined to have a given field interpreted differently.
  • an instruction is expressed using an instruction format (and, if defined, in a given one of the instruction templates of that instruction format) and specifies or indicates the operation and the operands upon which the operation will operate.
  • SIMD Single Instruction Multiple Data
  • processors that can logically divide the bits in a register into a number of fixed-sized or variable-sized data elements, each of which represents a separate value.
  • the bits in a 64-bit register may be organized as a source operand containing four separate 16-bit data elements, each of which represents a separate 16-bit value.
  • This type of data may be referred to as 'packed' data type or 'vector' data type, and operands of this data type are referred to as packed data operands or vector operands.
  • a packed data item or vector may be a sequence of packed data elements stored within a single register, and a packed data operand or a vector operand may be a source or destination operand of a SIMD instruction (or 'packed data instruction' or a 'vector instruction').
  • a SIMD instruction specifies a single vector operation to be performed on two source vector operands to generate a destination vector operand (also referred to as a result vector operand) of the same or different size, with the same or different number of data elements, and in the same or different data element order.
  • SIMD technology such as that employed by the Intel® CoreTM processors having an instruction set including x86, MMXTM, Streaming SIMD Extensions (SSE), SSE2, SSE3, SSE4.1, and SSE4.2 instructions, ARM processors, such as the ARM Cortex® family of processors having an instruction set including the Vector Floating Point (VFP) and/or NEON instructions, and MIPS processors, such as the Loongson family of processors developed by the Institute of Computing Technology (ICT) of the Chinese Academy of Sciences, has enabled a significant improvement in application performance (CoreTM and MMXTM are registered trademarks or trademarks of Intel Corporation of Santa Clara, Calif).
  • ICT Institute of Computing Technology
  • destination and source registers/data are generic terms to represent the source and destination of the corresponding data or operation, i some embodiments, they may be implemented by registers, memory, or other storage areas having other names or functions than those depicted.
  • "DEST1” may be a temporary storage register or other storage area
  • “SRC1” and “SRC2” may be a first and second source storage register or other storage area, and so forth.
  • two or more of the SRC and DEST storage areas may correspond to different data storage elements within the same storage area (e.g., a SIMD register).
  • FIG. 1A is a block diagram of an exemplary computer system 100 formed with a processor 102 that includes one or more cores 108 (e.g., cores 108.1 and 108.2). Each core 108 may execute an instruction in accordance with one embodiment of the present invention.
  • System 100 includes a component, such as a processor 102 to employ execution units including logic to perform algorithms for process data, in accordance with the present invention, such as in the embodiment described herein.
  • System 100 is representative of processing systems based on the PENTIUM HI, PENTIUM ® 4, XeonTM, Itanium ® , XScaleTM and/or StrongARMTM microprocessors available from Intel Corporation of Santa Clara, California, although other systems (including PCs having other microprocessors, engineering workstations, set-top boxes and the like) may also be used, h one embodiment, sample system 100 may execute a version of the WINDOWSTM operating system available from Microsoft Corporation of Redmond, Washington, although other operating systems (UNIX and Linux for example), embedded software, and/or graphical user interfaces, may also be used. Thus, embodiments of the present invention are not limited to any specific combination of hardware circuitry and software.
  • Embodiments are not limited to computer systems. Alternative
  • embodiments of the present invention can be used in other devices such as handheld devices and embedded applications.
  • handheld devices include cellular phones, Internet Protocol devices, digital cameras, personal digital assistants (PDAs), and handheld PCs.
  • Embedded applications can include a micro controller, a digital signal processor (DSP), system on a chip, network computers (NetPC), set-top boxes, network hubs, wide area network (WAN) switches, or any other system that can perform one or more instructions in accordance with at least one embodiment.
  • DSP digital signal processor
  • NetworkPC network computers
  • Set-top boxes network hubs
  • WAN wide area network
  • the system 100 may be described in the context of a single processor desktop or server system, but alternative embodiments can be included in a multiprocessor system.
  • System 100 may be an example of a 'hub' system architecture.
  • the computer system 100 includes a processor 102 to process data signals.
  • the processor 102 can be a complex instruction set computer (CISC) microprocessor, a reduced instruction set computing (RISC) microprocessor, a very long instruction word (VLIW) microprocessor, a processor implementing a combination of instruction sets, or any other processor device, such as a digital signal processor, for example.
  • the processor 102 is coupled to a processor bus 110 that can transmit data signals between the processor 102 and other components in the system 100.
  • the elements of system 100 perform their conventional functions that are well known to those familiar with the art.
  • the processor 102 can have a single internal cache or multiple levels of internal cache.
  • the cache memory can reside external to the processor 102.
  • Other embodiments can also include a combination of both internal and external caches depending on the particular implementation and needs.
  • the processor 102 may include a Level 2 (LI) internal cache memory 104 and each core (e.g., 108.1 and 108.2) may include a Level 1 (LI) cache (e.g., 109.1 and 109.2, respectively), h one embodiment, the processor 102 may be implemented in one or more semiconductor chips. When implemented in one chip, all or some of the processor 102's components may be integrated in one semiconductor die.
  • LI Level 2
  • each core e.g. 108.1 and 108.2
  • LI Level 1
  • the processor 102 may be implemented in one or more semiconductor chips. When implemented in one chip, all or some of the processor 102's components may be integrated in one semiconductor die.
  • Each of the core 108.1 and 108.2 may also include respective register files (not shown) that can store different types of data in various registers including integer registers, floating point registers, status registers, and instruction pointer register.
  • Each core 108 may further include logic to perform integer and floating point operations.
  • the processor 102 also includes a microcode (ucode) ROM that stores microcode for certain macroinstructions.
  • each core 108 may include logic to handle a packed instruction set (not shown).
  • the operations used by many multimedia applications may be performed using packed data in a general-purpose processor 102.
  • many multimedia applications can be accelerated and executed more efficiently by using the full width of a processor's data bus for performing operations on packed data. This can eliminate the need to transfer smaller units of data across the processor's data bus to perform one or more operations one data element at a time.
  • System 100 includes a memory 120.
  • Memory 120 can be a dynamic random access memory (DRAM) device, a static random access memory (SRAM) device, flash memory device, or other memory device.
  • DRAM dynamic random access memory
  • SRAM static random access memory
  • Memory 120 can store instructions and/or data represented by data signals that can be executed by the processor 102.
  • a system logic chip 1 16 is coupled to the processor bus 110 and memory 120.
  • the system logic chip 116 in the illustrated embodiment is a memory controller hub (MCH).
  • the processor 102 can communicate to the MCH 116 via a processor bus 110.
  • the MCH 116 provides a high bandwidth memory path 118 to memory 120 for instruction and data storage and for storage of graphics commands, data and textures.
  • the MCH 116 is to direct data signals between the processor 102, memory 120, and other components in the system 100 and to bridge the data signals between processor bus 1 10, memory 120, and system I/O 122.
  • the system logic chip 116 can provide a graphics port for coupling to a graphics controller 112.
  • the MCH 116 is coupled to memory 120 through a memory interface 118.
  • the graphics card 112 is coupled to the MCH 116 through an Accelerated Graphics Port (AGP) interconnect 1 14.
  • AGP Accelerated Graphics Port
  • System 100 uses a proprietary hub interface bus 122 to couple the MCH 116 to the T/O controller hub (ICH) 130.
  • the ICH 130 provides direct connections to some I/O devices via a local I/O bus.
  • the local I/O bus is a high-speed /O bus for connecting peripherals to the memory 120, chipset, and processor 102.
  • Some examples are the audio controller, firmware hub (flash BIOS) 128, wireless transceiver 126, data storage 124, legacy I/O controller containing user input and keyboard interfaces, a serial expansion port such as Universal Serial Bus (USB), and a network controller 134.
  • the data storage device 124 can comprise a hard disk drive, a floppy disk drive, a CD-ROM device, a flash memory device, or other mass storage device.
  • an instruction in accordance with one embodiment can be used with a system on a chip.
  • a system on a chip comprises of a processor and a memory.
  • the memory for one such system is a flash memory.
  • the flash memory can be located on the same die as the processor and other system components. Additionally, other logic blocks such as a memory controller or graphics controller can also be located on a system on a chip.
  • Figure IB illustrates a data processing system 140 which implements the principles of one embodiment of the present invention. It will be readily appreciated by one of skill in the art that the embodiments described herein can be used with alternative processing systems without departure from the scope of embodiments of the invention.
  • the data processing system 140 may be a system on a chip and all components of the data processing system 140 may be integrated on one semiconductor chip.
  • Computer system 140 comprises multiple processing cores 159 (e.g., 159.1 to 159.N, N may be an integer larger than one) that each may be capable of performing at least one instruction in accordance with one embodiment.
  • a processing core 159 may represent a processing unit of any type of architecture, including but not limited to a CISC, a RISC or a VLIW type architecture.
  • Processing core 159 may also be suitable for manufacture in one or more process technologies and by being represented on a machine readable media in sufficient detail, may be suitable to facilitate said manufacture.
  • Each processing core 159 may comprise an execution unit 142 (e.g., 142.1 to 142.N respectively), a set of register file(s) 145 (e.g., 145.1 to 145.N respectively), and a decoder 144 (e.g., 144.1 to 144.N respectively). Each processing core 159 may also include additional circuitry (not shown) which is not necessary to the execution unit 142 (e.g., 142.1 to 142.N respectively), a set of register file(s) 145 (e.g., 145.1 to 145.N respectively), and a decoder 144 (e.g., 144.1 to 144.N respectively). Each processing core 159 may also include additional circuitry (not shown) which is not necessary to the
  • Each execution unit 142 may be used for executing instructions received by the respective processing core 159. In addition to performing typical processor instructions, execution unit 142 can perform instructions in packed instruction set for performing operations on packed data formats. Packed instruction set may include instructions for performing embodiments of the invention and other packed instructions. Each execution unit 142 may be coupled to register file 145 by a bus internal of the respective core 159. Each register file 145 may represent a storage area on a respective processing core 159 for storing information, including data. As previously mentioned, it is understood that the storage area used for storing the packed data is not critical. Each execution unit 142 may be coupled to a respective decoder 144 of the respective core 159.
  • Each decoder 144 may be used for decoding instructions received by the respective processing core 159 into control signals and/or microcode entry points. In response to these control signals and/or microcode entry points, each execution unit 142 performs the appropriate operations. In one embodiment, the decoder may be used to interpret the opcode of the instruction, which will indicate what operation should be performed on the corresponding data indicated within the instruction.
  • Each processing core 159 may be coupled, respectively, with bus 141 for communicating with various other system devices, which may include but are not limited to, for example, synchronous dynamic random access memory (SDRAM) control 146, static random access memory (SRAM) control 147, burst flash memory interface 148, personal computer memory card international association
  • SDRAM synchronous dynamic random access memory
  • SRAM static random access memory
  • burst flash memory interface 148 personal computer memory card international association
  • data processing system 140 may also comprise an I/O bridge 154 for communicating with various I/O devices via an I/O bus 153.
  • I O devices may include but are not limited to, for example, universal asynchronous
  • UART receiver/transmitter
  • USB universal serial bus
  • Bluetooth wireless UART 157 Bluetooth wireless UART 157
  • I O expansion interface 158 I O expansion interface
  • One embodiment of data processing system 140 provides for mobile, network and/or wireless communications and multiple processing cores 159 capable of performing SIMD operations including a text string comparison operation.
  • Each processing core 159 may be programmed with various audio, video, imaging and communications algorithms including discrete transformations such as a Walsh- Hadamard transform, a fast Fourier transform (FFT), a discrete cosine transform (DCT), and their respective inverse transforms; compression/decompression techniques such as color space transformation, video encode motion estimation or video decode motion compensation; and modulation/demodulation (MODEM) functions such as pulse coded modulation (PCM).
  • discrete transformations such as a Walsh- Hadamard transform, a fast Fourier transform (FFT), a discrete cosine transform (DCT), and their respective inverse transforms
  • compression/decompression techniques such as color space transformation, video encode motion estimation or video decode motion compensation
  • MODEM modulation/demodulation
  • PCM pulse coded modulation
  • Figure 2 is a block diagram of the micro-architecture for a processor core 200 that includes logic circuits to perform instructions in accordance with one embodiment of the present invention.
  • an instruction in accordance with one embodiment can be implemented to operate on data elements having sizes of byte, word, doubleword, quadword, etc., as well as datatypes, such as single and double precision integer and floating point datatypes.
  • the in-order front end 201 is the part of the processor core 200 that fetches instructions to be executed and prepares them to be used later in the processor pipeline.
  • the front end 201 may include several units.
  • the instruction prefetcher 226 fetches instructions from memory and feeds them to an instruction decoder 228 which in turn decodes or interprets them.
  • the decoder decodes a received instruction into one or more operations called "micro-instructions” or “micro-operations” (also called micro op or uops) that the machine can execute.
  • micro-instructions also called micro op or uops
  • the decoder parses the instruction into an opcode and
  • the trace cache 230 takes decoded uops and assembles them into program ordered sequences or traces in the uop queue 234 for execution.
  • the microcode ROM 232 provides the uops needed to complete the operation.
  • Some instructions are converted into a single micro-op, whereas others need several micro-ops to complete the full operation.
  • the decoder 228 accesses the microcode ROM 232 to do the instruction.
  • an instruction can be decoded into a small number of micro ops for processing at the instruction decoder 228.
  • an instruction can be stored within the microcode ROM 232 should a number of micro-ops be needed to accomplish the operation.
  • the trace cache 230 refers to a entry point programmable logic array (PLA) to determine a correct micro-instruction pointer for reading the micro-code sequences to complete one or more instructions in accordance with one embodiment from the micro-code ROM 232.
  • PLA programmable logic array
  • the out-of-order execution engine 203 is where the instructions are prepared for execution.
  • the out-of-order execution logic has a number of buffers to smooth out and re-order the flow of instructions to optimize performance as they go down the pipeline and get scheduled for execution.
  • the allocator logic allocates the machine buffers and resources that each uop needs in order to execute.
  • the register renaming logic renames logic registers onto entries in a register file.
  • the allocator also allocates an entry for each uop in one of the two uop queues, one for memory operations and one for non-memory operations, in front of the instruction schedulers: memory scheduler, fast scheduler 202, slow/general floating point scheduler 204, and simple floating point scheduler 206.
  • the uop schedulers 202, 204, 206 determine when a uop is ready to execute based on the readiness of their dependent input register operand sources and the availability of the execution resources the uops need to complete their operation.
  • the fast scheduler 202 of one embodiment can schedule on each half of the main clock cycle while the other schedulers can only schedule once per main processor clock cycle.
  • the schedulers arbitrate for the dispatch ports to schedule uops for execution.
  • Register files 208, 210 sit between the schedulers 202, 204, 206, and the execution units 212, 214, 216, 218, 220, 222, 224 in the execution block 211.
  • Each register file 208, 210, of one embodiment also includes a bypass network that can bypass or forward just completed results that have not yet been written into the register file to new dependent uops.
  • the integer register file 208 and the floating point register file 210 are also capable of communicating data with the other.
  • the integer register file 208 is split into two separate register files, one register file for the low order 32 bits of data and a second register file for the high order 32 bits of data.
  • the floating point register file 210 of one embodiment has 128 bit wide entries because floating point instructions typically have operands from 64 to 128 bits in width.
  • the execution block 211 contains the execution units 212, 214, 216, 218, 220, 222, 224, where the instructions are actually executed.
  • This section includes the register files 208, 210, that store the integer and floating point data operand values that the micro-instructions need to execute.
  • the processor core 200 of one embodiment is comprised of a number of execution units: address generation unit (AGU) 212, AGU 214, fast ALU 216, fast ALU 218, slow ALU 220, floating point ALU 222, floating point move unit 224.
  • AGU address generation unit
  • the floating point execution blocks 222, 224 execute floating point, MMX, SMD, and SSE, or other operations.
  • the floating point ALU 222 of one embodiment includes a 64 bit by 64 bit floating point divider to execute divide, square root, and remainder micro-ops.
  • instructions involving a floating point value may be handled with the floating point hardware.
  • the ALU operations go to the high-speed ALU execution units 216, 218.
  • the fast ALUs 216, 218, of one embodiment can execute fast operations with an effective latency of half a clock cycle.
  • most complex integer operations go to the slow ALU 220 as the slow ALU 220 includes integer execution hardware for long latency type of operations, such as a multiplier, shifts, flag logic, and branch processing.
  • Memory load/store operations are executed by the AGUs 212, 214.
  • the integer ALUs 216, 218, 220 are described in the context of performing integer operations on 64 bit data operands, hi alternative embodiments, the ALUs 216, 218, 220, can be implemented to support a variety of data bits including 16, 32, 128, 256, etc.
  • the floating point units 222, 224 can be implemented to support a range of operands having bits of various widths.
  • the floating point units 222, 224 can operate on 128 bits wide packed data operands in conjunction with SIMD and multimedia instructions.
  • the uops schedulers 202, 204, 206 dispatch dependent operations before the parent load has finished executing.
  • the processor core 200 also includes logic to handle memory misses. If a data load misses in the data cache, there can be dependent operations in flight in the pipeline that have left the scheduler with temporarily incorrect data.
  • a replay mechanism tracks and re-executes instructions that use incorrect data. Only the dependent operations need to be replayed and the independent ones are allowed to complete.
  • the schedulers and replay mechanism of one embodiment of a processor are also designed to catch instruction sequences for text string comparison operations.
  • registers may refer to the on-board processor storage locations that are used as part of instructions to identify operands. In other words, registers may be those that are usable from the outside of the processor (from a programmer's perspective). However, the registers of an embodiment should not be limited in meaning to a particular type of circuit. Rather, a register of an embodiment is capable of storing and providing data, and performing the functions described herein.
  • the registers described herein can be implemented by circuitry within a processor using any number of different techniques, such as dedicated physical registers, dynamically allocated physical registers using register renaming, combinations of dedicated and dynamically allocated physical registers, etc. In one embodiment, integer registers store thirty-two bit integer data.
  • a register file of one embodiment also contains eight multimedia SBVID registers for packed data.
  • the registers are understood to be data registers designed to hold packed data, such as 64 bits wide MMXTM registers (also referred to as 'mm' registers in some instances) in
  • MMX registers available in both integer and floating point forms, can operate with packed data elements that accompany SIMD and SSE instructions.
  • 128 bits wide XMM registers relating to SSE2, SSE3, SSE4, or beyond (referred to generically as "SSEx") technology can also be used to hold such packed data operands.
  • SSEx 128 bits wide XMM registers relating to SSE2, SSE3, SSE4, or beyond
  • the registers do not need to differentiate between the two data types.
  • integer and floating point are either contained in the same register file or different register files.
  • floating point and integer data may be stored in different registers or the same registers.
  • Processor 300 includes one or more level of caches.
  • Processor 300 may be any processor, such as a micro-processor, an embedded processor, a digital signal processor (DSP), a network processor, or other device to execute code.
  • DSP digital signal processor
  • Processor 300 includes a plurality of processing elements.
  • the processor 300 may be implemented in one or more
  • processor 300 When implemented in one chip, all or some of the processor 300's components may be integrated in one semiconductor die.
  • a processing element may refer to a thread unit, a thread slot, a process unit, a context, a logical processor, a hardware thread, a core, and/or any other element, which is capable of holding a state for a processor or a core, such as an execution state or architectural state.
  • a processing element in one embodiment, refers to any hardware capable of being independently associated with code, such as a software thread, operating system, application, or other code.
  • a physical processor may refer to an integrated circuit, which potentially includes any number of other processing elements, such as cores or hardware threads.
  • a core may refer to logic located on an integrated circuit capable of maintaining an independent architectural state wherein each independently maintained architectural state is associated with at least some dedicated execution resources.
  • a hardware thread may refer to any logic located on an integrated circuit capable of maintaining an independent architectural state wherein the independently maintained architectural states share access to execution resources.
  • the line between the nomenclature of a hardware ' thread and core overlaps.
  • a core and a hardware thread may be viewed by an operating system as individual logical processors, where the operating system is able to individually schedule operations on each logical processor.
  • Physical processor 300 includes two cores, core 301 and 302.
  • core hopping may be utilized to alleviate thermal conditions on one part of a processor.
  • hopping from core 301 to 302 may potentially create the same thermal conditions on core 302 that existed on core 301, while incurring the cost of a core hop. Therefore, in one embodiment, processor 300 includes any number of cores that may utilize core hopping.
  • power management hardware included in processor 300 may be capable of placing individual units and/or cores into low power states to save power.
  • processor 300 provides hardware to assist in low power state selection for these individual units and/or cores.
  • processor 300 may include asymmetric cores, i.e. cores with different configurations, functional units, and/or logic, symmetric cores are illustrated.
  • core 302 which is illustrated as identical to core 301, will not be discussed in detail to avoid repetitive discussion.
  • each of core 301 and core 302 may include two sets of registers (e.g., 304a and 304b, 304c and 304d, respectively). Each set of register may support a respective hardware thread. Therefore, software entities, such as an operating system, potentially view processor 300 as four separate processors, i.e. four logical processors or processing elements capable of executing four software threads concurrently.
  • a first thread may be associated with architecture state registers 304a
  • a second thread may be associated with architecture state registers 304b
  • a third thread may be associated with architecture state registers 304c
  • a fourth thread may be associated with architecture state registers 304d.
  • individual architecture states/contexts may be capable of being stored for logical processors in architecture state registers 304a and 304b, respectively.
  • each core 301 and 302 may have a respective rename/allocator logic 330a and 330b.
  • each rename/allocator logic 330 may have a set of instruction pointers and renaming logic for each hardware thread supported by a core (e.g., the rename/allocator logic 330a may have two sets of instruction pointers and renaming logic corresponding to the registers 304a and 304b).
  • Processor 300 often includes other resources, which may be fully shared, shared through partitioning, or dedicated by/to processing elements.
  • Figure 3 an embodiment of a purely exemplary processor with illustrative logical units/resources of a processor is illustrated. Note that a processor may include, or omit, any of these functional units, as well as include any other known functional units, logic, or firmware not depicted.
  • each core of the processor 300 includes a branch target buffer (BTB) and an instruction-translation buffer (I-TLB) 320 (e.g., 320a and 320b for the cores 301 and 302 respectively).
  • the BTB may predict branches to be
  • I-TLB may store address translation entries for instructions.
  • Some resources such as re-order buffers in reorder/retirement unit 335a and 335b, I-LTB, load/store buffers, and queues may be shared by two hardware threads of a core through partitioning.
  • Other resources such as general purpose internal registers, page-table base register, low level data-cache and data-TLB 150a and 150b,
  • scheduler/execution unit(s) 140a and 140b, and portions of out-of-order unit of the reorder/retirement unit 335a and 335b may be potentially fully shared by two hardware threads (corresponding to the two registers 304a and 304b, or 304c and 304d) of a core.
  • Each core of the processor 300 further includes a respective decode module (e.g., 325a and 325b) coupled to a fetch unit of the respective BTB and I-TLB 320 to decode fetched elements.
  • processor 300 may be associated with an Instruction Set Architecture (ISA), which defines/specifies instructions executable on processor 300.
  • ISA Instruction Set Architecture
  • machine code instructions recognized by the ISA include a portion of the instruction referred to as an opcode, which references/specifies an instruction or operation to be performed.
  • each allocator and renamer block 330 includes an allocator to reserve resources, such as register files to store instruction processing results.
  • threads maintained by the two registers may be potentially capable of out-of-order execution, where the allocator and renamer block 330 also reserves other resources, such as reorder buffers to track instruction results.
  • the allocator and renamer block 330 may also include a register renamer to rename program/instruction reference registers to other registers internal to processor 300.
  • Each reorder/retirement unit (e.g., 335a and 335b) includes components, such as the reorder buffers mentioned above, load buffers, and store buffers, to support out-of- order execution and later in-order retirement of instructions executed out-of-order.
  • Each scheduler and execution unit(s) block includes a scheduler unit to schedule instructions/operation on execution units. For example, a floating point instruction is scheduled on a port of an execution unit that has an available floating point execution unit. Register files associated with the execution units are also included to store information instruction processing results. Exemplary execution units include a floating point execution unit, an integer execution unit, a jump execution unit, a load execution unit, a store execution unit, and other known execution units.
  • Lower level data cache and data translation buffer (D-TLB) 350a and 350b may be coupled to respective scheduler/execution unit(s) 340 in a respective core.
  • the data cache may store recently used/operated on elements, such as data operands, which are potentially held in memory coherency states.
  • the D-TLB may store recent virtual/linear to physical address translations.
  • a processor may include a page table structure to break physical memory into a plurality of virtual pages.
  • higher-level cache 310 is to cache recently fetched elements.
  • higher-level or furtherout refers to cache levels increasing or getting further way from the execution unit(s).
  • higher-level cache 310 is a last-level data cache— last cache in the memory hierarchy on processor 300— such as a second or third level data cache.
  • higher level cache 310 is not so limited, as it may be associated with or include an instruction cache.
  • processor 300 also includes bus interface module 305 to communicate with devices external to processor 300, such as a controller hub 370 and system memory 375.
  • the controller hub 370 may be part of a chipset, a northbridge, or other integrated circuit.
  • Memory 375 may be dedicated to processor 300 or shared with other devices in a system. Common examples of types of memory 375 include dynamic random access memory (DRAM), static RAM (SRAM), non-volatile memory (NV memory), and other known storage devices.
  • DRAM dynamic random access memory
  • SRAM static RAM
  • NV memory non-volatile memory
  • FIG. 3 illustrates an abstracted, logical view of an exemplary processor with a representation of different modules, units, and/or logic.
  • a processor utilizing the methods and apparatus' described herein need not include the illustrated units. And, the processor may omit some or all of the units shown.
  • FIG. 4 depicts an embodiment of processor 400 including an on- processor memory interface module— an uncore module— with a ring configuration to interconnect multiple cores.
  • Processor 400 is illustrated including a physically distributed cache; a ring interconnect; as well as core, cache, and memory controller components.
  • this depiction is purely illustrative, as a processor implementing the described methods and apparatus may include any processing elements, style or level of cache, and/or memory, front-side-bus or other interface to communicate with external devices.
  • the processor 400 may be implemented in one or more semiconductor chips. When implemented in one chip, all or some of the processor 400's components may be integrated in one semiconductor die.
  • caching agents 412a, 412b, 412c and 412d are each to manage a slice of a physically distributed cache.
  • each cache component such as component 412a
  • each cache component is to manage a slice of a cache for a collocated core 402a— a core the cache agent is associated with for purpose of managing the distributed slice of the cache.
  • cache agents 412a, 412b, 412c and 412d are referred to as Cache Slice Interface Logic (CSIL)s; they may also be referred to as cache components, agents, or other known logic, units, or modules for interfacing with a cache or slice thereof.
  • CSIL Cache Slice Interface Logic
  • the cache may be any level of cache; yet, for this exemplary embodiment, discussion focuses on a last-level cache (LLC) for each cores 402a, 402b, 402c and 402d.
  • core agents/components 404a, 404b, 404c and 404d are to handle traffic and interface with cores 402a, 402b, 402c and 402d, respectively.
  • core agents 404a, 404b, 404c and 404d may be referred to as Processor Core Interface Logic (PCIL)s; they may also be referred 'to as core components, agents, or other known logic, units, or modules for interfacing with a processing element.
  • PCIL Processor Core Interface Logic
  • ring 450 is shown as including Memory Controller Interface Logic (MCTL) 408 and
  • GFX Graphics Hub
  • EVIC memory controller
  • ring 450 may include or omit any of the aforementioned modules, as well as include other known processor modules that are not illustrated. Additionally, similar modules may be connected through other known interconnects, such as a point-to-point interconnect or a multidrop interconnect.
  • caches may be organized in any fashion, such as being a physically or logically, centralized or distributed cache.
  • the cache may include a physical centralized cache with a similarly centralized tag directory.
  • the higher level cache 310 of Figure 3 may include a tag directory.
  • the tag directories may be either physically and/or logically distributed in a physically distributed cache, such as the cache organization illustrated in Figure 4.
  • Figure 5 is a block diagram of a multicore processor 500 with integrated memory controller and graphics according to embodiments of the invention.
  • Figure 5 illustrate a processor 500 with multiple cores 502A-N (N being an integer larger than one), a system agent 510, a set of one or more bus controller units 516, a set of one or more integrated memory controller unit(s) 514 in the system agent unit 510, and an integrated graphics logic 508.
  • the memory hierarchy includes one or more levels of cache 504A-N within the cores respectively, a set or one or more shared cache units 506, and external memory (not shown) coupled to the set of integrated memory controller units 514.
  • the set of shared cache units 506 may include one or more mid-level caches, such as level 2 (L2), level 3 (L3), level 4 (L4), or other levels of cache, a last level cache (LLC), and/or combinations thereof. While in one embodiment a ring based interconnect unit 512 interconnects the integrated graphics logic 508, the set of shared cache units 506, and the system agent unit 510, alternative embodiments may use any number of well-known techniques for interconnecting such units.
  • the system agent 510 includes those components coordinating and operating cores 502 A-N.
  • the system agent unit 510 may include for example a power control unit (PCU) and a display unit.
  • the PCU may be or include logic and components needed for regulating the power state of the cores 502 A-N and the integrated graphics logic 508.
  • the display unit is for driving one or more externally connected displays.
  • the cores 502 A-N may be homogenous or heterogeneous in terms of architecture and/or instruction set. For example, some of the cores 502A-N may be in order while others are out-of-order. As another example, two or more of the cores 502A-N may be capable of execution the same instruction set, while others may be capable of executing only a subset of that instruction set or a different instruction set.
  • the processor 500 may be a general-purpose processor, such as a CoreTM i3, i5, i7, 2 Duo and Quad, XeonTM, ItaniumTM, XScaleTM or StrongARMTM processor, which are available from Intel Corporation, of Santa Clara, Calif. Alternatively, the processor may be from another company, such as ARM Holdings, Ltd, MIPS, etc..
  • the processor may be a special-purpose processor, such as, for example, a network or communication processor, compression engine, graphics processor, co-processor, embedded processor, or the like.
  • the processor 500 may be implemented on one or more chips.
  • the processor 500 may be a part of and/or may be implemented on one or more substrates using any of a number of process technologies, such as, for example, BiCMOS, CMOS, or NMOS.
  • a processor such as the processor illustrated in Figures 3, 4, and 5, or any other processor, includes one or more caches.
  • Figure 6 illustrates an embodiment of a processing system 600 with multiple processing units (602, 604, 606, and 608).
  • the processing system 600 maybe implemented in one or more semiconductor chips.
  • the processing system 600 may be a multi-core processor and all or most of the processing system 600's components may be integrated in one semiconductor die.
  • Each processing unit may include a core 612 and an uncore 610 (although only components of the processing unit 602 are labeled with reference numerals, these components are identical for the processing units 604, 606 and 608 and are not repeated for those three processing units), hi an embodiment, 602, 604, 606, and 608 maybe eores/uncores which are part of a single processor. In another embodiment, 602, 604, 606, and 608 may be multiple processors on a single chip (e.g., integrated circuit die).
  • the core 612 may include components of a processing unit involved in executing instructions.
  • the uncore 610 may include the functions of a processing unit that are not in the core 612, but which are essential for core performance.
  • the core 612 may include components such as an LI instruction cache (L II) 614 and a LI data cache (LID) 616.
  • the uncore 610 may include components such as a miss address file (MAF) 617, victim buffer (VB) 618, and L2 cache (L2) 622.
  • MAF miss address file
  • VB victim buffer
  • the MAF structure 617 may be used to keep a record of all requests (except victims) outstanding to the rest of the hierarchy.
  • a request such as a read request (Rd)
  • Rd read request
  • An additional function the MAF provides may be making sure two requests for the same address (e.g., from the same requester) are not issued into the hierarchy, since it can be examined (searched or cammed) to see if there is already an entry for the address and not allow a second entry to be created with the same address as a prior one.
  • the MAF may also be a key member of handling processing of interactions between two requests (e.g., from two different requesters) accessing the same line near the same time.
  • probes arrive at the MAF after a message indicating what the original requester needs to wait for before proceeding with further actions, for example, an order-marker (om)
  • the probes may be stored in the MAF and processed when the corresponding request of the MAF is completed.
  • Invalidation and back invalidation (Inval and Back- ival) actions arriving after the order-marker may also be stored in the MAF.
  • the VB (Victim Buffer) structure 618 may be used to handle evictions from the L2 and make sure they interact with the rest of the protocol correctly. Both clean and dirty (modified) lines may be placed into the VB as they are evicted from the L2.
  • the victim process with the protocol may be a three-hop approach that allows the L2 to hit on the line while it is in the VB and return it into the L2.
  • a silent eviction does not create a victim buffer entry, but may just invalidate the data.
  • One or more processing units, one or more cores, or one or more uncores, and their caches may be associated with a domain.
  • processing units 602 and 604, and their cores, uncores, and caches may be associated with a domain 620.
  • a tag directory (TD) 624 may keep track of data in caches in domain 620.
  • processing units 606 and 608, and their cores, uncores, and caches may be associated with a domain 630.
  • a TD 632 may keep track of data in caches in domain 630.
  • a TD 640 may keep track of data in caches in multiple domains 620 and 630.
  • the TD structure may be a hierarchy, where TD 624 and TD 632 are on one level of the hierarchy and TD 640 is on the next level.
  • TD 624 and TD 632 are on one level of the hierarchy
  • TD 640 is on the next level.
  • the request may check for the same cache line in the L2 cache 622. If the cache line is not in the L2 cache 622, then the request may continue to check the TD 624 to find out whether the cache line is located in one of the caches controlled by the neighboring cores in the same domain 620 (i.e., the caches in 604). Even if a copy of the cache line is found in a neighboring cache in the same domain 620, there may be other copies of the cache line in other domains (for example, domain 630), which must be accounted for from a cache coherence perspective.
  • the request may need to continue to the TD 640, and check if any other domains also have a copy of the cache line.
  • a hierarchical tag directory structure as shown in Figure 6 it may be advantageous (with regards to reducing energy and latency) to resolve requests for cache lines by only accessing the local domain (for example, 624) without accessing other domains (for example, 632 and 640).
  • a core of the processor 600 requesting data may probe the TDs to determine if the requested data has already been accessed by another core in the same domain or another domain.
  • the core last accessed the requesting data may be referred to as the last-accessor and the core requesting the data may be referred to as the original requester. If the last accessor and the original requester are in the same domain, only the local TD need to be probed and updated. If the last accessor and the original requester are in the different domains, the local TDs for the last accessor and the original requester and the TD of the next level may all need to be probed and updated.
  • a processor may use a MOESI cache coherency protocol.
  • MOESI may stand for Modified, Owned, Exclusive, Shared and Invalid states.
  • Modified state e.g., M-state
  • M-state Modified state for a cache line may mean that the cache line holds the most recent, correct copy of the data.
  • the copy in main memory is stale (incorrect), and no other processor or core holds a copy.
  • the cached data may be modified at will.
  • the cache line may be changed to the Exclusive state by writing the modifications back to main memory.
  • Modified cache lines must respond to a snoop request with data.
  • a cache line in the owned state may hold the most recent, correct copy of the data.
  • the owned state is similar to the shared state in that other processors or cores can hold a copy of the most recent, correct data.
  • the copy in main memory can be stale (incorrect). Only one processor or core can hold the data in the owned state— all other processors or cores must hold the data in the shared state.
  • the cache line may be changed to the Modified state after invalidating all shared copies, or changed to the Shared state by writing the modifications back to main memory. Owned cache lines must respond to a snoop request with data.
  • a cache line in the exclusive state may hold the most recent, correct copy of the data.
  • the copy in main memory is also the most recent, correct copy of the data.
  • No other processor or core holds a copy of the data.
  • the cache line may be changed to the Modified state at any time in order to modify the data. It may also be discarded (changed to the Invalid state) at any time.
  • Exclusive cache lines may respond to a snoop request with data.
  • Shared state for a cache line may mean the cache line holds the most recent, correct copy of the data. Other processors or cores in the system may hold copies of the data in the shared state, as well.
  • the shared cache line may be dirty with respect to memory (if a copy of the cache line exists in the owned state) or it may be clean (if no copy of the cache line exists in the owned state).
  • the cache line may not be written; but may be changed to the Exclusive state after invalidating all shared copies. It may also be discarded (changed to the Invalid state) at any time.
  • a cache line in the invalid state (e.g., I-state) does not hold a valid copy of the data.
  • Valid copies of the data might be either in main memory or another processor or core cache.
  • an exemplary processor may go into some sort of power saving mode and perhaps turn off half of the cache thereby invalidating all S-state copies of data. If a request is sent by a core for the previously accessed version of the line, the last accessor core may bypass the tag-directories and obtain the requested data from memory. That is, this request for the previously accessed version of the line does not look into the tag-directories to find out where the latest version of the data is, nor does it update the tag-directories since it is dealing with a previously accessed version of the line.
  • the exemplary processor may implement a cache coherency protocol, which may ensure that anytime a probe is sent to a S-state line, the memory is up to date.
  • An exemplary multi-core processor may have a core that can perform "silent invalidation" of clean data (either silently evicting clean lines or because of entering a power save mode, either way without notifying anyone including the record-keeping structures (e.g., various TDs at different levels)). Because the record-keeping structures are not updated, the protocol may send probes to the last-accessor. If the last-accessor is a core that has "silently invalidated" the line, then the line must be shared and more importantly, the data in the main memory must be up to date. An OTRprior (One Time Read Prior) command may be provided in an embodiment.
  • OTRprior One Time Read Prior
  • the OTRprior may be sent to the main memory from a last-accessor core when the probe arrives at last-accessor core and the requested data is not found.
  • the OTRprior command may obtain the data from the main memory and return it to the original requester (without any notification to the record-keeping structures that the cache line containing the requested data has been invalidated and the requested data is retrieved from the main memory).
  • Figure 7 is an illustration of a Read request eventually ending up in an OTRprior completing the operation.
  • a core 702a may send a memory request (e.g., indicated by arrow (1)) for a piece of requested data to a record-keeping structure (e.g., TD 704).
  • the memory request may use a memory address (ADDR) to identify the requested data.
  • the record-keeping structure TD 704 may determine the last accessor of ADDR is the core 702(b) and may send a memory probe (e.g., indicated by arrow (2)) to the last-accessor core 702(b) for the piece of requested data.
  • the piece of requested data may be silently-invalidated only if it is in S-state.
  • the memory 706 must be up-to-date.
  • the last- accessor may issue an OTRprior command (e.g., indicated by arrow (3)) to the memory 706.
  • the OTRprior command will find the value of the line matching the previous access to the line and return a fill (e.g. indicated by arrow (4)) containing that value.
  • O-state cannot be silently invalidated.
  • the OTRprior command bypasses all record-keeping structures (e.g., the TD 704) ⁇
  • FIG. 8 illustrates a flow diagram of operations to be performed for a process 800 according to one embodiment.
  • the process 800 may start at block 802, at which a memory request may be received at a record-keeping structure.
  • a core may be an original requester for a piece of requested data.
  • the original requester may send a memory request to a recordkeeping structure, such as tag-directories.
  • a last-accessor of an memory address identified by the memory request may be determined.
  • the recordkeeping structure may check its record to decide whether the memory address has been accessed by another processor or core and thus a copy of the data may already be cached in a cache line in the last-accessor.
  • a cache probe may be sent to the last accessor.
  • a command to retrieve invalidated latest value of the requested data from the memory address may be sent.
  • the last-accessor may silently invalidate the cache line holding the latest value of the requested data if the cache line in a S-state.
  • the command may be an OTRprior command that may obtain data from the memory without any notification to the recordkeeping structure.
  • a cache line of an original requester may be filled with the latest value of the requested data retrieved from the memory.
  • the record-keeping structure may be updated (e.g., by the original requester) such that the last-accessor may be the original requester from now on.
  • Embodiments of the mechanisms disclosed herein may be implemented in hardware, software, firmware, or a combination of such implementation approaches.
  • Embodiments of the invention may be implemented as computer programs or program code executing on programmable systems comprising at least one processor, a storage system (including volatile and non- volatile memory and/or storage elements), at least one input device, and at least one output device.
  • Program code may be applied to input instructions to perform the functions described herein and generate output information.
  • the output information may be applied to one or more output devices, in known fashion.
  • a processing system includes any system that has a processor, such as, for example; a digital signal processor (DSP), a microcontroller, an application specific integrated circuit (ASIC), or a microprocessor.
  • DSP digital signal processor
  • ASIC application specific integrated circuit
  • the program code may be implemented in a high level procedural or object oriented programming language to communicate with a processing system.
  • the program code may also be implemented in assembly or machine language, if desired.
  • the mechanisms described herein are not limited in scope to any particular programming language. In any case, the language may be a compiled or interpreted language.
  • One or more aspects of at least one embodiment may be implemented by representative instructions stored on a machine-readable medium which represents various logic within the processor, which when read by a machine causes the machine to fabricate logic to perform the techniques described herein.
  • Such representations known as "IP cores" may be stored on a tangible, machine readable medium and supplied to various customers or manufacturing facilities to load into the fabrication machines that actually make the logic or processor.
  • Such machine-readable storage media may include, without limitation, non- transitory, tangible arrangements of articles manufactured or formed by a machine or device, including storage media such as hard disks, any other type of disk including floppy disks, optical disks, compact disk read-only memories (CD-ROMs), compact disk rewritable's (CD-RWs), and magneto-optical disks, semiconductor devices such as read-only memories (ROMs), random access memories (RAMs) such as dynamic random access memories (DRAMs), static random access memories (SRAMs), erasable programmable read-only memories (EPROMs), flash memories, electrically erasable programmable read-only memories (EEPROMs), magnetic or optical cards, or any other type of media suitable for storing electronic instructions.
  • storage media such as hard disks, any other type of disk including floppy disks, optical disks, compact disk read-only memories (CD-ROMs), compact disk rewritable's (CD-RWs), and magneto-optical disks, semiconductor
  • embodiments of the invention also include non-transitory, tangible machine-readable media containing instructions or containing design data, such as Hardware Description Language (HDL), which defines structures, circuits, apparatuses, processors and/or system features described herein.
  • HDL Hardware Description Language
  • Such embodiments may also be referred to as program products.

Abstract

L'invention concerne un appareil de traitement multicœur pouvant fournir un procédé d'interrogation de cache et d'extraction de données. Le procédé peut consister à envoyer une demande de mémoire d'un demandeur à une structure de conservation d'enregistrements. La demande de mémoire peut avoir une adresse mémoire d'une mémoire stockant des données demandées. Le procédé peut en outre consister à déterminer un dernier accesseur à l'adresse mémoire, à envoyer une interrogation d'antémémoire au dernier accesseur, à déterminer que le dernier accesseur ne dispose plus de copie de la ligne ; et à envoyer une demande pour obtenir la version de la ligne à laquelle un accès a été précédemment effectué. La demande peut contourner les répertoires d'étiquettes et obtenir de la mémoire les données demandées.
PCT/US2011/067639 2011-12-28 2011-12-28 Extraction de données auxquelles un accès a déjà été effectué dans un processeur multicœur WO2013100988A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US13/995,283 US9146871B2 (en) 2011-12-28 2011-12-28 Retrieval of previously accessed data in a multi-core processor
PCT/US2011/067639 WO2013100988A1 (fr) 2011-12-28 2011-12-28 Extraction de données auxquelles un accès a déjà été effectué dans un processeur multicœur
TW101149837A TWI564798B (zh) 2011-12-28 2012-12-25 於多核心處理器中的先前存取資料之檢索技術

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2011/067639 WO2013100988A1 (fr) 2011-12-28 2011-12-28 Extraction de données auxquelles un accès a déjà été effectué dans un processeur multicœur

Publications (1)

Publication Number Publication Date
WO2013100988A1 true WO2013100988A1 (fr) 2013-07-04

Family

ID=48698230

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2011/067639 WO2013100988A1 (fr) 2011-12-28 2011-12-28 Extraction de données auxquelles un accès a déjà été effectué dans un processeur multicœur

Country Status (3)

Country Link
US (1) US9146871B2 (fr)
TW (1) TWI564798B (fr)
WO (1) WO2013100988A1 (fr)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104407989B (zh) * 2014-11-25 2019-02-12 上海兆芯集成电路有限公司 具有统一标签和片化数据的高速缓冲存储器
US10176012B2 (en) 2014-12-12 2019-01-08 Nxp Usa, Inc. Method and apparatus for implementing deterministic response frame transmission
US10505757B2 (en) 2014-12-12 2019-12-10 Nxp Usa, Inc. Network interface module and a method of changing network configuration parameters within a network device
US9612881B2 (en) 2015-03-30 2017-04-04 Nxp Usa, Inc. Method, apparatus, and system for unambiguous parameter sampling in a heterogeneous multi-core or multi-threaded processor environment
US10628352B2 (en) 2016-07-19 2020-04-21 Nxp Usa, Inc. Heterogeneous multi-processor device and method of enabling coherent data access within a heterogeneous multi-processor device
US10866897B2 (en) * 2016-09-26 2020-12-15 Samsung Electronics Co., Ltd. Byte-addressable flash-based memory module with prefetch mode that is adjusted based on feedback from prefetch accuracy that is calculated by comparing first decoded address and second decoded address, where the first decoded address is sent to memory controller, and the second decoded address is sent to prefetch buffer
US11150902B2 (en) 2019-02-11 2021-10-19 International Business Machines Corporation Processor pipeline management during cache misses using next-best ticket identifier for sleep and wakeup

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040059876A1 (en) * 2002-09-25 2004-03-25 Ashwini Nanda Real time emulation of coherence directories using global sparse directories
US20040215900A1 (en) * 2003-04-28 2004-10-28 International Business Machines Corporation System and method for reducing contention in a multi-sectored cache
US20110004729A1 (en) * 2007-12-19 2011-01-06 3Leaf Systems, Inc. Block Caching for Cache-Coherent Distributed Shared Memory

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080005504A1 (en) * 2006-06-30 2008-01-03 Jesse Barnes Global overflow method for virtualized transactional memory

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040059876A1 (en) * 2002-09-25 2004-03-25 Ashwini Nanda Real time emulation of coherence directories using global sparse directories
US20040215900A1 (en) * 2003-04-28 2004-10-28 International Business Machines Corporation System and method for reducing contention in a multi-sectored cache
US20110004729A1 (en) * 2007-12-19 2011-01-06 3Leaf Systems, Inc. Block Caching for Cache-Coherent Distributed Shared Memory

Also Published As

Publication number Publication date
TW201333820A (zh) 2013-08-16
US20140215162A1 (en) 2014-07-31
US9146871B2 (en) 2015-09-29
TWI564798B (zh) 2017-01-01

Similar Documents

Publication Publication Date Title
US10102124B2 (en) High bandwidth full-block write commands
US9740617B2 (en) Hardware apparatuses and methods to control cache line coherence
US20150278097A1 (en) Instruction and Logic for Reducing Data Cache Evictions in an Out-Of-Order Processor
US9146871B2 (en) Retrieval of previously accessed data in a multi-core processor
US9405706B2 (en) Instruction and logic for adaptive dataset priorities in processor caches
US9201792B2 (en) Short circuit of probes in a chain
US11550721B2 (en) Method and apparatus for smart store operations with conditional ownership requests
US9740623B2 (en) Object liveness tracking for use in processing device cache
US20160306742A1 (en) Instruction and logic for memory access in a clustered wide-execution machine
US11609859B2 (en) Methods and systems for invalidating memory ranges in fabric-based architectures
US10303606B2 (en) Dynamic home tile mapping
US20170177483A1 (en) Minimizing snoop traffic locally and across cores on a chip multi-core fabric
EP3736700B1 (fr) Répertoire hybride et cohérence basée sur snoopy pour réduire le surdébit de mise à jour de répertoire dans une mémoire à deux niveaux
US10705962B2 (en) Supporting adaptive shared cache management
US10956325B2 (en) Instruction and logic for flushing memory ranges in a distributed shared memory system
US10133669B2 (en) Sequential data writes to increase invalid to modified protocol occurrences in a computing system
US9436605B2 (en) Cache coherency apparatus and method minimizing memory writeback operations
US20180165213A1 (en) Method and apparatus for memory consistency using cache coherency protocols

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 13995283

Country of ref document: US

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11878791

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 11878791

Country of ref document: EP

Kind code of ref document: A1