WO2012104136A1 - Mirror for the euv wavelength range, method for producing such a mirror, projection lens for microlithography comprising such a mirror, and projection exposure apparatus for microlithography comprising such a projection lens - Google Patents

Mirror for the euv wavelength range, method for producing such a mirror, projection lens for microlithography comprising such a mirror, and projection exposure apparatus for microlithography comprising such a projection lens Download PDF

Info

Publication number
WO2012104136A1
WO2012104136A1 PCT/EP2012/050573 EP2012050573W WO2012104136A1 WO 2012104136 A1 WO2012104136 A1 WO 2012104136A1 EP 2012050573 W EP2012050573 W EP 2012050573W WO 2012104136 A1 WO2012104136 A1 WO 2012104136A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
mirror
incidence
subsystem
projection lens
Prior art date
Application number
PCT/EP2012/050573
Other languages
French (fr)
Inventor
Stephan Müllender
Hartmut Enkisch
Oliver Dier
Jörn Weber
Original Assignee
Carl Zeiss Smt Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss Smt Gmbh filed Critical Carl Zeiss Smt Gmbh
Publication of WO2012104136A1 publication Critical patent/WO2012104136A1/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • G02B5/085Multilayer mirrors, i.e. having two or more reflecting layers at least one of the reflecting layers comprising metal
    • G02B5/0875Multilayer mirrors, i.e. having two or more reflecting layers at least one of the reflecting layers comprising metal the reflecting layers comprising two or more metallic layers
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B17/00Systems with reflecting surfaces, with or without refracting elements
    • G02B17/02Catoptric systems, e.g. image erecting and reversing system
    • G02B17/06Catoptric systems, e.g. image erecting and reversing system using mirrors only, i.e. having only one curved mirror
    • G02B17/0647Catoptric systems, e.g. image erecting and reversing system using mirrors only, i.e. having only one curved mirror using more than three curved mirrors
    • G02B17/0657Catoptric systems, e.g. image erecting and reversing system using mirrors only, i.e. having only one curved mirror using more than three curved mirrors off-axis or unobscured systems in which all of the mirrors share a common axis of rotational symmetry
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure

Definitions

  • Mirror for the EUV wavelength range Method for producing such a mirror, projection lens for microlithography comprising such a mirror, and projection exposure apparatus for microlithography comprising such a projection lens
  • the invention relates to a mirror for the EUV wavelength range and to a method for producing such a mirror. Furthermore, the invention relates to a projection lens for microlithography comprising such a mirror. Moreover, the invention relates to projection exposure apparatus for microlithography comprising such a projection lens.
  • Projection exposure apparatuses for microlithography for the EUV wavelength range have to rely on the assumption that the mirrors used for the exposure or imaging of a mask into an image plane have a high reflectivity since, firstly, the product of the reflectivity values of the individual mirrors determines the total transmission of the projection exposure apparatus and since, secondly, the light power of EUV light sources is limited.
  • the EUV wavelength range is understood to be the wavelength range of light having wavelengths of between 5 nm and 20 nm.
  • Mirrors for the EUV wavelength range around 13 nm having high reflectivity values are known from DE 101 55 71 1 Al , for example.
  • the mirrors described therein consist of a layer arrangement which is applied on a substrate and which has a sequence of individual layers, wherein the layer arrangement comprises a plurality of layer subsystems each having a periodic sequence of at least two individual layers of different materials that form a period, wherein the number of periods and the thickness of the periods of the individual subsystems decrease from the substrate toward the surface.
  • Such mirrors have a reflectivity of greater than 30% in the case of an angle of incidence interval of between 0° and 20°.
  • the angle of incidence is defined as the angle between the direction of incidence of a light ray and the normal to the surface of the mirror at the point where the light ray impinges on the mirror.
  • the angle of incidence interval results from the angle interval between the largest and the smallest angle of incidence respectively considered for a mirror.
  • the reflectivity in the angle of incidence interval specified is not constant, but rather varies.
  • a variation of the reflectivity of a mirror over the angles of incidence is disadvantageous, however, for the use of such a mirror at locations with high angles of incidence and with high angle of incidence changes in a projection lens for microlithography since such a variation leads for example to an excessively large variation of the pupil apodization of such a projection lens.
  • the pupil apodization is a measure of the intensity fluctuation over the exit pupil of a projection lens.
  • buffer layers or anti-stress layers are usually used between substrate and reflective coating, which compensate for the compressive stress of the reflective coating by means of their tensile stress.
  • ASL anti-stress layers
  • These layers particularly in the case of an ASL system composed of molybdenum (Mo) and silicon (Si), are generally very rough since the tensile stresses required necessitate a high Mo proportion and thus thick molybdenum layers within a layer pair of the ASL system. Thick molybdenum layers are increasingly rough on account of the incipient crystal growth of molybdenum.
  • Rough layers in the HSFR spatial frequency range of a mirror for the EUV wavelength range lead to stray light losses and thus to a loss of total transmission in a projection exposure apparatus, see U.
  • an ASL system having a high Mo proportion has a large total thickness of the ASL system of far more than 200 nm, see US 7 203 275 B2.
  • the larger the total thickness of a layer system the greater the deviation of the layer system from the desired lateral course of the layer system along the surface of the mirror.
  • the exact adaptation of the course along the mirror by means of a thickness factor is important for providing a mirror for high angles of incidence and high angle of incidence changes, as will be explained in greater detail in the introductory part below and in the discussion of figures 9 to 16. Therefore, it is an object of the invention to provide a mirror for the EUV wavelength range which makes possible both a small surface deformation and accurate compliance with the thickness factor along the mirror surface.
  • a mirror for the EUV wavelength range having a reflectivity of greater than 40% for at least one angle of incidence of between 0° and 25° comprising a substrate and a layer arrangement, wherein the layer arrangement comprises at least one layer subsystem consisting of a periodic sequence of at least two periods of individual layers composed of different materials, and wherein the layer subsystem has an oxygen doping of between 10 ppb and 0.1%, in particular between 50 ppb and 50 ppm.
  • the inventors have recognized that the tensile stress of a layer subsystem for stress
  • ASL system known per se can be increased by adding oxygen during the coating of the layer subsystem.
  • oxygen doping achieved here of between 10 ppb and 0.1 %, in particular between 50 ppb and 50 ppm, the tensile stress present in such a layer subsystem can be virtually doubled. This makes it possible to reduce the total thickness of the known layer subsystems that is necessary for stress compensation. Alternatively or additionally, it is possible to limit the necessary number of periods of the layer subsystem.
  • a mirror according to the invention which, firstly, on account of the stress compensation, has no surface form deviation and, secondly, on account of the limited total thickness of the layer subsystem with oxygen doping, can be controlled more easily with regard to the lateral course of the thickness factor. Furthermore, the reduction of the total thickness leads to a reduction of the costs and the production time, and also to an increase in the process stability during the production of such mirrors.
  • the layer arrangement comprises at least one additional layer subsystem which consists of a periodic sequence of at least two periods of individual layers, wherein the periods comprise two individual layers composed of different materials for a high refractive index layer and a low refractive index layer.
  • This at least one additional layer subsystem ensures the high reflectivity of the mirror for the EUV wavelength range.
  • the layer subsystems of the layer arrangement of the mirror according to the invention succeed one another directly and are not separated by a further layer system.
  • a layer subsystem is distinguished from an adjacent layer subsystem, even given otherwise identical division of the periods between high and low refractive index layers, if a deviation by more than 0.1 nra is present as deviation in the thickness of the periods of the ad jacent layer subsystems since, starting from a difference of 0.1 nm, it is possible to assume a different optical effect of the layer subsystems with otherwise identical division of the periods between high and low refractive index layers.
  • high refractive index and low refractive index are in this case, in the EUV wavelength range, relative terms with regard to the respective partner layer in a period of a layer subsystem.
  • layer subsystems In the EUV wavelength range, layer subsystems generally function only if a layer that acts with optically high refractive index is combined with an optically lower refractive index layer relative thereto as main constituent of a period of the layer subsystem.
  • the layer subsystem having oxygen doping has a total thickness of less than 200 nm. This fosters compliance with the thickness factor along the mirror surface.
  • the layer subsystem having oxygen doping has a stress which.
  • the stress of a layer subsystem is always understood as a normalized stress that is normalized to 1 nm period thickness of the associated period.
  • the total layer stress of the layer arrangement is less than 100 MPa, in particular less than 50 MPa, particularly preferably less than 20 MPa.
  • Such a total layer stress makes it possible for the surface form of the mirror after coating has taken place to deviate from the surface form of the substrate prior to coating by less than 0.1 nm rms figure.
  • the tensile stress of the further layer subsystem having oxygen doping is more than +240 MPa, in particular more than +400 MPa, particularly preferably more than
  • Such a high tensile stress is expedient if compensating for the compressive stress of so-called narrowband coatings is involved.
  • the number of periods of the layer subsystem having oxygen doping is 50 or less.
  • layer subsystem having oxygen doping has a thickness of the periods of
  • the individual layers of the layer subsystem having oxygen doping consist of materials which are selected or made up as a compound from the group of materials: molybdenum, silicon, B4C, C, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride.
  • the stress of such layer subsystems can be set in a targeted manner by means of a deliberate selection of the stated materials for the layers of the periods of the layer subsystem having oxygen doping.
  • the reflectivity of a mirror according to the invention given normal incidence is more than 60% and the number of periods of the layer subsystem having oxygen doping is more than 20, in particular more than 25.
  • a mirror for perpendicular light incidence i.e. an angle of incidence of 0°
  • that is expedient for the tensile stresses which are necessary for the stress compensation of the high compressive forces of such mirrors generally embodied as a monostack , to be distributed among many periods of the layer subsystem having oxygen doping, since otherwise very thick molybdenum layers are required, which lead to stray light losses on account of increased surface roughness.
  • the average reflectivity given an angle of incidence interval of 4.8° or greater and given a maximum angle of incidence of 27.2° is more than 39.2%, wherein the number of periods of the further layer subsystem having oxygen doping is more than 15, in particular more than 20.
  • broadband coatings which are coatings having a reflectivity that is as uniform as possible over a relatively large angle of incidence interval even for high angles of incidence, generally warp a mirror to a lesser extent than narrowband coatings, it is expedient to limit the number of periods of the layer subsystem having oxygen doping in the case of these coatings. As a result, it is possible to further increase the process stability for the coating of such broadband mirrors.
  • the two individual layers of the at least one additional layer subsystem that form a period consist either of the materials
  • Mo molybdenum
  • Si silicon
  • ruthenium ruthenium
  • said individual layers are separated by at least one barrier layer, wherein the barrier layer consists of a material which is selected or made up as a compound from the group of materials: B 4 C, C, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride.
  • the barrier layer consists of a material which is selected or made up as a compound from the group of materials: B 4 C, C, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride.
  • the second barrier layer above the Mo layer can be dispensed with in this case.
  • at least one barrier layer for separating the two individual layers of a period should be provided, wherein the at least one barrier layer may perfectly well be constructed from various ones of the above- indicated materials or the compounds thereof and may in this case also exhibit a layered
  • Barrier layers which comprise the material B 4 C and have a thickness of between 0.35 nm and 0.8 nm, preferably between 0.4 nm and 0.6 nm, lead in practice to high reflectivity values of the layer arrangement. Particularly in the case of layer subsystems composed of ruthenium and silicon, barrier layers composed of B 4 C exhibit a maximum of reflectivity in the case of values of between 0.4 nm and 0.6 nm for the thickness of the barrier layer.
  • a mirror according to the invention comprises a covering layer system comprising at least one layer composed of a chemically inert material, which terminates the layer arrangement of the mirror toward the vacuum.
  • the mirror is thereby protected against ambient influences.
  • the layer subsystem having oxygen doping is arranged between the substrate and the at least one additional layer subsystem.
  • the surface roughness of the layer terminating the layer arrangement is less than 0.2 nm rms HSFR, in particular less than 0.1 nm rms HSFR. It is thereby possible to avoid stray light losses.
  • a mirror for the EUV wavelength range comprises a substrate and a layer arrangement, wherein the layer arrangement comprises a plurality of layer subsystems. In this case, in order to achieve a high and uniform reflectivity across a large angle of incidence interval, the number of periods for the layer subsystem that is most distant from the substrate must be greater than that for the layer subsystem that is second most distant from the substrate.
  • the first high refractive index layer of the layer subsystem that is most distant from the substrate should directly succeed the last high refractive index layer of the layer subsystem that is second most distant from the substrate.
  • the layer subsystems are in this case all constructed from the same materials for the high and low refractive index layers since this simplifies the production of mirrors.
  • the layer arrangement of a mirror according to the invention comprises at least three layer subsystems, wherein the number of periods of the layer subsystem that is situated closest to the substrate is greater than for the layer subsystem that is most distant from the substrate and/or is greater than for the layer subsystem that is second most distant from the substrate. It is possible to achieve particularly high reflectivity values for a layer arrangement in the case of a small number of layer subsystems if the period for the layer subsystem that is most distant from the substrate has a thickness of the high refractive index layer which amounts to more than 120% of the thickness, in particular more than double the thickness, of the high refractive index layer of the period for the layer subsystem that is second most distant from the substrate.
  • the period for the layer subsystem that is most distant from the substrate has a thickness of the low refractive index layer which is less than 80%, in particular less than two thirds of the thickness of the low refractive index layer of the period for the layer subsystem that is second most distant from the substrate.
  • a mirror for the EUV wavelength range has, for the layer subsystem that is second most distant from the substrate, a thickness of the low refractive index layer of the period which is greater than 4 nm, in particular greater than 5 nm.
  • a mirror for the EUV wavelength range has a thickness of the periods for the layer subsystem that is most distant from the substrate of between 7.2 nm and 7.7 nm. It is thereby possible to realize particularly high uniform reflectivity values for large angle of incidence intervals.
  • the mirror according to the invention has a thickness factor of the layer arrangement along the mirror surface having values of between 0.9 and 1.05, in particular having values of between 0.933 and 1 .018. It is thereby possible for different locations of the mirror surface to be adapted in a more targeted fashion to different angles of incidence that occur there.
  • the thickness factor is the factor with which all the thicknesses of the layers of a given layer design, in multiplied fashion, are realized at a location on the substrate. A thickness factor of 1 thus corresponds to the nominal layer design.
  • the thickness factor as a further degree of freedom makes it possible for different locations of the mirror to be adapted in a more targeted fashion to different angle of incidence intervals that occur there, without the layer design of the mirror per se having to be changed, with the result that the mirror ultimately yields, for higher angle of incidence intervals across different locations on the mirror, higher reflectivity values than are permitted by the associated layer design per se given a fixed thickness factor of 1.
  • By adapting the thickness factor it is thus also possible, over and above ensuring high angles of incidence, to achieve a further reduction of the variation of the reflectivity of the mirror according to the invention over the angles of incidence.
  • the thickness factor of the layer arrangement at locations of the irror surface correlates with the maximum angle of incidence that occurs there, since, for a higher maximum angle of incidence, a higher thickness factor is useful for the adaptation.
  • the object of the present invention is achieved by means of a method for coating a mirror according to the invention for the EUV wavelength range, wherein an oxygen partial pressure of greater than I F- 9 mbar, in particular of greater than 1 E-7 mbar, is present for coating the layer subsystem with oxygen doping.
  • the tensile stress of the layer subsystem is increased by such an addition of oxygen, as has been recognized by the inventors.
  • the coating of the further layer subsystem with oxygen doping is performed by magnetron sputtering at a working gas pressure of at least 1E-4 mbar.
  • magnetron sputtering is suitable in particular for the industrial production of EUV layers.
  • the object of the invention is achieved by means of a projection lens comprising at least one mirror according to the invention.
  • the object of the invention is achieved by means of a projection exposure apparatus according to the invention for microlithography comprising such a projection lens.
  • figure 1 shows a schematic illustration of a first mirror according to the invention
  • figure 2 shows a schematic illustration of a second mirror according to the invention
  • figure 3 shows a schematic illustration of a third mirror according to the invention
  • figure 4 shows a schematic illustration of a mirror according to the invention with an
  • FIG 5 shows a schematic illustration of a projection lens according to the invention for a projection exposure apparatus for microlithography
  • figure 6 shows a schematic illustration of the image field of the projection lens
  • figure 7 shows an exemplary illustration of the maximum angles of incidence and the interval lengths of the angle of incidence intervals against the distance of the locations of a mirror according to the invention with respect to the optical axis within a projection lens
  • figure 8 shows a schematic illustration of the optically used region on the substrate of a mirror according to the invention
  • figure 9 shows a schematic illustration of some reflectivity values against the angles of incidence of the first mirror according to the invention from figure 1 ;
  • Figure 3 shows a schematic illustration of a further mirror l c according to the invention for the EUV wavelength range comprising a substrate S and a layer arrangement.
  • the layer arrangement comprises a plurality of layer subsystems P" and P' " each consisting of a periodic sequence of at least two periods P 2 and P 3 of individual layers, wherein the periods P 2 and P 3 comprise two individual layers composed of different materials for a high refractive index layer H" and H' " and a low refractive index layer L" and L' " and have within each layer subsystem P" and P" ' a constant thickness d 2 and d 3 that deviates from a thickness of the periods of an adjacent layer subsystem.
  • the layer subsystem P" ' that is most distant from the substrate has a number N 3 of periods P 3 that is greater than the number N 2 of periods P 2 for the layer subsystem P" that is second most distant from the substrate.
  • This fourth exemplary embodiment also comprises, as a variant with respect to the illustration of the mirror l c in figure 3 corresponding to mirror l a, the reversed order of the layers in the layer subsystem P" that is second most distant from the substrate S, such that this fourth exemplary embodiment also has the feature that the first high refractive index layer H' " of the layer subsystem P' " that is most distant from the substrate optically actively succeeds the last low refractive index layer L" of the layer subsystem P" that is second most distant from the substrate.
  • the period P for the layer subsystem P" ' that is most distant from the substrate has a thickness of the high refractive index layer H' " which amounts to more than 120% of the thickness, in particular more than double the thickness, of the high refractive index layer H" of the period P 2 for the layer subsystem P" that is second most distant from the substrate.
  • the layer subsystems of the layer arrangement of the mirrors according to the invention with respect to figures 1 to 4 succeed one another directly and are not separated by a further layer system.
  • separation of the layer subsystems by an individual intermediate layer is conceivable for adapting the layer subsystems to one another or for optimizing the optical properties of the layer arrangement. This last does not apply, however, to the two layer subsystems P" and P'" of the first exemplary embodiment with respect to figure 1 and the fourth exemplary embodiment as a variant with respect to figure 3 since the desired optical effect would thereby be prevented by the reversal of the sequence of the layers in P".
  • the layers designated by H, H ⁇ H" and H'" in figures 1 to 4 are layers composed of materials which, in the EUV wavelength range, can be designated as high refractive index layers in comparison with the layers of the same layer subsystem which are designated as L, L ⁇ L" and L" ⁇ see the complex refractive indices of the materials in table 2. Conversely, the layers designated by L, L'.
  • L" and L' " in figures 1 to 4 are layers composed of materials which, in the EUV wavelength range, can be designated as low refractive index layers in comparison with the layers of the same layer subsystem which are designated as H, H ⁇ H" and H" ⁇ Consequently, the terms high refractive index and low refractive index in the EUV wavelength range are relative terms with regard to the respective partner layer in a period of a layer subsystem.
  • Layer subsystems function in the EUV wavelength range generally only if a layer that acts optically with a high refractive index is combined with a layer that optically has a lower refractive index relative thereto, as main constituent of a period of the layer subsystem.
  • the material silicon is generally used for high refractive index layers. In combination with silicon, the materials molybdenum and ruthenium should be designated as low refractive index layers, see the complex refractive indices of the materials in table 2.
  • the layers LASL and HASL of the layer subsystem ASL having oxygen doping in figure 4 can be correspondingly low and high refractive index layers in the EUV wavelength range. However, this is not absolutely necessary, since the layers of the layer subsystem ASL are provided for the stress compensation of the layer arrangement and, consequently, do not necessarily contribute to the reflectivity of the layer arrangement.
  • a barrier layer B is in each case situated between the individual layers of a period, either composed of silicon and molybdenum or composed of silicon and ruthenium, said barrier layer consisting of a material which is selected or made up as a compound from the group of materials: B 4 C, C. Si nitride. Si carbide. Si boride. Mo nitride, Mo carbide, Mo boride. Ru nitride, Ru carbide and Ru boride.
  • Such a barrier layer suppresses the interdiffusion between the two individual layers of a period, thereby increasing the optical contrast in the transition of the two individual layers.
  • the materials molybdenum and silicon for the two individual layers of a period, one barrier layer above the silicon layer, as viewed from the substrate, suffices in order to provide for a sufficient contrast.
  • the second barrier layer above the molybdenum layer can be dispensed with in this case.
  • at least one barrier layer for separating the two individual layers of a period should be provided, wherein the at least one barrier layer may perfectly well be constructed from various ones of the above-indicated materials or the compounds thereof and may in this case also exhibit a layered construction of mask, which is not illustrated in the drawing for the sake of clarity, can be arranged at the location of the object field 3 in the object plane 5.
  • figure 5 illustrates a system of Cartesian coordinates, the x-axis of which points into the plane of the figure.
  • the x-y coordinate plane coincides with the object plane 5, the z-axis being perpendicular to the object plane 5 and pointing downward.
  • the projection lens has an optical axis 9, which does not run through the object field 3.
  • the mirrors 1 , 1 1 of the projection lens 2 have a design surface that is rotational ly symmetrical with respect to the optical axis.
  • the aperture stop 13 is arranged on the second mirror 1 1 in the light path from the object plane 5 to the image plane 7.
  • the effect of the projection lens 2 is illustrated with the aid of three rays, the principal ray 15 and the two aperture marginal rays 17 and 19, all of which originate in the center of the object field 3.
  • the principal ray 15 appears to intersect the optical axis in the entrance pupil plane 21. This is indicated in figure 5 by the dashed extension of the principal ray 15 through the first mirror 1 1. Consequently, the virtual image of the aperture stop 13, the entrance pupil, lies in the entrance pupil plane 21 .
  • the exit pupil of the projection lens could likewise be found with the same construction in the backward extension of the principal ray 15 proceeding from the image plane 7. However, in the image plane 7 the principal ray 15 is parallel to the optical axis 9, and from this it follows that the backward projection of these two rays produces a point of intersection at infinity in front of the projection lens 2 and the exit pupil of the projection lens 2 is thus at infinity.
  • this projection lens 2 is a so-called objective that is telecentric on the image side.
  • the center of the object field 3 is at a distance R from the optical axis 9 and the center of the image field 7 is at a distance r from the optical axis 9, in order that no undesirable vignetting o the radiation emerging from the object field occurs in the case of the reflective configuration of the projection lens.
  • F igure 6 shows a plan view o an arcuate image field 7a such as occurs in the projection lens 2 illustrated in figure 5, and a system of Cartesian coordinates, the axes of which correspond to those from figure 5.
  • the image field 7a is a sector from an annulus, the center of which is given by the point of intersection of the optical axis 9 with the object plane.
  • the average radius r is 34 mm in the case illustrated.
  • the width of the field in the ⁇ -direction d is 2 mm here.
  • the central field point of the image field 7a is marked as a small circle within the image field 7a.
  • a curved image field can also be delimited by two circle arcs which have the same radius and are displaced relative to one another in the y-direction. If the projection exposure apparatus is operated as a scanner, then the scanning direction runs in the direction of the shorter extent of the object field, that is to say in the direction of the y-direction.
  • Figure 7 shows an exemplary illustration of the maximum angles of incidence (rectangles) and of the interval lengths of the angle of incidence intervals (circles) in the unit degrees [°] against different radii or distances between the locations of the mirror surface and the optical axis, indicated in the unit [mm], of the penultimate mirror 1 in the light path from the object plane 5 to the image plane 7 of the projection lens 2 from figure 5.
  • Said mirror 1 in the case of a projection lens 2 for microlithography which has six mirrors 1.
  • 1 1 for the EUV wavelength range is generally that mirror which has to ensure the largest angles of incidence and the largest angle of incidence intervals or the greatest variation of angles of incidence.
  • interval length of an angle of incidence interval as a measure of the variation of angles of incidence is understood to be the number of angular degrees of that angular range in degrees between the maximum and minimum angles of incidence which the coating of the mirror has to ensure for a given distance from the optical axis on account of the requirements of the optical design.
  • the angle of incidence interval will also be abbreviated to AOI interval.
  • the optical data of the projection lens in accordance with table 1 are applicable in the case of the mirror 1 taken as a basis in figure 7.
  • the aspheres of the mirrors 1 , 1 1 of the optical design are specified as rotational !y symmetrical surfaces by means of the perpendicular distance Z(h) of an asphere point relative to the tangential plane in the asphere vertex as a function of the perpendicular distance h of the asphere point with respect to the normal in the asphere vertex in accordance with the following asphere equation:
  • Table 1 Data of the optical design regarding the angles of incidence of the mirror 1 in figure 7 in accordance with the schematic illustration of the design on the basis of figure 5.
  • PV value is used as a measure of the variation of the reflectivity of a mirror over the angles of incidence.
  • high PV values for a mirror 1 of the projection lens 2 as penultimate mirror before the image plane 7 in accordance with figure 5 and the design in table 1 lead to high values for the pupil apodization.
  • a bar 23 is used to mark by way of example a specific radius or a specific distance of the locations of the mirror 1 having the associated maximum angle of incidence of
  • FIG 8 shows the substrate S of the penultimate mirror 1 in the light path from the object plane 5 to the image plane 7 of the projection lens 2 from figure 5 as a circle centered with respect to the optical axis 9 in plan view.
  • the optical axis 9 of the projection lens 2 corresponds to the axis 9 of symmetry of the substrate.
  • the optically used region 20 of the mirror 1 is depicted in hatched fashion and a circle 23a is depicted in dashed fashion.
  • the part of the dashed circle 23a within the optically used region corresponds to the locations of the mirror 1 which are identified by the depicted bar 23 in figure 7. Consequently, the layer arrangement of the mirror 1 along the partial region of the dashed circle 23 a within the optically used region 20, in accordance with the data from figure 7, has to ensure high reflectivity values both for a maximum angle of incidence of 21 ° and for a minimum angle of incidence of approximately 10°. In this case, the minimum angle of incidence of approximately 10° results from the maximum angle of incidence of 21 ° from figure 7 on account of the interval length of 1 1 °.
  • the order of the high refractive index layer Si and the low refractive index layer Mo in the layer subsystem P has been reversed relative to the other layer subsystem P' " having 16 periods, such that the first high refractive index layer of the layer subsystem P ' * ' that is most distant from the substrate, with a thickness of 3.609 nm, directly succeeds the last high refractive index layer of the layer subsystem P" that is second most distant from the substrate, with a thickness of 2.78 nm.
  • This fourth exemplary embodiment is therefore a variant of the third exemplary embodiment in which the order of the high and low refractive index layers in the layer subsystem P" that is second most distant from the substrate has been reversed according to the first exemplary embodiment with respect to figure 1.
  • Figure 9 shows reflectivity values for unpolarized radiation in the unit [%] of the first exemplary embodiment of a mirror 1 a according to the invention in accordance with figure 1 plotted against the angle of incidence in the unit [°J.
  • the period Pi consequently has a thickness di of 8.652 nm.
  • the period P 2 consequently has a thickness d 2 of 7.856 nm.
  • the period P3 consequently has a thickness da of 7.525 nm.
  • the layer arrangement of the mirror l a is terminated by a covering layer system C consisting of 2.975 nm Si, 0.4 nm B 4 C, 2 nm Mo and 1.5 nm Ru in the order specified.
  • the layer subsystem P' " that is most distant from the substrate has a number N3 of periods P3 that is greater than the number N 2 of periods P 2 for the layer subsystem P" that is second most distant from the substrate and the first high refractive index layer H" ' of the layer subsystem P' " that is most distant from the substrate directly succeeds the last high refractive index layer H" of the layer subsystem P" that is second most distant from the substrate.
  • the reflectivity values of this nominal layer design with the thickness factor 1 in the unit [%] at a wavelength of 13.5 nm are illustrated as a solid line against the angle of incidence in the unit [°] in figure 9.
  • the average reflectivity of this nominal layer design for the angle of incidence interval of 14.1 ° to 25.7° is depicted as a solid horizontal bar.
  • figure 9 correspondingly specifies, at a wavelength of 13.5 nm and given a thickness factor of 0.933, as a dashed line the reflectivity values against the angles of incidence and as a dashed bar the average reflectivity of the above-specified layer design for the angle of incidence interval of 2.5° to 7.3°. Consequently, the thicknesses of the periods of the layer arrangement with respect to the reflectivity values illustrated as a dashed line in figure 9 amount to only 93.3% of the
  • the layer arrangement is thinner than the nominal layer design by 6.7% at the mirror surface of the mirror l a at the locations at which angles of incidence of between 2.5° and 7.3° have to be ensured.
  • Figure 10 shows, at a wavelength of 13.5 nm and given a thickness factor of 1.018, in a manner corresponding to figure 9, as a thin line the reflectivity values against the angles of incidence and as a thin bar the average reflectivity of the above-specified layer design for the angle of
  • the layer arrangement is thicker than the nominal layer design by 1.8% at the mirror surface of the mirror l a at the locations at which angles of incidence of between 17.8° and 27.2° have to be ensured and is correspondingly thinner than the nominal layer design by 2.8% at the locations at which angles of incidence of between 8.7° and 21.4° have to be ensured.
  • the average reflectivity and PV values which can be achieved by means of the layer
  • the mirror l comprising the layer arrangement specified above, at a wavelength of 13.5 nm for angles of incidence of between 2.5° and 27.2°, has an average reflectivity of more than 43% and a variation of the reflectivity as PV value of less than or equal to 0.21.
  • FIG. 13 shows reflectivity values for unpolarized radiation in the unit [%] of the third exemplary embodiment of a mirror lc according to the invention in accordance with figure 3 plotted against the angle of incidence in the unit [°].
  • the period P 2 consequently has a thickness d 2 of 8.143 nm.
  • the layer arrangement of the mirror l c is terminated by a covering layer system C consisting of 1.499 nm Si, 0.4 nm B4C, 2 nm Mo and 1.5 nm Ru in the order specified.
  • the layer subsystem P' " that is most distant from the substrate has a thickness of the high refractive index layer H' " that amounts to more than double the thickness of the high refractive index layer H" of the layer subsystem P" that is second most distant from the substrate.
  • the reflectivity values of this nominal layer design with the thickness factor 1 in the unit [%] at a wavelength of 13.5 nm are illustrated as a solid line against the angle of incidence in the unit [°] in figure 13.
  • the average reflectivity of this nominal layer design for the angle of incidence interval of 14.1 ° to 25.7° is depicted as a solid horizontal bar.
  • figure 13 correspondingly specifies, at a wavelength of 1 3.5 nm and given a thickness factor of 0.933, as a dashed line the reflectivity values against the angles of incidence and as a dashed bar the average reflectivity of the above-specified layer design for the angle of incidence interval of 2.5° to 7.3°.
  • the thicknesses of the periods of the layer arrangement with respect to the reflectivity values illustrated as a dashed line in figure 13 amount to only 93.3% of the corresponding thicknesses of the periods of the nominal layer design.
  • the layer arrangement is thinner than the nominal layer design by 6.7%» at the mirror surface of the mirror l c at the locations at which angles of incidence of between 2.5° and 7.3° have to be ensured.
  • Figure 14 shows in a manner corresponding to figure 13, at a wavelength of 13,5 nm and given a thickness factor of 1 .018, as a thin line the reflectivity values against the angles of incidence and as a thin bar the average reflect ivity of the above-specified layer design for the angle of incidence interval of 17.8° to 27.2°, and also, given a thickness factor of 0.972, in a
  • the layer arrangement is thicker than the nominal layer design by 1.8% at the mirror surface of the mirror ! c at the locations at which angles of incidence of between 17.8° and 27.2° have to be ensured and is correspondingly thinner than the nominal layer design by 2.8% at the locations at which angles of incidence of between 8.7° and 21 .4° have to be ensured.
  • the irror l c comprising the layer arrangement specified above, at a wavelength of 13.5 nm for angles of incidence of between 2.5° and 27.2°, has an average reflectivity of more than 39% and a variation of the reflectivity as PV value of less than or equal to 0.22.
  • Table 5 Average reflectivity and PV values of the layer design with respect to figure 13 and figure 14 relative to the angle of incidence interval in degrees and the thickness factor chosen.
  • Figure 15 shows reflectivity values for unpolarized radiation in the unit [%] of the fourth exemplary embodiment of a mirror according to the invention as a variant of the mirror l c in which the order of the layers in the layer subsystem P" has been reversed, plotted against the angle of incidence in the unit [°J.
  • the period P 2 consequently has a thickness d 2 of 7.712 nm.
  • the period P3 consequently has a thickness di of 7.55 nm.
  • the layer arrangement of the mirror is terminated by a covering layer system C consisting of 2.027 nm Si, 0.4 nm B 4 C, 2 nm Mo and 1.5 nm Ru in the order specified.
  • the layer subsystem P' " that is most distant from the substrate has a thickness of the high refractive index layer H' " which amounts to more than 120 % of the thickness of the high refractive index layer I ' of the layer subsystem P' ' that is second most distant from the substrate. Furthermore, the layer subsystem P' " that is most distant from the substrate has a number N3 of periods P3 that is greater than the number N 2 of periods P 2 for the layer subsystem P" that is second most distant from the substrate, and the first high refractive index layer HP " of the layer subsystem P' " that is most distant from the substrate directly succeeds the last high refractive index layer H" of the layer subsystem P" that is second most distant from the substrate.
  • the reflectivity values of this nominal layer design with the thickness factor 1 in the unit [%] at a wavelength of 13.5 nm are illustrated as a solid line against the angle of incidence in the unit [°] in figure 15.
  • the average reflectivity of this nominal layer design for the angle of incidence interval of 14.1 ° to 25.7° is depicted as a solid horizontal bar.
  • figure 15 correspondingly specifies, at a wavelength of 13.5 nm and given a thickness factor of 0.933. as a dashed line the reflectivity values against the angles of incidence and as a dashed bar the average reflectivity of the above-specified layer design for the angle of incidence interval of 2.5° to 7.3°.
  • the thicknesses of the periods of the layer arrangement with respect to the reflectivity values illustrated as a dashed line in figure 15 amount to only 93.3% of the corresponding thicknesses of the periods of the nominal layer design.
  • the layer arrangement is thinner than the nominal layer design by 6.7% at the mirror surface of the mirror according to the invention at the locations at which angles of incidence of between 2.5° and 7.3° have to be ensured.
  • Figure 16 shows, at a wavelength of 13.5 nm and given a thickness factor of 1.018, in a manner corresponding to figure 15, as a thin line the reflectivity values against the angles of incidence and as a thin bar the average reflectivity of the above-specified layer design for the angle of incidence interval of 17.8° to 27.2°, and also, given a thickness factor of 0.972, in a corresponding manner, as a thick line the reflectivity values against the angles of incidence and as a thick bar the average reflectivity of the above-specified layer design for the angle of incidence interval of 8.7° to 21.4°.
  • the layer arrangement is thicker than the nominal layer design by 1 .8% at the mirror surface of this mirror according to the invention at the locations at which angles of incidence of between 17.8° and 27.2° have to be ensured and is correspondingly thinner than the nominal layer design by 2.8% at the locations at which angles of incidence of between 8.7° and 21.4° have to be ensured.
  • the mirror according to the invention comprising the layer arrangement specified above, at a wavelength of 13.5 nm for angles of incidence of between 2.5° and 27.2°, has an average reflectivity of more than 42% and a variation of the reflectivity as PV value of less than or equal to 0.24.
  • Table 6 Average reflectivity and PV values of the layer design with respect to figure 15 and figure 16 relative to the angle of incidence interval in degrees and the thickness factor chosen.
  • layer subsystems ASL having oxygen doping for stress compensation between the layer subsystems in figures 1 to 3 and the substrate S which themselves do not have high reflectivities for the EUV wavelength range on account of a high molybdenum proportion required for the stress compensation or on account of a different material selection for the layer subsystem ASL.
  • samples 2 to 5 a different oxygen partial pressure of between 1 * 10 "7 mbar and 1 * 10 -3 mbar was set by adding oxygen into the coating apparatus.
  • the different layer subsystem ASL of samples 2 to 5 are thereby doped with oxygen between 10 ppb and 0.1 %, in particular between 50 ppb and 50 ppm.
  • the layer subsystem ASL of sample 1 was coated at a normal residual gas pressure of a coating apparatus in accordance with an oxygen partial pressure of less than 10 "9 mbar. Therefore, this layer system ASL of sample 1 is regarded as a known layer system from the prior art since the period thicknesses and the molybdenum proportion of this layer system do not differ from known layer systems ASL for stress compensation. In this case, all samples 1 to 5 were coated by magnetron sputtering at a working pressure of 4* 10 "4 mbar of the working gas krypton.
  • the total layer stress of the layer arrangement as specified in table 7 and the reflectivity were measured after the coating of each individual sample.
  • the specified tensile stress of the layer subsystem ASL results from this measured total layer stress, taking account of the theoretical compressive stress of the respective layer subsystem P" ⁇
  • all of the specified stresses are normalized to 1 nm period thickness of the respective period for comparison.
  • this calculated tensile stress of the layer subsystem ASL in table 7 it can clearly be discerned that in the case of an inherently identical layer subsystem ASL having the same number of periods and the same layer sequence, as a result of the addition of oxygen during the coating, on account of the doping taking place in this case, the respective tensile stress is established within the layer subsystem ASL.
  • the tensile stress can be increased by oxygen doping within the further layer subsystem ASL in such a way that a virtually stress-free layer arrangement already results in the case of a total thickness DASL f the layer subsystem of less than 200 nm. Without such a doping, approximately 70-80 periods of the specified layer subsystem ASL with a total thickness of 245-280 nm are necessary for this purpose.
  • the roughness of the further layer subsystem is also possible, therefore, for the roughness of the further layer subsystem to be reduced on account of thin or fewer periods, such that the surface roughness of the layer terminating the layer arrangement is less than 0.2 nm rms HSFR, in particular less than 0.1 nm rms HSFR.
  • the thickness of the periods of the layer subsystem ASL can be limited to 5 nm or less, in particular to 3.5 nm or less, as a result of which thick and thus rough molybdenum layers are avoided.
  • the tensile stress of the layer subsystem ASL having the 50 periods specified can be increased by the doping from a slight compressive stress of sample 1 at -12 MPa to tensile stresses of +241 MPa in the case of sample 2 through to 760 MPa in the case of sample 5.
  • these layers is more than 10 ppb and less than 0.1 %, in particular more than 50 ppb and less than 50 ppm.

Abstract

The invention relates to a mirror (1a; 1b; 1c; 1d) for the EUV wavelength range having a reflectivity of greater than 40% for at least one angle of incidence of between 0° and 25°, comprising a substrate (S) and a layer arrangement, wherein the layer arrangement comprises at least one layer subsystem (ASL) consisting of a periodic sequence of at least two periods (PASL) of individual layers (LASL, B, HASL) composed of different materials, and wherein the layer subsystem (ASL) has an oxygen doping of between 10 ppb and 0.1 %, in particular between 50 ppb and 50 ppm. Furthermore, the invention relates to a method for coating a mirror (1a; 1b; 1c: 1d) for the EUV wavelength range, wherein an oxygen partial pressure of greater than 10-9 mbar, in particular of greater than 10-7 mbar, is present during the coating of the layer subsystem (ASL) with oxygen doping. Furthermore, the invention relates to a projection lens for micro lithography comprising such a mirror, and to a projection exposure apparatus comprising such a projection lens.

Description

Description
Mirror for the EUV wavelength range, method for producing such a mirror, projection lens for microlithography comprising such a mirror, and projection exposure apparatus for microlithography comprising such a projection lens
The invention relates to a mirror for the EUV wavelength range and to a method for producing such a mirror. Furthermore, the invention relates to a projection lens for microlithography comprising such a mirror. Moreover, the invention relates to projection exposure apparatus for microlithography comprising such a projection lens.
Projection exposure apparatuses for microlithography for the EUV wavelength range have to rely on the assumption that the mirrors used for the exposure or imaging of a mask into an image plane have a high reflectivity since, firstly, the product of the reflectivity values of the individual mirrors determines the total transmission of the projection exposure apparatus and since, secondly, the light power of EUV light sources is limited. In this case, the EUV wavelength range is understood to be the wavelength range of light having wavelengths of between 5 nm and 20 nm. Mirrors for the EUV wavelength range around 13 nm having high reflectivity values are known from DE 101 55 71 1 Al , for example. The mirrors described therein consist of a layer arrangement which is applied on a substrate and which has a sequence of individual layers, wherein the layer arrangement comprises a plurality of layer subsystems each having a periodic sequence of at least two individual layers of different materials that form a period, wherein the number of periods and the thickness of the periods of the individual subsystems decrease from the substrate toward the surface. Such mirrors have a reflectivity of greater than 30% in the case of an angle of incidence interval of between 0° and 20°.
In this case, the angle of incidence is defined as the angle between the direction of incidence of a light ray and the normal to the surface of the mirror at the point where the light ray impinges on the mirror. In this case, the angle of incidence interval results from the angle interval between the largest and the smallest angle of incidence respectively considered for a mirror.
What is disadvantageous about the abovementioned layers, however, is that their reflectivity in the angle of incidence interval specified is not constant, but rather varies. A variation of the reflectivity of a mirror over the angles of incidence is disadvantageous, however, for the use of such a mirror at locations with high angles of incidence and with high angle of incidence changes in a projection lens for microlithography since such a variation leads for example to an excessively large variation of the pupil apodization of such a projection lens. In this case, the pupil apodization is a measure of the intensity fluctuation over the exit pupil of a projection lens.
Furthermore, what is disadvantageous about the abovementioned layers is that they have a high compressive stress and, on account of this compressive stress, warp the mirror substrate in an impermissible manner, such that the mirror substrate after coating does not follow the desired surface form with a deviation of a maximum of 0.1 nm rms figure.
Therefore, so-called buffer layers or anti-stress layers (ASL) are usually used between substrate and reflective coating, which compensate for the compressive stress of the reflective coating by means of their tensile stress. These layers, particularly in the case of an ASL system composed of molybdenum (Mo) and silicon (Si), are generally very rough since the tensile stresses required necessitate a high Mo proportion and thus thick molybdenum layers within a layer pair of the ASL system. Thick molybdenum layers are increasingly rough on account of the incipient crystal growth of molybdenum. Rough layers in the HSFR spatial frequency range of a mirror for the EUV wavelength range lead to stray light losses and thus to a loss of total transmission in a projection exposure apparatus, see U. Dinger et al. "Mirror substrates for EUV- 1 itho graphy : progress in metrology and optical fabrication technology" in Proc. SPIE vol. 4146, 2000, in particular for the definition of the surface roughness in the HSFR range with spatial wavelengths o the roughness of 10 nm to 1 μιη and in the MSFR range with spatial wavelengths of the roughness of 1 μηι to 1 mm.
Furthermore, what is disadvantageous about an ASL system having a high Mo proportion is that it has a large total thickness of the ASL system of far more than 200 nm, see US 7 203 275 B2. The larger the total thickness of a layer system, the greater the deviation of the layer system from the desired lateral course of the layer system along the surface of the mirror. However, the exact adaptation of the course along the mirror by means of a thickness factor is important for providing a mirror for high angles of incidence and high angle of incidence changes, as will be explained in greater detail in the introductory part below and in the discussion of figures 9 to 16. Therefore, it is an object of the invention to provide a mirror for the EUV wavelength range which makes possible both a small surface deformation and accurate compliance with the thickness factor along the mirror surface. This object is achieved according to the invention by means of a mirror for the EUV wavelength range having a reflectivity of greater than 40% for at least one angle of incidence of between 0° and 25°, comprising a substrate and a layer arrangement, wherein the layer arrangement comprises at least one layer subsystem consisting of a periodic sequence of at least two periods of individual layers composed of different materials, and wherein the layer subsystem has an oxygen doping of between 10 ppb and 0.1%, in particular between 50 ppb and 50 ppm.
The inventors have recognized that the tensile stress of a layer subsystem for stress
compensation (ASL system) known per se can be increased by adding oxygen during the coating of the layer subsystem. On account of the oxygen doping achieved here of between 10 ppb and 0.1 %, in particular between 50 ppb and 50 ppm, the tensile stress present in such a layer subsystem can be virtually doubled. This makes it possible to reduce the total thickness of the known layer subsystems that is necessary for stress compensation. Alternatively or additionally, it is possible to limit the necessary number of periods of the layer subsystem. Consequently, it is possible to provide a mirror according to the invention which, firstly, on account of the stress compensation, has no surface form deviation and, secondly, on account of the limited total thickness of the layer subsystem with oxygen doping, can be controlled more easily with regard to the lateral course of the thickness factor. Furthermore, the reduction of the total thickness leads to a reduction of the costs and the production time, and also to an increase in the process stability during the production of such mirrors.
In one embodiment, the layer arrangement comprises at least one additional layer subsystem which consists of a periodic sequence of at least two periods of individual layers, wherein the periods comprise two individual layers composed of different materials for a high refractive index layer and a low refractive index layer. This at least one additional layer subsystem ensures the high reflectivity of the mirror for the EUV wavelength range.
In this case, the layer subsystems of the layer arrangement of the mirror according to the invention succeed one another directly and are not separated by a further layer system.
Furthermore, in the context of the present invention, a layer subsystem is distinguished from an adjacent layer subsystem, even given otherwise identical division of the periods between high and low refractive index layers, if a deviation by more than 0.1 nra is present as deviation in the thickness of the periods of the ad jacent layer subsystems since, starting from a difference of 0.1 nm, it is possible to assume a different optical effect of the layer subsystems with otherwise identical division of the periods between high and low refractive index layers.
The terms high refractive index and low refractive index are in this case, in the EUV wavelength range, relative terms with regard to the respective partner layer in a period of a layer subsystem. In the EUV wavelength range, layer subsystems generally function only if a layer that acts with optically high refractive index is combined with an optically lower refractive index layer relative thereto as main constituent of a period of the layer subsystem.
In another embodiment, the layer subsystem having oxygen doping has a total thickness of less than 200 nm. This fosters compliance with the thickness factor along the mirror surface. In a further embodiment, the layer subsystem having oxygen doping has a stress which.
depending on the molybdenum proportion, lies above a linear stress characteristic curve resulting from the comer point of -300 MPa given a molybdenum proportion of 0.3 and a gradient of 80 MPa per increase of 0.1 in the molybdenum proportion. Such layer subsystems having oxygen doping have more tensile stress than comparable layer subsystems without such a doping and are therefore better suited to the stress compensation of other layer subsystems. In the context of this application, the stress of a layer subsystem is always understood as a normalized stress that is normalized to 1 nm period thickness of the associated period.
In one embodiment, the total layer stress of the layer arrangement is less than 100 MPa, in particular less than 50 MPa, particularly preferably less than 20 MPa. Such a total layer stress makes it possible for the surface form of the mirror after coating has taken place to deviate from the surface form of the substrate prior to coating by less than 0.1 nm rms figure.
In another embodiment, the tensile stress of the further layer subsystem having oxygen doping is more than +240 MPa, in particular more than +400 MPa, particularly preferably more than
+600 MPa. Such a high tensile stress is expedient if compensating for the compressive stress of so-called narrowband coatings is involved. These are coatings which have high reflectivity values for the EUV wavelength range for angles of incidence of between 0° and approximately 10° and which are generally constructed from so-called "monostacks", i.e. a single layer subsystem for ensuring the high reflectivity. Said monostacks generally consist of many periods of layers having a molybdenum proportion of less than 0.4 and therefore have high compressive stresses.
In a further embodiment, the number of periods of the layer subsystem having oxygen doping is 50 or less. As a result, it is additionally possible to increase the process stability during the coating of mirrors for the EUV wavelength range by means of a reduced number of layers.
In one embodiment, layer subsystem having oxygen doping has a thickness of the periods of
5 nm or less, in particular of 3.5 nm or less. Such thin periods, even in the case of a high proportion of molybdenum, lead to thin molybdenum layers and therefore prevent the crystal growth in this layers. This makes it possible to produce layer subsystems having oxygen doping which have a low surface roughness and thus avoid stray light losses.
In another embodiment, the individual layers of the layer subsystem having oxygen doping consist of materials which are selected or made up as a compound from the group of materials: molybdenum, silicon, B4C, C, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride. The stress of such layer subsystems can be set in a targeted manner by means of a deliberate selection of the stated materials for the layers of the periods of the layer subsystem having oxygen doping.
In a further embodiment, the reflectivity of a mirror according to the invention given normal incidence is more than 60% and the number of periods of the layer subsystem having oxygen doping is more than 20, in particular more than 25. In the case of a mirror for perpendicular light incidence, i.e. an angle of incidence of 0°, that is expedient for the tensile stresses which are necessary for the stress compensation of the high compressive forces of such mirrors, generally embodied as a monostack , to be distributed among many periods of the layer subsystem having oxygen doping, since otherwise very thick molybdenum layers are required, which lead to stray light losses on account of increased surface roughness. In one embodiment, the average reflectivity given an angle of incidence interval of 4.8° or greater and given a maximum angle of incidence of 27.2° is more than 39.2%, wherein the number of periods of the further layer subsystem having oxygen doping is more than 15, in particular more than 20. Since broadband coatings, which are coatings having a reflectivity that is as uniform as possible over a relatively large angle of incidence interval even for high angles of incidence, generally warp a mirror to a lesser extent than narrowband coatings, it is expedient to limit the number of periods of the layer subsystem having oxygen doping in the case of these coatings. As a result, it is possible to further increase the process stability for the coating of such broadband mirrors. In another embodiment of a mirror according to the invention, the two individual layers of the at least one additional layer subsystem that form a period consist either of the materials
molybdenum (Mo) and silicon (Si) or of the materials ruthenium (Ru) and silicon (Si). It is thereby possible to achieve particularly high reflectivity values and at the same time to realize production engineering advantages since only two different materials are used for producing the layer subsystems of the layer arrangement of the mirror.
In this case, in a further embodiment, said individual layers are separated by at least one barrier layer, wherein the barrier layer consists of a material which is selected or made up as a compound from the group of materials: B4C, C, Si nitride, Si carbide, Si boride, Mo nitride, Mo carbide, Mo boride, Ru nitride, Ru carbide and Ru boride. Such a barrier layer suppresses the interdiffusion between the two individual layers of a period, thereby increasing the optical contrast in the transition of the two individual layers. With the use of the materials molybdenum and silicon for the two individual layers of a period, one barrier layer above the Si layer, as viewed from the substrate, suffices in order to provide for a sufficient contrast. The second barrier layer above the Mo layer can be dispensed with in this case. In this respect, at least one barrier layer for separating the two individual layers of a period should be provided, wherein the at least one barrier layer may perfectly well be constructed from various ones of the above- indicated materials or the compounds thereof and may in this case also exhibit a layered
construction of different materials or compounds.
Barrier layers which comprise the material B4C and have a thickness of between 0.35 nm and 0.8 nm, preferably between 0.4 nm and 0.6 nm, lead in practice to high reflectivity values of the layer arrangement. Particularly in the case of layer subsystems composed of ruthenium and silicon, barrier layers composed of B4C exhibit a maximum of reflectivity in the case of values of between 0.4 nm and 0.6 nm for the thickness of the barrier layer.
In a further embodiment, a mirror according to the invention comprises a covering layer system comprising at least one layer composed of a chemically inert material, which terminates the layer arrangement of the mirror toward the vacuum. The mirror is thereby protected against ambient influences. In one embodiment, the layer subsystem having oxygen doping is arranged between the substrate and the at least one additional layer subsystem. By means of a corresponding design of the at least one layer subsystem, it is thereby possible to ensure that the layer subsystem having oxygen doping contributes only to the stress compensation and not to the reflectivity of the mirror.
In another embodiment, the surface roughness of the layer terminating the layer arrangement is less than 0.2 nm rms HSFR, in particular less than 0.1 nm rms HSFR. It is thereby possible to avoid stray light losses. In a further embodiment, a mirror for the EUV wavelength range comprises a substrate and a layer arrangement, wherein the layer arrangement comprises a plurality of layer subsystems. In this case, in order to achieve a high and uniform reflectivity across a large angle of incidence interval, the number of periods for the layer subsystem that is most distant from the substrate must be greater than that for the layer subsystem that is second most distant from the substrate. Furthermore, in order to achieve a high and uniform reflectivity across a large angle of incidence interval, as an alternative or in addition to the measure mentioned above, the first high refractive index layer of the layer subsystem that is most distant from the substrate should directly succeed the last high refractive index layer of the layer subsystem that is second most distant from the substrate.
In one embodiment, the layer subsystems are in this case all constructed from the same materials for the high and low refractive index layers since this simplifies the production of mirrors.
A mirror for the EUV wavelength range in which the number of periods of the layer subsystem that is most distant fr m the substrate corresponds to a value of between 9 and 16, and a mirror for the EUV wavelength range in which the number of periods of the layer subsystem that is second most distant from the substrate corresponds to a value of between 2 and 12, leads to a limitation of the layers required in total for the mirror and thus to a reduction of the complexity and the risk during the production of the mirror.
In a further embodiment, the layer arrangement of a mirror according to the invention comprises at least three layer subsystems, wherein the number of periods of the layer subsystem that is situated closest to the substrate is greater than for the layer subsystem that is most distant from the substrate and/or is greater than for the layer subsystem that is second most distant from the substrate. It is possible to achieve particularly high reflectivity values for a layer arrangement in the case of a small number of layer subsystems if the period for the layer subsystem that is most distant from the substrate has a thickness of the high refractive index layer which amounts to more than 120% of the thickness, in particular more than double the thickness, of the high refractive index layer of the period for the layer subsystem that is second most distant from the substrate.
It is likewise possible to achieve particularly high reflectivity values for a layer arrangement in the case of a small number of layer subsystems in a further embodiment if the period for the layer subsystem that is most distant from the substrate has a thickness of the low refractive index layer which is less than 80%, in particular less than two thirds of the thickness of the low refractive index layer of the period for the layer subsystem that is second most distant from the substrate.
In a further embodiment, a mirror for the EUV wavelength range has, for the layer subsystem that is second most distant from the substrate, a thickness of the low refractive index layer of the period which is greater than 4 nm, in particular greater than 5 nm. As a result of this it is possible that the layer design can be adapted not only with regard to the reflectivity per se, but also with regard to the reflectivity of s-polarized light with respect to the reflectivity of p-polarized light over the angle of incidence interval striven for. Primarily for layer arrangements which consist of only two layer subsystems, the possibility is thus afforded of performing a polarization adaptation despite limited degrees of freedom as a result of the limited number of layer subsystems.
In another embodiment, a mirror for the EUV wavelength range has a thickness of the periods for the layer subsystem that is most distant from the substrate of between 7.2 nm and 7.7 nm. It is thereby possible to realize particularly high uniform reflectivity values for large angle of incidence intervals.
In another embodiment, the mirror according to the invention has a thickness factor of the layer arrangement along the mirror surface having values of between 0.9 and 1.05, in particular having values of between 0.933 and 1 .018. It is thereby possible for different locations of the mirror surface to be adapted in a more targeted fashion to different angles of incidence that occur there. In this case, the thickness factor is the factor with which all the thicknesses of the layers of a given layer design, in multiplied fashion, are realized at a location on the substrate. A thickness factor of 1 thus corresponds to the nominal layer design. The thickness factor as a further degree of freedom makes it possible for different locations of the mirror to be adapted in a more targeted fashion to different angle of incidence intervals that occur there, without the layer design of the mirror per se having to be changed, with the result that the mirror ultimately yields, for higher angle of incidence intervals across different locations on the mirror, higher reflectivity values than are permitted by the associated layer design per se given a fixed thickness factor of 1. By adapting the thickness factor, it is thus also possible, over and above ensuring high angles of incidence, to achieve a further reduction of the variation of the reflectivity of the mirror according to the invention over the angles of incidence.
In a further embodiment, the thickness factor of the layer arrangement at locations of the irror surface correlates with the maximum angle of incidence that occurs there, since, for a higher maximum angle of incidence, a higher thickness factor is useful for the adaptation.
Furthermore, the object of the present invention is achieved by means of a method for coating a mirror according to the invention for the EUV wavelength range, wherein an oxygen partial pressure of greater than I F- 9 mbar, in particular of greater than 1 E-7 mbar, is present for coating the layer subsystem with oxygen doping.
The tensile stress of the layer subsystem is increased by such an addition of oxygen, as has been recognized by the inventors. In one embodiment, the coating of the further layer subsystem with oxygen doping is performed by magnetron sputtering at a working gas pressure of at least 1E-4 mbar. On account of the high repetition accuracy of this process at higher rates compared with other methods such as e.g. ion beam sputtering or pulsed laser deposition, magnetron sputtering is suitable in particular for the industrial production of EUV layers.
Furthermore, the object of the invention is achieved by means of a projection lens comprising at least one mirror according to the invention.
Moreover, the object of the invention is achieved by means of a projection exposure apparatus according to the invention for microlithography comprising such a projection lens. Further features and advantages of the invention wiil become apparent from the following description of exemplary embodiments of the invention with reference to the figures, which show details essential to the invention, and from the claims. The individual features can be realized in each case individually by themselves or as a plurality in any desired combination in a variant of the invention.
Exemplary embodiments of the invention are explained in greater detail below with reference to the figures, in which: figure 1 shows a schematic illustration of a first mirror according to the invention; figure 2 shows a schematic illustration of a second mirror according to the invention; figure 3 shows a schematic illustration of a third mirror according to the invention; figure 4 shows a schematic illustration of a mirror according to the invention with an
explicit schematic illustration of a further layer subsystem (ASL) having oxygen doping; figure 5 shows a schematic illustration of a projection lens according to the invention for a projection exposure apparatus for microlithography; figure 6 shows a schematic illustration of the image field of the projection lens; figure 7 shows an exemplary illustration of the maximum angles of incidence and the interval lengths of the angle of incidence intervals against the distance of the locations of a mirror according to the invention with respect to the optical axis within a projection lens; figure 8 shows a schematic illustration of the optically used region on the substrate of a mirror according to the invention; figure 9 shows a schematic illustration of some reflectivity values against the angles of incidence of the first mirror according to the invention from figure 1 ;
Figure imgf000013_0001
Figure imgf000014_0001
Figure 3 shows a schematic illustration of a further mirror l c according to the invention for the EUV wavelength range comprising a substrate S and a layer arrangement. In this case, the layer arrangement comprises a plurality of layer subsystems P" and P' " each consisting of a periodic sequence of at least two periods P2 and P3 of individual layers, wherein the periods P2 and P3 comprise two individual layers composed of different materials for a high refractive index layer H" and H' " and a low refractive index layer L" and L' " and have within each layer subsystem P" and P" ' a constant thickness d2 and d3 that deviates from a thickness of the periods of an adjacent layer subsystem. In this case, in a fourth exemplary embodiment in accordance with the description concerning figures 15 and 16, the layer subsystem P" ' that is most distant from the substrate has a number N3 of periods P3 that is greater than the number N2 of periods P2 for the layer subsystem P" that is second most distant from the substrate. This fourth exemplary embodiment also comprises, as a variant with respect to the illustration of the mirror l c in figure 3 corresponding to mirror l a, the reversed order of the layers in the layer subsystem P" that is second most distant from the substrate S, such that this fourth exemplary embodiment also has the feature that the first high refractive index layer H' " of the layer subsystem P' " that is most distant from the substrate optically actively succeeds the last low refractive index layer L" of the layer subsystem P" that is second most distant from the substrate.
Particularly in the case of a small number of layer subsystems of, for example, just two layer subsystems it is found that high reflectivity values are obtained if the period P for the layer subsystem P" ' that is most distant from the substrate has a thickness of the high refractive index layer H' " which amounts to more than 120% of the thickness, in particular more than double the thickness, of the high refractive index layer H" of the period P2 for the layer subsystem P" that is second most distant from the substrate.
The layer subsystems of the layer arrangement of the mirrors according to the invention with respect to figures 1 to 4 succeed one another directly and are not separated by a further layer system. However, separation of the layer subsystems by an individual intermediate layer is conceivable for adapting the layer subsystems to one another or for optimizing the optical properties of the layer arrangement. This last does not apply, however, to the two layer subsystems P" and P'" of the first exemplary embodiment with respect to figure 1 and the fourth exemplary embodiment as a variant with respect to figure 3 since the desired optical effect would thereby be prevented by the reversal of the sequence of the layers in P". The layers designated by H, H\ H" and H'" in figures 1 to 4 are layers composed of materials which, in the EUV wavelength range, can be designated as high refractive index layers in comparison with the layers of the same layer subsystem which are designated as L, L\ L" and L" \ see the complex refractive indices of the materials in table 2. Conversely, the layers designated by L, L'. L" and L' " in figures 1 to 4 are layers composed of materials which, in the EUV wavelength range, can be designated as low refractive index layers in comparison with the layers of the same layer subsystem which are designated as H, H\ H" and H" \ Consequently, the terms high refractive index and low refractive index in the EUV wavelength range are relative terms with regard to the respective partner layer in a period of a layer subsystem. Layer subsystems function in the EUV wavelength range generally only if a layer that acts optically with a high refractive index is combined with a layer that optically has a lower refractive index relative thereto, as main constituent of a period of the layer subsystem. The material silicon is generally used for high refractive index layers. In combination with silicon, the materials molybdenum and ruthenium should be designated as low refractive index layers, see the complex refractive indices of the materials in table 2.
The layers LASL and HASL of the layer subsystem ASL having oxygen doping in figure 4 can be correspondingly low and high refractive index layers in the EUV wavelength range. However, this is not absolutely necessary, since the layers of the layer subsystem ASL are provided for the stress compensation of the layer arrangement and, consequently, do not necessarily contribute to the reflectivity of the layer arrangement.
In figures 1 to 4, a barrier layer B is in each case situated between the individual layers of a period, either composed of silicon and molybdenum or composed of silicon and ruthenium, said barrier layer consisting of a material which is selected or made up as a compound from the group of materials: B4C, C. Si nitride. Si carbide. Si boride. Mo nitride, Mo carbide, Mo boride. Ru nitride, Ru carbide and Ru boride. Such a barrier layer suppresses the interdiffusion between the two individual layers of a period, thereby increasing the optical contrast in the transition of the two individual layers. With the use of the materials molybdenum and silicon for the two individual layers of a period, one barrier layer above the silicon layer, as viewed from the substrate, suffices in order to provide for a sufficient contrast. The second barrier layer above the molybdenum layer can be dispensed with in this case. In this respect, at least one barrier layer for separating the two individual layers of a period should be provided, wherein the at least one barrier layer may perfectly well be constructed from various ones of the above-indicated materials or the compounds thereof and may in this case also exhibit a layered construction of
Figure imgf000017_0001
Figure imgf000018_0001
mask, which is not illustrated in the drawing for the sake of clarity, can be arranged at the location of the object field 3 in the object plane 5. For orientation purposes, figure 5 illustrates a system of Cartesian coordinates, the x-axis of which points into the plane of the figure. In this case, the x-y coordinate plane coincides with the object plane 5, the z-axis being perpendicular to the object plane 5 and pointing downward. The projection lens has an optical axis 9, which does not run through the object field 3. The mirrors 1 , 1 1 of the projection lens 2 have a design surface that is rotational ly symmetrical with respect to the optical axis. In this case, said design surface must not be confused with the physical surface of a finished mirror, since the latter surface is trimmed relative to the design surface in order to ensure passages of light past the mirror, in this exemplary embodiment, the aperture stop 13 is arranged on the second mirror 1 1 in the light path from the object plane 5 to the image plane 7. The effect of the projection lens 2 is illustrated with the aid of three rays, the principal ray 15 and the two aperture marginal rays 17 and 19, all of which originate in the center of the object field 3. The principal ray 15, which runs at an angle of 6° with respect to the perpendicular to the object plane, intersects the optical axis 9 in the plane of the aperture stop 13. As viewed from the object plane 5, the principal ray 15 appears to intersect the optical axis in the entrance pupil plane 21. This is indicated in figure 5 by the dashed extension of the principal ray 15 through the first mirror 1 1. Consequently, the virtual image of the aperture stop 13, the entrance pupil, lies in the entrance pupil plane 21 . The exit pupil of the projection lens could likewise be found with the same construction in the backward extension of the principal ray 15 proceeding from the image plane 7. However, in the image plane 7 the principal ray 15 is parallel to the optical axis 9, and from this it follows that the backward projection of these two rays produces a point of intersection at infinity in front of the projection lens 2 and the exit pupil of the projection lens 2 is thus at infinity. Therefore, this projection lens 2 is a so-called objective that is telecentric on the image side. The center of the object field 3 is at a distance R from the optical axis 9 and the center of the image field 7 is at a distance r from the optical axis 9, in order that no undesirable vignetting o the radiation emerging from the object field occurs in the case of the reflective configuration of the projection lens. F igure 6 shows a plan view o an arcuate image field 7a such as occurs in the projection lens 2 illustrated in figure 5, and a system of Cartesian coordinates, the axes of which correspond to those from figure 5. The image field 7a is a sector from an annulus, the center of which is given by the point of intersection of the optical axis 9 with the object plane. The average radius r is 34 mm in the case illustrated. The width of the field in the \ -direction d is 2 mm here. The central field point of the image field 7a is marked as a small circle within the image field 7a. As an alternative, a curved image field can also be delimited by two circle arcs which have the same radius and are displaced relative to one another in the y-direction. If the projection exposure apparatus is operated as a scanner, then the scanning direction runs in the direction of the shorter extent of the object field, that is to say in the direction of the y-direction.
Figure 7 shows an exemplary illustration of the maximum angles of incidence (rectangles) and of the interval lengths of the angle of incidence intervals (circles) in the unit degrees [°] against different radii or distances between the locations of the mirror surface and the optical axis, indicated in the unit [mm], of the penultimate mirror 1 in the light path from the object plane 5 to the image plane 7 of the projection lens 2 from figure 5. Said mirror 1 , in the case of a projection lens 2 for microlithography which has six mirrors 1. 1 1 for the EUV wavelength range, is generally that mirror which has to ensure the largest angles of incidence and the largest angle of incidence intervals or the greatest variation of angles of incidence. In the context of this application, the interval length of an angle of incidence interval as a measure of the variation of angles of incidence is understood to be the number of angular degrees of that angular range in degrees between the maximum and minimum angles of incidence which the coating of the mirror has to ensure for a given distance from the optical axis on account of the requirements of the optical design. The angle of incidence interval will also be abbreviated to AOI interval. The optical data of the projection lens in accordance with table 1 are applicable in the case of the mirror 1 taken as a basis in figure 7. In this case, the aspheres of the mirrors 1 , 1 1 of the optical design are specified as rotational !y symmetrical surfaces by means of the perpendicular distance Z(h) of an asphere point relative to the tangential plane in the asphere vertex as a function of the perpendicular distance h of the asphere point with respect to the normal in the asphere vertex in accordance with the following asphere equation:
Z(h) = ( rho * h2 ) / ( 1 + [ 1 - ( 1 + k ) * ( rho * h )2 ]0 5 ) +
+ c, * h4 + c2 * h6 + c3 * h8 + c * h'n + c5 * h12 + c6 * h14 with the radius R =l/rho of the mirror and the parameters kv, C i , c2. c3, c , C5, and Q, in the unit [mm]. In this case, said parameters cn are normalized with regard to the unit [mm] in accordance with [ l/mm2n+2] in such a way as to result in the asphere Z(h) as a function of the distance h also in the unit [mm].
Figure imgf000021_0001
c4 = 5.40777151247246E-27
c5 = -1.16662974927332E-31 c6 = 4.19572235940121E-37
Mirror 1 378.800274177878 285.840721874570
ky = O.OOOOOOOOOOOOOOE+OO ci = 9.27754883183223 E-09 c2 = 5.96362556484499E-13 c3 = 1.56339572303953E-17 c4 = -1 .41 168321383233Ε-2 Ϊ c5 = 5.98677250336455E-25 c(, = -6.30124060830317E-29
5th Mirror 1 1 -367.938526548613 325.746354374172
ky = 1.07407597789597E-01 c, = 3.87917960004046E-1 1 c2 = -3.43420257078373E-17 c3 = 2.26996395088275E-21 c4 = -2.71360350994977E-25 c5 = 9.23791 176750829E-30 c6 = -l .37746833100643E-34
Image plane 7 Infinity
Table 1 : Data of the optical design regarding the angles of incidence of the mirror 1 in figure 7 in accordance with the schematic illustration of the design on the basis of figure 5.
It can be discerned from figure 7 that maximum angles of incidence of 24° and interval lengths of 1 1 ° occur at different locations of the mirror 1. Consequently, the layer arrangement of the mirror 1 has to yield high and uniform reflectivity values at these different locations for different angles of incidence and different angle of incidence intervals, since otherwise a high total transmission and an acceptable pupil apodization of the projection lens 2 cannot be ensured.
The so-called PV value is used as a measure of the variation of the reflectivity of a mirror over the angles of incidence. In this case, the PV value is defined as the difference between the maximum reflectivity Rma and the minimum reflectivity Rmm in the angle of incidence interval under consideration divided by the average reflectivity Rav-rage n the angle of incidence interval under consideration. Consequently. PV = (Rma - Rmin) / Raverage holds true. In this case, it should be taken into consideration that high PV values for a mirror 1 of the projection lens 2 as penultimate mirror before the image plane 7 in accordance with figure 5 and the design in table 1 lead to high values for the pupil apodization. In this case, there is a correlation between the PV value of the mirror 1 and the imaging aberration of the pupil apodization of the projection lens 2 for high PV values of greater than 0.25 since, starting from this value, the PV value dominates the pupil apodization relative to other causes of aberration.
In figure 7, a bar 23 is used to mark by way of example a specific radius or a specific distance of the locations of the mirror 1 having the associated maximum angle of incidence of
approximately 21 ° and the associated interval length of 1 1 ° with respect to the optical axis. Said marked radius correspond in figure 8, described below, to the locations on the circle 23a - illustrated in dashed fashion - within the hatched region 20, which represents the optically used region 20 of the mirror 1. Figure 8 shows the substrate S of the penultimate mirror 1 in the light path from the object plane 5 to the image plane 7 of the projection lens 2 from figure 5 as a circle centered with respect to the optical axis 9 in plan view. In this case, the optical axis 9 of the projection lens 2 corresponds to the axis 9 of symmetry of the substrate. Furthermore, in figure 8, the optically used region 20 of the mirror 1 , said region being offset with respect to the optical axis, is depicted in hatched fashion and a circle 23a is depicted in dashed fashion.
In this case, the part of the dashed circle 23a within the optically used region corresponds to the locations of the mirror 1 which are identified by the depicted bar 23 in figure 7. Consequently, the layer arrangement of the mirror 1 along the partial region of the dashed circle 23 a within the optically used region 20, in accordance with the data from figure 7, has to ensure high reflectivity values both for a maximum angle of incidence of 21 ° and for a minimum angle of incidence of approximately 10°. In this case, the minimum angle of incidence of approximately 10° results from the maximum angle of incidence of 21 ° from figure 7 on account of the interval length of 1 1 °. The locations on the dashed circle at which the two abovementioned extreme values of the angles of incidence occur are emphasized in figure 8 by the tip of the arrow 26 for the angle of incidence of 10° and by the tip of the arrow 25 for the angle of incidence of 21 °.
Since a layer arrangement cannot be varied locally over the locations of a substrate S without high technological outlay and layer arrangements are generally applied rotational ly
Figure imgf000024_0002
Figure imgf000024_0001
Figure imgf000025_0001
Figure imgf000026_0001
Substrate /.../ (4.132 Mo 2.78 Si) * 6 / (3.609 Si 3.142 Mo) * 16 / 2.027 Si 2 Mo 1 .5 Ru
It should be recognized from this fourth exemplary embodiment that the order of the high refractive index layer Si and the low refractive index layer Mo in the layer subsystem P", comprising six periods, has been reversed relative to the other layer subsystem P' " having 16 periods, such that the first high refractive index layer of the layer subsystem P' * ' that is most distant from the substrate, with a thickness of 3.609 nm, directly succeeds the last high refractive index layer of the layer subsystem P" that is second most distant from the substrate, with a thickness of 2.78 nm.
This fourth exemplary embodiment is therefore a variant of the third exemplary embodiment in which the order of the high and low refractive index layers in the layer subsystem P" that is second most distant from the substrate has been reversed according to the first exemplary embodiment with respect to figure 1.
Figure 9 shows reflectivity values for unpolarized radiation in the unit [%] of the first exemplary embodiment of a mirror 1 a according to the invention in accordance with figure 1 plotted against the angle of incidence in the unit [°J. In this case, the first layer subsystem P' of the layer arrangement of the mirror l a consists of Ni = 8 periods Pi, wherein the period ] consists of 2.921 nm Si as high refractive index layer and 4.931 nm Mo as low refractive index layer, and also of two barrier layers each comprising 0.4 nm B4C. The period Pi consequently has a thickness di of 8.652 nm. The second layer subsystem P" of the layer arrangement of the mirror l a having the reversed order of the layers Mo and Si consists of N2 = 5 periods P2, wherein the period P2 consists of 2.91 1 nm Si as high refractive index layer and 4.145 nm Mo as low refractive index layer, and also of two barrier layers each comprising 0.4 nm B4C. The period P2 consequently has a thickness d2 of 7.856 nm. The third layer subsystem P" ' of the layer arrangement of the mirror l consists of Ί = 16 periods P3, wherein the period P3 consists of 3.509 nm Si as high refractive index layer and 3.216 nm Mo as low refractive index layer, and also of two barrier layers each comprising 0.4 nm B4C. The period P3 consequently has a thickness da of 7.525 nm. The layer arrangement of the mirror l a is terminated by a covering layer system C consisting of 2.975 nm Si, 0.4 nm B4C, 2 nm Mo and 1.5 nm Ru in the order specified. Consequently, the layer subsystem P' " that is most distant from the substrate has a number N3 of periods P3 that is greater than the number N2 of periods P2 for the layer subsystem P" that is second most distant from the substrate and the first high refractive index layer H" ' of the layer subsystem P' " that is most distant from the substrate directly succeeds the last high refractive index layer H" of the layer subsystem P" that is second most distant from the substrate. The reflectivity values of this nominal layer design with the thickness factor 1 in the unit [%] at a wavelength of 13.5 nm are illustrated as a solid line against the angle of incidence in the unit [°] in figure 9. Moreover, the average reflectivity of this nominal layer design for the angle of incidence interval of 14.1 ° to 25.7° is depicted as a solid horizontal bar. Furthermore, figure 9 correspondingly specifies, at a wavelength of 13.5 nm and given a thickness factor of 0.933, as a dashed line the reflectivity values against the angles of incidence and as a dashed bar the average reflectivity of the above-specified layer design for the angle of incidence interval of 2.5° to 7.3°. Consequently, the thicknesses of the periods of the layer arrangement with respect to the reflectivity values illustrated as a dashed line in figure 9 amount to only 93.3% of the
corresponding thicknesses of the periods of the nominal layer design. In other words, the layer arrangement is thinner than the nominal layer design by 6.7% at the mirror surface of the mirror l a at the locations at which angles of incidence of between 2.5° and 7.3° have to be ensured.
Figure 10 shows, at a wavelength of 13.5 nm and given a thickness factor of 1.018, in a manner corresponding to figure 9, as a thin line the reflectivity values against the angles of incidence and as a thin bar the average reflectivity of the above-specified layer design for the angle of
incidence interval of 17.8° to 27.2°, and also, given a thickness factor of 0.972, in a
corresponding manner, as a thick line the reflectivity values against the angles of incidence and as a thick bar the average reflectivity of the above-specified layer design for the angle of incidence interval of 8.7° to 21.4°. Consequently, the layer arrangement is thicker than the nominal layer design by 1.8% at the mirror surface of the mirror l a at the locations at which angles of incidence of between 17.8° and 27.2° have to be ensured and is correspondingly thinner than the nominal layer design by 2.8% at the locations at which angles of incidence of between 8.7° and 21.4° have to be ensured. The average reflectivity and PV values which can be achieved by means of the layer
arrangement with respect to figure 9 and figure 10 are compiled relative to the angle of incidence intervals and the thickness factors in table 3. It can be discerned that the mirror l comprising the layer arrangement specified above, at a wavelength of 13.5 nm for angles of incidence of between 2.5° and 27.2°, has an average reflectivity of more than 43% and a variation of the reflectivity as PV value of less than or equal to 0.21.
Figure imgf000029_0001
Figure imgf000030_0001
Figure imgf000031_0001
gure 12 re at ve to the angle of inc dence nterval in degrees an t e t c ness actor chosen. Figure 13 shows reflectivity values for unpolarized radiation in the unit [%] of the third exemplary embodiment of a mirror lc according to the invention in accordance with figure 3 plotted against the angle of incidence in the unit [°]. In this case, the layer subsystem P" of the layer arrangement of the mirror l c consists of N2 = 27 periods P2, wherein the period P consists of 1.678 nm Si as high refractive index layer and 5.665 nm Mo as low refractive index layer, and also of two barrier layers each comprising 0.4 nm B iC. The period P2 consequently has a thickness d2 of 8.143 nm. The layer subsystem P'" of the layer arrangement of the mirror l c consists of N3 = 14 periods P3, wherein the period P3 consists of 3.798 nm Si as high refractive index layer and 2.855 nm Mo as low refractive index layer, and also of two barrier layers each comprising 0.4 nm B4C. Consequently, the period P3 has a thickness d3 of 7.453 nm. The layer arrangement of the mirror l c is terminated by a covering layer system C consisting of 1.499 nm Si, 0.4 nm B4C, 2 nm Mo and 1.5 nm Ru in the order specified. Consequently, the layer subsystem P' " that is most distant from the substrate has a thickness of the high refractive index layer H' " that amounts to more than double the thickness of the high refractive index layer H" of the layer subsystem P" that is second most distant from the substrate.
The reflectivity values of this nominal layer design with the thickness factor 1 in the unit [%] at a wavelength of 13.5 nm are illustrated as a solid line against the angle of incidence in the unit [°] in figure 13. Moreover, the average reflectivity of this nominal layer design for the angle of incidence interval of 14.1 ° to 25.7° is depicted as a solid horizontal bar. Furthermore, figure 13 correspondingly specifies, at a wavelength of 1 3.5 nm and given a thickness factor of 0.933, as a dashed line the reflectivity values against the angles of incidence and as a dashed bar the average reflectivity of the above-specified layer design for the angle of incidence interval of 2.5° to 7.3°. Consequently, the thicknesses of the periods of the layer arrangement with respect to the reflectivity values illustrated as a dashed line in figure 13 amount to only 93.3% of the corresponding thicknesses of the periods of the nominal layer design. In other words, the layer arrangement is thinner than the nominal layer design by 6.7%» at the mirror surface of the mirror l c at the locations at which angles of incidence of between 2.5° and 7.3° have to be ensured. Figure 14 shows in a manner corresponding to figure 13, at a wavelength of 13,5 nm and given a thickness factor of 1 .018, as a thin line the reflectivity values against the angles of incidence and as a thin bar the average reflect ivity of the above-specified layer design for the angle of incidence interval of 17.8° to 27.2°, and also, given a thickness factor of 0.972, in a
corresponding manner, as a thick line the reflectivity values against the angles of incidence and as a thick bar the average reflectivity of the above-specified layer design for the angle of incidence interval of 8.7° to 21.4°. Consequently, the layer arrangement is thicker than the nominal layer design by 1.8% at the mirror surface of the mirror ! c at the locations at which angles of incidence of between 17.8° and 27.2° have to be ensured and is correspondingly thinner than the nominal layer design by 2.8% at the locations at which angles of incidence of between 8.7° and 21 .4° have to be ensured.
The average reflectivity and PV values which can be achieved by means of the layer
arrangement with respect to figure 13 and figure 14 are compiled relative to the angle of incidence intervals and the thickness factors in table 5. it can be discerned that the irror l c comprising the layer arrangement specified above, at a wavelength of 13.5 nm for angles of incidence of between 2.5° and 27.2°, has an average reflectivity of more than 39% and a variation of the reflectivity as PV value of less than or equal to 0.22.
Figure imgf000032_0001
Table 5: Average reflectivity and PV values of the layer design with respect to figure 13 and figure 14 relative to the angle of incidence interval in degrees and the thickness factor chosen.
Figure 15 shows reflectivity values for unpolarized radiation in the unit [%] of the fourth exemplary embodiment of a mirror according to the invention as a variant of the mirror l c in which the order of the layers in the layer subsystem P" has been reversed, plotted against the angle of incidence in the unit [°J. In this case, the layer subsystem P" of the layer arrangement of the mirror consists of N = 6 periods P2, wherein the period P2 consists of 2.78 nm Si as high refractive index layer and 4. 132 nm Mo as low refractive index layer, and also of two barrier layers each comprising 0.4 nm B4C. The period P2 consequently has a thickness d2 of 7.712 nm.
The layer subsystem P' " of the layer arrangement of the mirror consists of N? = 16 periods P3, wherein the period P3 consists of 3.608 nm Si as high refractive index layer and 3.142 nm Mo as low refractive index layer, and also of two barrier layers each comprising 0.4 nm B4C. The period P3 consequently has a thickness di of 7.55 nm. The layer arrangement of the mirror is terminated by a covering layer system C consisting of 2.027 nm Si, 0.4 nm B4C, 2 nm Mo and 1.5 nm Ru in the order specified. Consequently, the layer subsystem P' " that is most distant from the substrate has a thickness of the high refractive index layer H' " which amounts to more than 120 % of the thickness of the high refractive index layer I ' of the layer subsystem P' ' that is second most distant from the substrate. Furthermore, the layer subsystem P' " that is most distant from the substrate has a number N3 of periods P3 that is greater than the number N2 of periods P2 for the layer subsystem P" that is second most distant from the substrate, and the first high refractive index layer HP " of the layer subsystem P' " that is most distant from the substrate directly succeeds the last high refractive index layer H" of the layer subsystem P" that is second most distant from the substrate.
The reflectivity values of this nominal layer design with the thickness factor 1 in the unit [%] at a wavelength of 13.5 nm are illustrated as a solid line against the angle of incidence in the unit [°] in figure 15. Moreover, the average reflectivity of this nominal layer design for the angle of incidence interval of 14.1 ° to 25.7° is depicted as a solid horizontal bar. Furthermore, figure 15 correspondingly specifies, at a wavelength of 13.5 nm and given a thickness factor of 0.933. as a dashed line the reflectivity values against the angles of incidence and as a dashed bar the average reflectivity of the above-specified layer design for the angle of incidence interval of 2.5° to 7.3°. Consequently, the thicknesses of the periods of the layer arrangement with respect to the reflectivity values illustrated as a dashed line in figure 15 amount to only 93.3% of the corresponding thicknesses of the periods of the nominal layer design. In other words, the layer arrangement is thinner than the nominal layer design by 6.7% at the mirror surface of the mirror according to the invention at the locations at which angles of incidence of between 2.5° and 7.3° have to be ensured.
Figure 16 shows, at a wavelength of 13.5 nm and given a thickness factor of 1.018, in a manner corresponding to figure 15, as a thin line the reflectivity values against the angles of incidence and as a thin bar the average reflectivity of the above-specified layer design for the angle of incidence interval of 17.8° to 27.2°, and also, given a thickness factor of 0.972, in a corresponding manner, as a thick line the reflectivity values against the angles of incidence and as a thick bar the average reflectivity of the above-specified layer design for the angle of incidence interval of 8.7° to 21.4°. Consequently, the layer arrangement is thicker than the nominal layer design by 1 .8% at the mirror surface of this mirror according to the invention at the locations at which angles of incidence of between 17.8° and 27.2° have to be ensured and is correspondingly thinner than the nominal layer design by 2.8% at the locations at which angles of incidence of between 8.7° and 21.4° have to be ensured.
The average reflectivity and PV values which can be achieved by means of the layer
arrangement with respect to figure 15 and figure 16 are compiled relative to the angle of incidence intervals and the thickness factors in table 6. It can be discerned that the mirror according to the invention comprising the layer arrangement specified above, at a wavelength of 13.5 nm for angles of incidence of between 2.5° and 27.2°, has an average reflectivity of more than 42% and a variation of the reflectivity as PV value of less than or equal to 0.24.
Figure imgf000034_0001
Table 6: Average reflectivity and PV values of the layer design with respect to figure 15 and figure 16 relative to the angle of incidence interval in degrees and the thickness factor chosen. In all four exemplary embodiments shown in accordance with figures 1 to 3, it is also possible to use layer subsystems ASL having oxygen doping for stress compensation between the layer subsystems in figures 1 to 3 and the substrate S, which themselves do not have high reflectivities for the EUV wavelength range on account of a high molybdenum proportion required for the stress compensation or on account of a different material selection for the layer subsystem ASL.
By way of example, in the case of the layer design used with respect to figures 1 1 and 12 as second exemplary embodiment in accordance with figure 2 with the layer sequence:
Figure imgf000035_0001
samples 2 to 5, a different oxygen partial pressure of between 1 * 10"7 mbar and 1 * 10-3 mbar was set by adding oxygen into the coating apparatus. The different layer subsystem ASL of samples 2 to 5 are thereby doped with oxygen between 10 ppb and 0.1 %, in particular between 50 ppb and 50 ppm. By contrast, the layer subsystem ASL of sample 1 was coated at a normal residual gas pressure of a coating apparatus in accordance with an oxygen partial pressure of less than 10"9 mbar. Therefore, this layer system ASL of sample 1 is regarded as a known layer system from the prior art since the period thicknesses and the molybdenum proportion of this layer system do not differ from known layer systems ASL for stress compensation. In this case, all samples 1 to 5 were coated by magnetron sputtering at a working pressure of 4* 10"4 mbar of the working gas krypton.
The total layer stress of the layer arrangement as specified in table 7 and the reflectivity were measured after the coating of each individual sample. The specified tensile stress of the layer subsystem ASL results from this measured total layer stress, taking account of the theoretical compressive stress of the respective layer subsystem P" \ In this case, all of the specified stresses are normalized to 1 nm period thickness of the respective period for comparison. On the basis of this calculated tensile stress of the layer subsystem ASL in table 7 it can clearly be discerned that in the case of an inherently identical layer subsystem ASL having the same number of periods and the same layer sequence, as a result of the addition of oxygen during the coating, on account of the doping taking place in this case, the respective tensile stress is established within the layer subsystem ASL. Consequently, the tensile stress can be increased by oxygen doping within the further layer subsystem ASL in such a way that a virtually stress-free layer arrangement already results in the case of a total thickness DASL f the layer subsystem of less than 200 nm. Without such a doping, approximately 70-80 periods of the specified layer subsystem ASL with a total thickness of 245-280 nm are necessary for this purpose. Besides reducing the number of periods or the total thickness of the further layer subsystem ASL, it is also possible, therefore, for the roughness of the further layer subsystem to be reduced on account of thin or fewer periods, such that the surface roughness of the layer terminating the layer arrangement is less than 0.2 nm rms HSFR, in particular less than 0.1 nm rms HSFR. In this case, the thickness of the periods of the layer subsystem ASL can be limited to 5 nm or less, in particular to 3.5 nm or less, as a result of which thick and thus rough molybdenum layers are avoided. The tensile stress of the layer subsystem ASL having the 50 periods specified can be increased by the doping from a slight compressive stress of sample 1 at -12 MPa to tensile stresses of +241 MPa in the case of sample 2 through to 760 MPa in the case of sample 5.
Accordingly, it is possible to produce layer subsystem ASL having oxygen doping whose
Figure imgf000037_0002
Figure imgf000037_0001
these layers is more than 10 ppb and less than 0.1 %, in particular more than 50 ppb and less than 50 ppm.

Claims

Figure imgf000039_0001
Figure imgf000040_0001
boride.
13. The mirror (l a; l b; l c; I d) for the EUV wavelength range as claimed in claim 2, wherein the layer subsystem (ASL) having oxygen doping is arranged between the substrate and the at least one layer subsystem (P\ P", P' ").
14. The mirror (l a; lb; l c; I d) for the EUV wavelength range as claimed in claim 1 or 2, wherein the surface roughness of the layer terminating the layer arrangement is less than 0.2 nm rms HSFR, in particular less than 0.1 nm rms HSFR.
1 . A method for coating a mirror (l a; l b; l c; I d) for the EUV wavelength range as claimed in any of the preceding claims, wherein an oxygen partial pressure of greater than
10"9 mbar, in particular of greater than 10"7 mbar, is present during the coating of the layer subsystem (ASL) with oxygen doping.
16. The method for coating a mirror (l a; lb; 1 c; I d) for the EUV wavelength range as
claimed in claim 15, wherein the coating of the layer subsystem (ASL) with oxygen doping is performed by magnetron sputtering at a working gas pressure of at least
1 0_} mbar.
17. A projection lens for microiithography comprising a mirror (l a; l b; l c; I d) as claimed in any of claims 1 to 14, and also a mirror (l ; l b; l c; I d) produced by a method as claimed in claims 55 to 16.
18. A projection exposure apparatus for microiithography comprising a projection lens as claimed in claim 17.
PCT/EP2012/050573 2011-01-31 2012-01-16 Mirror for the euv wavelength range, method for producing such a mirror, projection lens for microlithography comprising such a mirror, and projection exposure apparatus for microlithography comprising such a projection lens WO2012104136A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161437775P 2011-01-31 2011-01-31
DE201110003357 DE102011003357A1 (en) 2011-01-31 2011-01-31 Mirror for the EUV wavelength range, production method for such a mirror, projection objective for microlithography with such a mirror and microlithography projection exposure apparatus with such a projection objective
DE102011003357.2 2011-01-31
US61/437,775 2011-01-31

Publications (1)

Publication Number Publication Date
WO2012104136A1 true WO2012104136A1 (en) 2012-08-09

Family

ID=46511229

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2012/050573 WO2012104136A1 (en) 2011-01-31 2012-01-16 Mirror for the euv wavelength range, method for producing such a mirror, projection lens for microlithography comprising such a mirror, and projection exposure apparatus for microlithography comprising such a projection lens

Country Status (2)

Country Link
DE (1) DE102011003357A1 (en)
WO (1) WO2012104136A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9696632B2 (en) 2012-03-08 2017-07-04 Carl Zeiss Smt Gmbh Mirror for the EUV wavelength range, method for producing such a mirror, and projection exposure apparatus comprising such a mirror
JP2017521712A (en) * 2014-07-11 2017-08-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Extreme ultraviolet reflection element having an amorphous layer and method for producing the same
US9773578B2 (en) 2013-02-15 2017-09-26 Asml Netherlands B.V. Radiation source-collector and method for manufacture
US10331048B2 (en) 2015-07-15 2019-06-25 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus
US10423073B2 (en) 2014-01-30 2019-09-24 Carl Zeiss Smt Gmbh Method for producing a mirror element
CN110908025A (en) * 2019-12-16 2020-03-24 宁波长阳科技股份有限公司 Reflective film and method for producing same
US10598921B2 (en) 2015-12-16 2020-03-24 Carl Zeiss Smt Gmbh Mirror element, in particular for a microlithographic projection exposure apparatus

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10155711A1 (en) 2001-11-09 2003-05-22 Fraunhofer Ges Forschung Mirror for the EUV spectral region used in X-ray techniques comprises a layer arrangement having a number of partial layer systems applied on a substrate
US7203275B2 (en) 2003-06-02 2007-04-10 Nikon Corporation Multilayer film reflector and X-ray exposure system

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100630728B1 (en) * 2004-12-29 2006-10-02 삼성전자주식회사 Reflection photomask, fabricating method of the same
DE102009017095A1 (en) * 2009-04-15 2010-10-28 Carl Zeiss Smt Ag Mirror for the EUV wavelength range, projection objective for microlithography with such a mirror and projection exposure apparatus for microlithography with such a projection objective
DE102009032779A1 (en) * 2009-07-10 2011-01-13 Carl Zeiss Smt Ag Mirror for the EUV wavelength range, projection objective for microlithography with such a mirror and projection exposure apparatus for microlithography with such a projection objective
DE102009054653A1 (en) * 2009-12-15 2011-06-16 Carl Zeiss Smt Gmbh Mirror for the EUV wavelength range, substrate for such a mirror, use of a quartz layer for such a substrate, projection lens for microlithography with such a mirror or such a substrate and Projektionsichtung for microlithography with such a projection lens

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10155711A1 (en) 2001-11-09 2003-05-22 Fraunhofer Ges Forschung Mirror for the EUV spectral region used in X-ray techniques comprises a layer arrangement having a number of partial layer systems applied on a substrate
US7203275B2 (en) 2003-06-02 2007-04-10 Nikon Corporation Multilayer film reflector and X-ray exposure system

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
MASAYUKI SHIRAISHI: "Effect of argon and non-argon ion impingement on the stress reduction of multilayers for extreme-ultraviolet lithography", PROCEEDINGS OF SPIE, vol. 4688, 1 January 2002 (2002-01-01), pages 516 - 526, XP055021266, ISSN: 0277-786X, DOI: 10.1117/12.472329 *
SASA BAJT ET AL: "Improved reflectance and stability of Mo-Si multilayers", OPTICAL ENGINEERING, vol. 41, no. 8, 1 January 2002 (2002-01-01), pages 1797, XP055022439, ISSN: 0091-3286, DOI: 10.1117/1.1489426 *
U. DINGER ET AL.: "Mirror substrates for EUV-lithography: progress in metrology and optical fabrication technology", PROC. SPIE, vol. 4146, 2000, XP009003969, DOI: doi:10.1117/12.406674
WU BANQIU ET AL: "Extreme ultraviolet lithography: A review", JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AVS / AIP, MELVILLE, NEW YORK, NY, US, vol. 25, no. 6, 11 October 2007 (2007-10-11), pages 1743 - 1761, XP012105364, ISSN: 1071-1023, DOI: 10.1116/1.2794048 *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9696632B2 (en) 2012-03-08 2017-07-04 Carl Zeiss Smt Gmbh Mirror for the EUV wavelength range, method for producing such a mirror, and projection exposure apparatus comprising such a mirror
US9773578B2 (en) 2013-02-15 2017-09-26 Asml Netherlands B.V. Radiation source-collector and method for manufacture
US10423073B2 (en) 2014-01-30 2019-09-24 Carl Zeiss Smt Gmbh Method for producing a mirror element
JP2017521712A (en) * 2014-07-11 2017-08-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Extreme ultraviolet reflection element having an amorphous layer and method for producing the same
US10331048B2 (en) 2015-07-15 2019-06-25 Carl Zeiss Smt Gmbh Mirror, in particular for a microlithographic projection exposure apparatus
US10598921B2 (en) 2015-12-16 2020-03-24 Carl Zeiss Smt Gmbh Mirror element, in particular for a microlithographic projection exposure apparatus
CN110908025A (en) * 2019-12-16 2020-03-24 宁波长阳科技股份有限公司 Reflective film and method for producing same

Also Published As

Publication number Publication date
DE102011003357A1 (en) 2012-08-02

Similar Documents

Publication Publication Date Title
EP2513685B1 (en) Mirror for the euv wavelength range, projection objective for microlithography comprising such a mirror, and projection exposure apparatus for microlithography comprising such a projection objective
WO2012104136A1 (en) Mirror for the euv wavelength range, method for producing such a mirror, projection lens for microlithography comprising such a mirror, and projection exposure apparatus for microlithography comprising such a projection lens
KR101679893B1 (en) Mirror for the euv wavelength range, projection objective for microlithography comprising such a mirror, and projection exposure apparatus for microlithography comprising such a projection objective
US9575224B2 (en) Mirror, projection objective with such mirror, and projection exposure apparatus for microlithography with such projection objective
EP2513686B1 (en) Reflective optical element for euv lithography
CN100559551C (en) The method for making of multi-layer mirror and method for making, optical system, exposure device and element
US7599112B2 (en) Multilayer-film mirrors, lithography systems comprising same, and methods for manufacturing same
JP4320970B2 (en) Manufacturing method of multilayer mirror
US20120212810A1 (en) Mirror for the euv wavelength range, projection objective for microlithography cromprising such a mirror, and projection exposure apparatus for microlithography comprising such a projection objective
JP2016525720A (en) Projection optical unit for imaging an object field in an image field and a projection exposure apparatus comprising such a projection optical unit
US8848167B2 (en) Optical element for UV or EUV lithography with coatings having optimized stress and thickness
US10061204B2 (en) Mirror, in particular for a microlithographic projection exposure apparatus
EP2513721B1 (en) Reflective mask for euv lithography
KR101656534B1 (en) Projection objective for microlithography
US10310382B2 (en) Mirror, in particular for a microlithographic projection exposure apparatus
JP2007140105A (en) Multilayer film reflection mirror and exposure device
JP2007059743A (en) Multilayer film reflector and aligner
US9036772B2 (en) Mirror for the EUV wavelength range, projection objective for microlithography comprising such a mirror, and projection exposure apparatus for microlithography comprising such a projection objective
JP2007140146A (en) Multilayer film reflection mirror and exposure device
JP2007093404A (en) Multilayer film reflecting mirror and reduced-projection exposure apparatus

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12701099

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12701099

Country of ref document: EP

Kind code of ref document: A1