WO2011161024A1 - Metrology system - Google Patents

Metrology system Download PDF

Info

Publication number
WO2011161024A1
WO2011161024A1 PCT/EP2011/060155 EP2011060155W WO2011161024A1 WO 2011161024 A1 WO2011161024 A1 WO 2011161024A1 EP 2011060155 W EP2011060155 W EP 2011060155W WO 2011161024 A1 WO2011161024 A1 WO 2011161024A1
Authority
WO
WIPO (PCT)
Prior art keywords
illumination
metrology system
object field
imaging
light
Prior art date
Application number
PCT/EP2011/060155
Other languages
French (fr)
Inventor
Heiko Feldmann
Original Assignee
Carl Zeiss Smt Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss Smt Gmbh filed Critical Carl Zeiss Smt Gmbh
Publication of WO2011161024A1 publication Critical patent/WO2011161024A1/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • G01B11/25Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures by projecting a pattern, e.g. one or more lines, moiré fringes on the object
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/30Measuring arrangements characterised by the use of optical techniques for measuring roughness or irregularity of surfaces
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks

Definitions

  • the invention relates to a metrology system for investigating and/or measuring objects with an EUV light source for illuminating the object field with illumination and imaging light.
  • An object of the present invention is to develop a metrology system of the type mentioned at the outset in such a way that herewith even a detection of poorly formed and/or tiny defects, in particular flat defect elevations in the range of a few nm, is reliably possible.
  • a structure generator for generating a structured illumination of the object field leads to a significant improvement of a defect resolution capacity of the metrology system.
  • the structuring of the illumination may take place regularly, for example in the form of a line pattern or matrix pattern, but also irregularly.
  • the objects to be investigated are, in particular, reflective objects, for example as yet unstructured blanks of reflective reticles, which are used in EUV lithography after a structuring. Blanks of this type are also known as "EUV mask blanks". For the investigation with the metrology system, blanks of this type carry neither an absorber layer nor a resist layer. Using the metrology system, volume defects within the blank can also, in particular, be investigated, for example defects within a multi-layer reflection layer of the blank. The investigation of structured objects is also possible. Using a structure generator according to claim 2, if, for example a laser source is used as the EUV light source, a structure can be produced on a blank, as the object to be investigated, by interference of plane waves.
  • a configuration of the structure generator as a component of the light source may, for example, be achieved in that a structuring of the illumination and imaging light is already brought about during the production of the illumination and imaging light in the light source.
  • a light structuring optical system makes possible a targeted structuring of the illumination of the object field by structuring the produced illumination and imaging light.
  • An intensity pattern according to claim 4 may be configured in the form of illumination regions that are spatially separated from one another in the object field, for example as light/dark patterns, for example as line patterns.
  • An amplitude pattern of the illumination can, for example, also be provided by interference.
  • a phase pattern according to claim 5 may, for example, be designed as a linear pattern with strips of illumination and imaging light of the same phase parallel to one another.
  • the phase pattern may be designed as a phase shift.
  • a structure object according to claim 6 may be configured as a reflective or transmitting phase object, as a diffractive element or else as a micromirror array.
  • An already structured phase mask in particular an EUV phase mask, can be used as the phase object.
  • a predetermined structure can be adjusted by targeted activation of the micromirrors. A change can be made between various structures with the micromirror array.
  • An exchange holder according to claim 7 allows a simple exchange of the structure object.
  • An exchange can be made between variously acting structure objects. After the operating period of a structure object has expired, this can also be exchanged with the aid of the exchange holder.
  • An interference device according to claim 8 can be used as a structure generator with low losses.
  • a metrology system in the homogeneous mode, impinges on the object field with an unstructured intensity distribution.
  • the metrology system can be operated like a conventional metrology system. This can also be used to compare the detection result in the image field, which is achieved, on the one hand, in the structure mode and, on the other hand, in the homogeneous mode.
  • a structure can be provided in the illumination angle distribution of the object field illumination. This may take place with the aid of a selected
  • illumination setting as known, for example, in EUV projection exposure systems during object field illumination.
  • a dark field detection according to claim 10 is particularly sensitive.
  • a structuring optical system of the structure generator with a micromirror array according to claim 1 1 can be used for the controlled specification of a spatial structuring of an illumination of the object field with the illumination and imaging light.
  • the spatial resolution of this spatial structuring can be predetermined by means of the size of the reflection faces of the individual mirrors of the micromirror array.
  • Fig. 2 schematically shows, but in more detail compared to Fig. 1 , an illumination optical system with an EUV light source and a structure generator for illuminating an object field, in which at least one portion of the object to be investigated can be arranged;
  • Fig. 3 shows an intensity pattern of illumination and imaging light of the EUV light source, with which the object field is illuminated, in a graph; shows, as an alternative to the intensity pattern according to Fig. 3, in a further graph, an amplitude pattern of the illumination and imaging light, with which the object field is illuminated;
  • Fig. 5 shows, in a view similar to Fig. 1, a variant of the metrology system with a dark field detection of the object field;
  • Fig. 6 shows in a 3D graph, an example of a phase pattern illumination of the object field;
  • Fig. 7 shows, in a 3D graph, a detail of the reticle to be investigated with a linear defect;
  • Fig. 8 shows a 3D intensity distribution in an image field detected by a spatially resolving direction device, in which the object field was imaged with an imaging optical system of the metrology system, a reticle with a defect according to Fig. 7 being arranged in the object field and an illumination taking place with a phase pattern according to Fig. 6;
  • Fig. 9 shows, in a view similar to Fig. 8, a difference in the intensity distribution over the image field after an illumination of the reticle surface portion with the defect according to Fig. 7 from an intensity distribution in the image field after illumination of a defect- free reticle portion, the illumination taking place in each case with a phase pattern according to
  • Fig. 10 shows a section through the intensity distribution according to
  • Fig. 1 1 shows a 3D amplitude pattern of an object field illumination, which is alternative to Fig. 6, configured as a light/dark line pattern, in a view similar to Fig. 6; shows, in a view similar to Fig. 7, a defect on a reticle portion; shows, in a view similar to Fig. 8, an intensity distribution over the image field, in a dark field detection according Fig. 5 and an illumination of the defect according to Fig. 12 with the amplitude pattern according to Fig. 1 1 ; shows, in a view similar to Figs. 6 and 1 1, an illumination intensity pattern over the object field; shows, in a view similar to Figs.
  • a further defect on the reticle shows, in a 2D plan view, an intensity distribution in the image field during an illumination of the defect according to Fig. 15 with an intensity distribution according to Fig. 14, recorded by the spatially resolving detection device with an imaging optical system according to Fig. 1 ; shows, in a view similar to Figs. 1 and 2, a further configuration of an illumination system for a metrology system, a structure generator for the illumination of an object field having a micromirror array;
  • Fig. 18 shows a cross section through a reticle, which is produced from a blank, which can be investigated with the metrology system;
  • Fig. 19 shows a detailed enlargement from Fig. 18.
  • Fig. 1 shows, highly schematically, a metrology system 1 for investigating an object 2 in the form of a still unstructured reticle, in other words still in the crude state, for EUV projection lithography.
  • a metrology system 1 for investigating an object 2 in the form of a still unstructured reticle, in other words still in the crude state, for EUV projection lithography.
  • a Cartesian xyz- coordinate system will be used below.
  • the x-axis runs to the right in Fig. 1.
  • the y-axis runs perpendicular to the plane of drawing and into it in Fig. 1.
  • the z-axis runs upwardly in Fig. 1.
  • the metrology system 1 has an EUV light source 3 for producing illumination and imaging light 4.
  • the EUV light source may be a plasma source, in other words, for example, an LPP source (Laser Produced Plasma) or a GDP source (Gas Discharge Produced Plasma).
  • the EUV light source 3 may also be an EUV laser. This may be implemented, for example, by frequency multiplication of long- wave laser radiation.
  • the EUV light source 3 emits useful illumination and imaging light 4 at a wavelength of 13.4 nm. Other wavelengths in the range between 5 nm and 100 nm, in particular in the range between 5 nm and 30 nm, can be used as illumination and imaging light 4 with a corresponding design of the EUV light source 3.
  • the EUV light source 3 may be a plasma source in the form of a laser, which uses specific atomic transitions in highly ionised cadmium (Cd) in order to produce laser radiation in the range of a wavelength of, for example 13.5 nm.
  • Cd highly ionised cadmium
  • a plasma is produced with very high temperatures. Details on this are to be found in the specialist contribution "Tabletop Lasers in the Extreme Ultraviolet" by occa et al., UPN
  • An illumination optical system 5 is used for transferring the illumination and imaging light 4 from the EUV light source 3 to an object field 6, in which a section of the reflective reticle 2 is arranged.
  • a spatially resolving detection device in the form of a CCD sensor 9 detects an intensity distribution of the illumination and imaging light 4 over the object field 8.
  • An illumination and a detection of the illumination and imaging light 4 emitted by the object field 6 can take place in various ways.
  • an illumination takes place with a numerical aperture NA of, for example, 0.1.
  • the imaging optical system 7 can completely detect this numerical aperture. Assuming a perfectly reflective reticle 2, the entire illumination and imaging light 4 reflected by the reticle 2 can thus be detected by the imaging optical system 7.
  • Fig. 2 shows an illumination system 10 of the metrology system 1 with the light source 3 and the illumination optical system 5 in more detail.
  • the illumination optical system 5 firstly has, downstream of the light source 3, a capacitor 1 1. This transfers the illumination and imaging light 4 emitted by the light source 3 into an intermediate focus in an intermediate focus plane 12.
  • a structure generator 13 of the illumination optical system 5 is arranged therein.
  • the structure generator 13 ensures that the object field 6, in a structure mode of the metrology system 1, is illuminated by spatially structured, in other words structured over the area of the object field 6, illumination and imaging light 4.
  • the structure generator 13 is formed by a light structuring optical system, which is arranged between the light source 3 and the object field 6.
  • the structure generator 13 may also be configured as a component of the light source 3, for example by means of producing a plurality of coherent plane waves, which are superimposed in the object field 6.
  • the structuring optical system of the structure generator 13 has a structure object, which is illuminated by the illumination and imaging light 4 of the light source 3.
  • the structure object may be a phase object, for example a reflective or transmitting phase mask, a diffractive element or, in a reflective configuration of the structure generator 13, a micromirror array (cf the following description with respect to Fig. 17).
  • the structuring optical system may have an exchange holder 14 with a plurality of structure objects, which can be selective illuminated with the illumination and imaging light 4. This is only schematically indicated in Fig. 2.
  • the structuring optical system can be configured as an interference device, for example as a transmission or reflection grid, with which at least two part beams of the illumination and imaging light 4 are brought to interference. A grid of this type is indicated using the example of a transmission grid in Fig. 2 at 13a.
  • the structure generator 13 can also be moved out of the beam path of the illumination and imaging light 4, so that the object field 6, in a homogeneous mode of the metrology system 1, is illuminated with a homogeneous intensity distribution, in other words unstructured intensity impingement, by the illumination and imaging light 4.
  • an imaging relay optical system 15 of the illumination optical system 5 Arranged between the structure generator 13 and the object field 6 is also an imaging relay optical system 15 of the illumination optical system 5.
  • Figs. 3 and 4 show two examples of a structured illumination of the object field 6 with the illumination system 10 of the metrology system 1.
  • An illumination intensity I as a function of a location x in the object field 6 is shown in Fig. 3.
  • a y-direction runs, in Figs. 3 and 4, perpendicular to the plane of the drawing and into it.
  • the intensity distribution according to Fig. 3 has illumination regions that are spatially separated from one another in the form of intensity strips 16 running in the y-direction, which are separated from one another in the x-direction by dark strips 17.
  • a light/dark line pattern with light and dark lines following one another in the x-direction is produced over the object field 6.
  • the intensity strips 16 and the dark strips 17 may have, in the x-direction, an extent in the range of 10 nm to 500 nm.
  • the intensity distribution according to Fig. 3 can be produced by a shading of the dark strips 17 with the aid of a corresponding structure object of the structure generator 13.
  • Fig. 4 shows an amplitude A of the illumination and imaging light 4 as a function of the x-coordinates of the object field 6.
  • the sinusoidal amplitude distribution according to Fig. 4 of the illumination and imaging light 4 can be produced by a structuring optical system of the structure generator 13 configured as an interference device.
  • the wave peaks and wave troughs of the amplitude distribution according to Fig. 4 may have an extent in the x-direction in the range between 10 nm and 500 nm.
  • Fig. 5 shows an alternative illumination and detection in a variant of a metrology system 18, which can be used instead of the metrology system 1.
  • Components, which correspond to those which have already been described above with reference to Figs. 1 to 4, have the same reference numerals and will not be discussed again in detail.
  • the illumination of the object field 6 takes place with an annular illumination setting of the illumination optical system 5, so that, within the numerical aperture of the illumination optical system 5, only illumination angles above am inner numerical limit aperture impinge on the object field 6. Accordingly, the reticle 2 illuminated in this way reflects the illumination and imaging light 4 in the form of a ring cone 19.
  • An inner numerical aperture 20 of the ring cone 19 is greater than the aperture, which an imaging optical system 21 of the metrology system 18 can detect, which can be used instead of the imaging optical system 7 of the metrology system 1 according to Fig. 1.
  • a dark field detection of the object field 6 thus takes place in the metrology system 18. Only that illumination and imaging light 4, which is bent, reflected or scattered on structures or defects of the reticle 2 in the direction of the detection angle of the imaging optical system 21, is detected by the CCD sensor 9.
  • Fig. 6 shows a further example of a structured illumination of a section of the object field.
  • Fig. 6 shows an illumination amplitude A for a section of the object field 6 with the dimensions 512 nm in the x-direction and 512 nm in the y- direction.
  • the illumination of the object field portion according to Fig. 6 has a phase shift of ⁇ .
  • the amplitude is - 1.0.
  • the amplitude is + 1.0.
  • the amplitude A is given in Fig. 6 in units of the wavelength of the illumination and imaging light 4.
  • Fig. 7 shows a section of a surface of the reticle 2, the size and position of which corresponds to the object field portion according to Fig. 6.
  • Fig. 8 shows a standardised intensity distribution I (x, y) over a section of the image field 8, which corresponds to the image of the reticle portion according to Fig. 7 illuminated with the phase pattern according to Fig. 6 in the object field 6.
  • the imaging optical system 7 images the object field 6 here with an object-side numerical aperture of 0.1.
  • Fig. 9 shows a differential intensity distribution I Di , which is produced as a difference between the intensity distribution I (x, y) according to Fig. 8 and an intensity distribution I 0 (x, y), which is produced in the imaging of a defect- free reticle portion.
  • the illumination takes place with a linear amplitude pattern with wave peaks and wave troughs extending in the x- direction, which in each case have an extent of 64 nm in the y-direction.
  • An amplitude pattern of this type can be produced by interference of the illumination and imaging light 4.
  • Fig. 12 shows, in a view similar to Fig. 7, a section of the surface 23 of the reticle 2 with a defect 26 in the form of an approximately gaussian peak with a height of 0.5 nm and a cross section half-way up of 40 nm.
  • Fig. 13 shows, in a view similar to Figs. 8 and 9, an intensity distribution over an image field section, which is an image of the object field section according to Fig. 12, illuminated with the amplitude pattern according to Fig. 1 1 and evaluated by dark field detection in accordance with the arrangement according to Fig. 5.
  • An intensity peak 27 with a relative intensity I of 3 % of a maximum intensity I 0 of the illumination and imaging light 4 is produced at the location of the image of the defect 26.
  • This intensity of the intensity peak 27 is higher by about a factor of 2 than that intensity, which would have been produced in a dark field detection of a homogeneous, in other words not amplitude- structured illumination, of the object field 6 with the reticle 2, which is arranged there, with the defect 26.
  • Fig. 14 shows in a 3D view similar to Figs. 6 and 1 1, a standardised intensity distribution I (x, y) of an illumination of an object field portion with the illumination and imaging light 4, the object field portion in turn having an extent of 512 nm x 512 nm in the x- and y-direction.
  • the object field portion is illuminated with a light/dark line pattern in the manner of the line pattern according to Fig. 3.
  • Intensity strips 28 with a relative intensity of 1.0 run in the y-direction and have a width in the x-direction of 40 nm. Dark strips 29, also with a width of 40 nm, run between the intensity strips 28.
  • Fig. 15 shows, in a view similar to Figs. 7 and 12, a further example of a defect 30 on a surface portion of the reticle 2 in the form of a cuboid with a width in the x-direction and a depth in the y-direction of about 128 nm and with a height of 3.3 nm, which approximately corresponds to a quarter of the illumination wavelength of 13.4 nm.
  • Fig. 16 shows on x, y- intensity distribution over an image field portion, which corresponds to the image of the object field portion according to Fig. 15, illuminated by an intensity pattern according to Fig. 14. Dark regions correspond to low intensity, light regions correspond to higher intensity.
  • the object field was imaged here with the imaging optical system 7 with an object-side numerical aperture of 0.25.
  • the edges 31 of the cuboid defect 30 appear black in the 2D intensity distribution according to Fig. 16.
  • the phase shift of ⁇ /2 has a destructively interfering effect there because of the extent of the cuboid of ⁇ /4 in the z- direction.
  • the very flat cuboid defect 30 can therefore also be detected well using the metrology system 1.
  • Fig. 17 shows, in a view similar to Figs. 1 and 2, a further configuration of an illumination system 10 for a metrology system 1.
  • Fig. 17 shows, similarly to Fig. 2, exclusively the illumination system 10 of the metrology system 1. An imaging optical system and a CCD sensor are then in turn arranged downstream of this illumination system 10, as described above in conjunction with the other figures.
  • a structure generator 13 is configured, in the configuration of the metrology system 1 according to Fig. 17, as a micromirror array with a plurality of individual mirrors 32.
  • the individual mirrors 32 are held by a support body 33 and are arranged line -wise and column-wise in an intermediate focus plane 12 of the illumination system 10.
  • micromirror array are shown in the schematic view according to Fig. 17.
  • the actual number of individual mirrors 32 in the lines and columns of the micromirror array may be substantially greater.
  • a few 10s, a few 100s or even a few 1,000s of the individual mirrors 32 may, for example, be arranged in a line and/or a column of the micro mirror array of the structure generator 13 according to Fig. 17.
  • the individual mirrors 32 can be tilted in an individually activated manner by individual actuators, not shown in detail, which are also held by the support body 33. Two of the five individual mirrors 32 shown, the two individual mirrors 32 K , are tilted in such a way in the schematic view according to Fig. 17, that part bundles 34 reflected there do not meet in the relay optical system 15 of the illumination system 10, but are supplied to a light trap 35 shown by dashed lines in Fig. 17. The positions allocated to these tilted individual mirrors 32 K in the micromirror array appear on the reticle 2, as these positions are imaged by the relay optical system 15 on the object field 6, unilluminated, in other words black.
  • Spatially structured illumination and imaging light 4 can be generated in this manner by targeted tilting of the predetermined tilting individual mirrors 32 K with the micromirror array to illuminate the reticle 2 in the object field 6. This can be used, in accordance with that stated above, to also detect poorly formed defects, in particular flat defect elevations in the range of few nm, on the reticle 2.
  • Figs. 18 and 19 show, in cross section, the reticle 2 after structuring has taken place.
  • the reticle 2 which has been completely structured by coating and processing of the blank is shown.
  • the substrate layer 36 carries a multi-layer reflection layer 37 with a large number of alternating molybdenum and silicon layers, the thicknesses of which are matched to one another in such a way that the blank, and later the reticle 2, is highly reflective for the incident
  • the multi-layer reflection layer 37 has a total of 40 to 50 Mo/Si layer pairs with a thickness of 13.5 nm. In total, the multi-layer reflection layer 37 is about 300 nm thick.
  • a cover layer 38 made of ruthenium or silicon is applied to the multi-layer 37. The cover layer 38 has a thickness of about 1 1 nm.
  • the layer structure with the layers 36 to 38 forms the blank, in other words the EUV mask blank
  • a rear coating 39 is applied as protection on the rear of the substrate layer 36 in the finished reticle 2.
  • a buffer layer 40 made of SiO 2 (ruthenium or chromium) is applied to the cover layer 38.
  • the buffer layer 40 in turn carries an absorber layer 41 made of aluminium, chromium, tantalum nitride or tungsten.
  • the buffer layer 40 has a thickness of about 20 nm.
  • the absorber layer 41 has a thickness of about 70 nm.
  • the absorber layer 41 and optionally the buffer layer 40 are structured.
  • the metrology system 1 in particular volume defects within the multi-layer reflection layer 37, but also volume and/or surface defects in the other layers of the blank or else in the layers of the finished reticle 2, can be investigated.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Biochemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

A metrology system for investigating objects (2) has an imaging optical system for imaging an object field (6), in which at least one portion of the object (2) to be investigated can be arranged, in an image field. An EUV (Extreme Ultraviolet) light source (3) is used to illuminate the object field (6) with illumination and imaging light (4). The image field is detected by a spatially resolving detection device. A structure generator (13) of the metrology system is configured in such away that the object (6), in a structure mode of the metrology system, is illuminated by spatially structured illumination and imaging light (4). The result is a metrology system, with which even a detection of poorly formed defects, in particular flat defect elevations in the range of few nm, is reliably possible.

Description

Metrology system
The invention relates to a metrology system for investigating and/or measuring objects with an EUV light source for illuminating the object field with illumination and imaging light.
Investigations of objects, which are used in the form of reticles, are known from the specialist articles "Investigation of EUV Mask Defectivity via Full-Field Printing and Inspection on Wafer, ik Jonckheere, et al., Photomask and Next-Generation Lithography Mask Technology XVI, Proc. of SPIE Vol. 7379, 73790R-1 ff and "Development of actinic full- field EUV mask blank inspection tool at MIRAI-Selete, Tsuneo Terasawa et al, Alternative Lithographic Technologies, Proc. of SPIE Vol. 7271, 727122-1 ff . Details on the structure of the objects to be investigated are to be found in chapter 8 of the reference book "Extreme Ultraviolet
Lithography" by Wu et al., McGraw-Hill, April 2009, pages 385 ff, the content of which is also completely incorporated. An imaging system for structured light is known from US 2010/0149551 Al . A method for correcting disturbances in the light path of a level sensor is known from US 2006/0274324 Al . DE 10 2006 048 234 Al describes a method and a device for determining the 3D coordinates of an object. DE 3 12 27 12 Al describes a method and a device for the contactless measuring of surface profiles. DE 10 2004 052 508 Al describes a system and a method for measuring and monitoring the surface of a body. US 2005/0041852 Al describes a method and a device for evaluating connecting regions on workpieces. DE 10 2008 015 499 B4 describes a method and a device for determining the 3D coordinates of an object. An object of the present invention is to develop a metrology system of the type mentioned at the outset in such a way that herewith even a detection of poorly formed and/or tiny defects, in particular flat defect elevations in the range of a few nm, is reliably possible.
This object is achieved according to the invention by a metrology system having the features disclosed in the claim.
According to the invention, it was recognised that a structure generator for generating a structured illumination of the object field leads to a significant improvement of a defect resolution capacity of the metrology system. Even poorly formed and/or tiny defects, for example defect elevations in the form of material accumulations, which are raised by few nm relative to another surface of the object and which are substantially larger in terms of area in comparison to the absolute value of the elevation, and extend, for example, over an area with a diameter in the range between 30 nm and 60 nm, can be reliably detected. The structuring of the illumination may take place regularly, for example in the form of a line pattern or matrix pattern, but also irregularly. The objects to be investigated are, in particular, reflective objects, for example as yet unstructured blanks of reflective reticles, which are used in EUV lithography after a structuring. Blanks of this type are also known as "EUV mask blanks". For the investigation with the metrology system, blanks of this type carry neither an absorber layer nor a resist layer. Using the metrology system, volume defects within the blank can also, in particular, be investigated, for example defects within a multi-layer reflection layer of the blank. The investigation of structured objects is also possible. Using a structure generator according to claim 2, if, for example a laser source is used as the EUV light source, a structure can be produced on a blank, as the object to be investigated, by interference of plane waves. As a result, the efficiency of the production of the structured illumination and imaging light is increased, as this does not have to happen by means of the production of losses in the illumination and imaging light produced. A configuration of the structure generator as a component of the light source may, for example, be achieved in that a structuring of the illumination and imaging light is already brought about during the production of the illumination and imaging light in the light source.
A light structuring optical system according to claim 3 makes possible a targeted structuring of the illumination of the object field by structuring the produced illumination and imaging light.
An intensity pattern according to claim 4 may be configured in the form of illumination regions that are spatially separated from one another in the object field, for example as light/dark patterns, for example as line patterns. An amplitude pattern of the illumination can, for example, also be provided by interference.
A phase pattern according to claim 5 may, for example, be designed as a linear pattern with strips of illumination and imaging light of the same phase parallel to one another. The phase pattern may be designed as a phase shift.
A structure object according to claim 6 may be configured as a reflective or transmitting phase object, as a diffractive element or else as a micromirror array. An already structured phase mask, in particular an EUV phase mask, can be used as the phase object. In the case of configuration as a micromirror array, a predetermined structure can be adjusted by targeted activation of the micromirrors. A change can be made between various structures with the micromirror array.
An exchange holder according to claim 7 allows a simple exchange of the structure object. An exchange can be made between variously acting structure objects. After the operating period of a structure object has expired, this can also be exchanged with the aid of the exchange holder.
An interference device according to claim 8 can be used as a structure generator with low losses.
A metrology system according to claim 9, in the homogeneous mode, impinges on the object field with an unstructured intensity distribution. In the homogeneous mode, the metrology system can be operated like a conventional metrology system. This can also be used to compare the detection result in the image field, which is achieved, on the one hand, in the structure mode and, on the other hand, in the homogeneous mode. In the case of an impingement with an unstructured intensity distribution, a structure can be provided in the illumination angle distribution of the object field illumination. This may take place with the aid of a selected
illumination setting, as known, for example, in EUV projection exposure systems during object field illumination.
A dark field detection according to claim 10 is particularly sensitive.
A structuring optical system of the structure generator with a micromirror array according to claim 1 1 , can be used for the controlled specification of a spatial structuring of an illumination of the object field with the illumination and imaging light. The spatial resolution of this spatial structuring can be predetermined by means of the size of the reflection faces of the individual mirrors of the micromirror array.
Embodiments of the invention will be described below in more detail with the aid of the drawings, in which: shows a metrology system for investigating objects, a reflective reticle for EUV projection lithography in the as yet unstructured state being used as the object to be investigated;
Fig. 2 schematically shows, but in more detail compared to Fig. 1 , an illumination optical system with an EUV light source and a structure generator for illuminating an object field, in which at least one portion of the object to be investigated can be arranged;
Fig. 3 shows an intensity pattern of illumination and imaging light of the EUV light source, with which the object field is illuminated, in a graph; shows, as an alternative to the intensity pattern according to Fig. 3, in a further graph, an amplitude pattern of the illumination and imaging light, with which the object field is illuminated;
Fig. 5 shows, in a view similar to Fig. 1, a variant of the metrology system with a dark field detection of the object field; Fig. 6 shows in a 3D graph, an example of a phase pattern illumination of the object field; Fig. 7 shows, in a 3D graph, a detail of the reticle to be investigated with a linear defect;
Fig. 8 shows a 3D intensity distribution in an image field detected by a spatially resolving direction device, in which the object field was imaged with an imaging optical system of the metrology system, a reticle with a defect according to Fig. 7 being arranged in the object field and an illumination taking place with a phase pattern according to Fig. 6; Fig. 9 shows, in a view similar to Fig. 8, a difference in the intensity distribution over the image field after an illumination of the reticle surface portion with the defect according to Fig. 7 from an intensity distribution in the image field after illumination of a defect- free reticle portion, the illumination taking place in each case with a phase pattern according to
Fig. 6;
Fig. 10 shows a section through the intensity distribution according to
Fig. 9 in a plane of a phase shift of the illumination according to Fig. 6;
Fig. 1 1 shows a 3D amplitude pattern of an object field illumination, which is alternative to Fig. 6, configured as a light/dark line pattern, in a view similar to Fig. 6; shows, in a view similar to Fig. 7, a defect on a reticle portion; shows, in a view similar to Fig. 8, an intensity distribution over the image field, in a dark field detection according Fig. 5 and an illumination of the defect according to Fig. 12 with the amplitude pattern according to Fig. 1 1 ; shows, in a view similar to Figs. 6 and 1 1, an illumination intensity pattern over the object field; shows, in a view similar to Figs. 7 and 12, a further defect on the reticle; shows, in a 2D plan view, an intensity distribution in the image field during an illumination of the defect according to Fig. 15 with an intensity distribution according to Fig. 14, recorded by the spatially resolving detection device with an imaging optical system according to Fig. 1 ; shows, in a view similar to Figs. 1 and 2, a further configuration of an illumination system for a metrology system, a structure generator for the illumination of an object field having a micromirror array;
Fig. 18 shows a cross section through a reticle, which is produced from a blank, which can be investigated with the metrology system; Fig. 19 shows a detailed enlargement from Fig. 18.
Fig. 1 shows, highly schematically, a metrology system 1 for investigating an object 2 in the form of a still unstructured reticle, in other words still in the crude state, for EUV projection lithography. Using the metrology system 1 , in particular defects on the reticle 2 and their effects on the imaging in EUV projection lithography after structuring of the reticle 2 has taken place, can be investigated.
To facilitate the view of the positional relationships, a Cartesian xyz- coordinate system will be used below. The x-axis runs to the right in Fig. 1. The y-axis runs perpendicular to the plane of drawing and into it in Fig. 1. The z-axis runs upwardly in Fig. 1.
The metrology system 1 has an EUV light source 3 for producing illumination and imaging light 4. The EUV light source may be a plasma source, in other words, for example, an LPP source (Laser Produced Plasma) or a GDP source (Gas Discharge Produced Plasma). The EUV light source 3 may also be an EUV laser. This may be implemented, for example, by frequency multiplication of long- wave laser radiation. The EUV light source 3 emits useful illumination and imaging light 4 at a wavelength of 13.4 nm. Other wavelengths in the range between 5 nm and 100 nm, in particular in the range between 5 nm and 30 nm, can be used as illumination and imaging light 4 with a corresponding design of the EUV light source 3. The EUV light source 3 may be a plasma source in the form of a laser, which uses specific atomic transitions in highly ionised cadmium (Cd) in order to produce laser radiation in the range of a wavelength of, for example 13.5 nm. For this purpose, a plasma is produced with very high temperatures. Details on this are to be found in the specialist contribution "Tabletop Lasers in the Extreme Ultraviolet" by occa et al., UPN
November 2006, page 31 ff. An illumination optical system 5 is used for transferring the illumination and imaging light 4 from the EUV light source 3 to an object field 6, in which a section of the reflective reticle 2 is arranged.
An imaging optical system 7 with a strong magnification factor, for example of 500, images the object field 6 in an image field 8. A spatially resolving detection device in the form of a CCD sensor 9 detects an intensity distribution of the illumination and imaging light 4 over the object field 8. An illumination and a detection of the illumination and imaging light 4 emitted by the object field 6 can take place in various ways. In the metrology system 1 according to Fig. 1 , an illumination takes place with a numerical aperture NA of, for example, 0.1. The imaging optical system 7 can completely detect this numerical aperture. Assuming a perfectly reflective reticle 2, the entire illumination and imaging light 4 reflected by the reticle 2 can thus be detected by the imaging optical system 7.
Fig. 2 shows an illumination system 10 of the metrology system 1 with the light source 3 and the illumination optical system 5 in more detail. The illumination optical system 5 firstly has, downstream of the light source 3, a capacitor 1 1. This transfers the illumination and imaging light 4 emitted by the light source 3 into an intermediate focus in an intermediate focus plane 12. A structure generator 13 of the illumination optical system 5 is arranged therein. The structure generator 13 ensures that the object field 6, in a structure mode of the metrology system 1, is illuminated by spatially structured, in other words structured over the area of the object field 6, illumination and imaging light 4. In the configuration according to Fig. 2, the structure generator 13 is formed by a light structuring optical system, which is arranged between the light source 3 and the object field 6. As an alternative, the structure generator 13 may also be configured as a component of the light source 3, for example by means of producing a plurality of coherent plane waves, which are superimposed in the object field 6.
The structuring optical system of the structure generator 13 has a structure object, which is illuminated by the illumination and imaging light 4 of the light source 3. The structure object may be a phase object, for example a reflective or transmitting phase mask, a diffractive element or, in a reflective configuration of the structure generator 13, a micromirror array (cf the following description with respect to Fig. 17). The structuring optical system may have an exchange holder 14 with a plurality of structure objects, which can be selective illuminated with the illumination and imaging light 4. This is only schematically indicated in Fig. 2. The structuring optical system can be configured as an interference device, for example as a transmission or reflection grid, with which at least two part beams of the illumination and imaging light 4 are brought to interference. A grid of this type is indicated using the example of a transmission grid in Fig. 2 at 13a.
By means of the exchange holder 14, the structure generator 13 can also be moved out of the beam path of the illumination and imaging light 4, so that the object field 6, in a homogeneous mode of the metrology system 1, is illuminated with a homogeneous intensity distribution, in other words unstructured intensity impingement, by the illumination and imaging light 4.
Arranged between the structure generator 13 and the object field 6 is also an imaging relay optical system 15 of the illumination optical system 5.
Figs. 3 and 4 show two examples of a structured illumination of the object field 6 with the illumination system 10 of the metrology system 1. An illumination intensity I as a function of a location x in the object field 6 is shown in Fig. 3. A y-direction runs, in Figs. 3 and 4, perpendicular to the plane of the drawing and into it. The intensity distribution according to Fig. 3 has illumination regions that are spatially separated from one another in the form of intensity strips 16 running in the y-direction, which are separated from one another in the x-direction by dark strips 17. A light/dark line pattern with light and dark lines following one another in the x-direction is produced over the object field 6. The intensity strips 16 and the dark strips 17 may have, in the x-direction, an extent in the range of 10 nm to 500 nm. The intensity distribution according to Fig. 3 can be produced by a shading of the dark strips 17 with the aid of a corresponding structure object of the structure generator 13.
Fig. 4 shows an amplitude A of the illumination and imaging light 4 as a function of the x-coordinates of the object field 6. The sinusoidal amplitude distribution according to Fig. 4 of the illumination and imaging light 4 can be produced by a structuring optical system of the structure generator 13 configured as an interference device. The wave peaks and wave troughs of the amplitude distribution according to Fig. 4 may have an extent in the x-direction in the range between 10 nm and 500 nm. Fig. 5 shows an alternative illumination and detection in a variant of a metrology system 18, which can be used instead of the metrology system 1. Components, which correspond to those which have already been described above with reference to Figs. 1 to 4, have the same reference numerals and will not be discussed again in detail.
In the embodiment according to Fig. 5, the illumination of the object field 6 takes place with an annular illumination setting of the illumination optical system 5, so that, within the numerical aperture of the illumination optical system 5, only illumination angles above am inner numerical limit aperture impinge on the object field 6. Accordingly, the reticle 2 illuminated in this way reflects the illumination and imaging light 4 in the form of a ring cone 19. An inner numerical aperture 20 of the ring cone 19 is greater than the aperture, which an imaging optical system 21 of the metrology system 18 can detect, which can be used instead of the imaging optical system 7 of the metrology system 1 according to Fig. 1. A dark field detection of the object field 6 thus takes place in the metrology system 18. Only that illumination and imaging light 4, which is bent, reflected or scattered on structures or defects of the reticle 2 in the direction of the detection angle of the imaging optical system 21, is detected by the CCD sensor 9.
Fig. 6 shows a further example of a structured illumination of a section of the object field. Fig. 6 shows an illumination amplitude A for a section of the object field 6 with the dimensions 512 nm in the x-direction and 512 nm in the y- direction. At y = 256 nm, the illumination of the object field portion according to Fig. 6 has a phase shift of π. For smaller y- values, the amplitude is - 1.0. For larger y-values, the amplitude is + 1.0. The amplitude A is given in Fig. 6 in units of the wavelength of the illumination and imaging light 4.
Fig. 7 shows a section of a surface of the reticle 2, the size and position of which corresponds to the object field portion according to Fig. 6. Running between the limits at x = 0, y ~ 300 nm, on the one hand, and x = 512 nm and y ~ 200 nm is a rib-shaped, straight defect 22 with a height H over a surrounding surface 23 of the reticle 2 of 0.5 nm. In the y-direction, the defect 22 has an extent of about 50 nm. The defect 22 thus runs at an acute angle to the x-axis in such a way that the location of the phase shift of the illumination at y = 256 nm completely runs over the defect 22.
Fig. 8 shows a standardised intensity distribution I (x, y) over a section of the image field 8, which corresponds to the image of the reticle portion according to Fig. 7 illuminated with the phase pattern according to Fig. 6 in the object field 6. The imaging optical system 7 images the object field 6 here with an object-side numerical aperture of 0.1.
Fig. 9 shows a differential intensity distribution IDi , which is produced as a difference between the intensity distribution I (x, y) according to Fig. 8 and an intensity distribution I0 (x, y), which is produced in the imaging of a defect- free reticle portion. Fig. 10 shows a section through the intensity peaks 24, 25 in a plane y = 256 nm. Where the phase shift at y = 256 nm coincides with the two rib flanks of the defect 22, two intensity peaks 24, 25 are produced, which amount to about 7 % of the total illumination intensity. Owing to the phase-structured illumination of the defect 22, this defect, which is extremely flat with a height of 0.5 nm, can thus be detected.
With the aid of Figs. 1 1 to 13, a further example of a defect detection is described, this time using the dark field metrology system 18.
In a view similar to Fig. 6, Fig. 1 1 shows an amplitude distribution A (x, y) of an illumination of a section of the object field 6 with the dimensions x = 512 nm and y = 512 nm. The illumination takes place with a linear amplitude pattern with wave peaks and wave troughs extending in the x- direction, which in each case have an extent of 64 nm in the y-direction. An amplitude pattern of this type can be produced by interference of the illumination and imaging light 4.
Fig. 12 shows, in a view similar to Fig. 7, a section of the surface 23 of the reticle 2 with a defect 26 in the form of an approximately gaussian peak with a height of 0.5 nm and a cross section half-way up of 40 nm.
Fig. 13 shows, in a view similar to Figs. 8 and 9, an intensity distribution over an image field section, which is an image of the object field section according to Fig. 12, illuminated with the amplitude pattern according to Fig. 1 1 and evaluated by dark field detection in accordance with the arrangement according to Fig. 5. An intensity peak 27 with a relative intensity I of 3 % of a maximum intensity I0 of the illumination and imaging light 4 is produced at the location of the image of the defect 26. This intensity of the intensity peak 27 is higher by about a factor of 2 than that intensity, which would have been produced in a dark field detection of a homogeneous, in other words not amplitude- structured illumination, of the object field 6 with the reticle 2, which is arranged there, with the defect 26.
A further example of a defect detection, this time again using the
metrology system 1 according to Fig. 1 , will be described below with the aid of Figs. 14 to 16.
Fig. 14 shows in a 3D view similar to Figs. 6 and 1 1, a standardised intensity distribution I (x, y) of an illumination of an object field portion with the illumination and imaging light 4, the object field portion in turn having an extent of 512 nm x 512 nm in the x- and y-direction. The object field portion is illuminated with a light/dark line pattern in the manner of the line pattern according to Fig. 3. Intensity strips 28 with a relative intensity of 1.0 run in the y-direction and have a width in the x-direction of 40 nm. Dark strips 29, also with a width of 40 nm, run between the intensity strips 28.
Fig. 15 shows, in a view similar to Figs. 7 and 12, a further example of a defect 30 on a surface portion of the reticle 2 in the form of a cuboid with a width in the x-direction and a depth in the y-direction of about 128 nm and with a height of 3.3 nm, which approximately corresponds to a quarter of the illumination wavelength of 13.4 nm.
Fig. 16 shows on x, y- intensity distribution over an image field portion, which corresponds to the image of the object field portion according to Fig. 15, illuminated by an intensity pattern according to Fig. 14. Dark regions correspond to low intensity, light regions correspond to higher intensity. The object field was imaged here with the imaging optical system 7 with an object-side numerical aperture of 0.25. The edges 31 of the cuboid defect 30 appear black in the 2D intensity distribution according to Fig. 16. The phase shift of λ/2 has a destructively interfering effect there because of the extent of the cuboid of λ/4 in the z- direction. The very flat cuboid defect 30 can therefore also be detected well using the metrology system 1.
Fig. 17 shows, in a view similar to Figs. 1 and 2, a further configuration of an illumination system 10 for a metrology system 1. Components, which correspond to those, which have already been described above with reference to the other figures and, in particular, with reference to Fig. 2, have the same reference numerals and will not be discussed again in detail.
Fig. 17 shows, similarly to Fig. 2, exclusively the illumination system 10 of the metrology system 1. An imaging optical system and a CCD sensor are then in turn arranged downstream of this illumination system 10, as described above in conjunction with the other figures.
A structure generator 13 is configured, in the configuration of the metrology system 1 according to Fig. 17, as a micromirror array with a plurality of individual mirrors 32. The individual mirrors 32 are held by a support body 33 and are arranged line -wise and column-wise in an intermediate focus plane 12 of the illumination system 10.
A total of five of the individual mirrors 32 of a mirror line of the
micromirror array are shown in the schematic view according to Fig. 17. The actual number of individual mirrors 32 in the lines and columns of the micromirror array may be substantially greater. For example, a few 10s, a few 100s or even a few 1,000s of the individual mirrors 32 may, for example, be arranged in a line and/or a column of the micro mirror array of the structure generator 13 according to Fig. 17.
The individual mirrors 32 can be tilted in an individually activated manner by individual actuators, not shown in detail, which are also held by the support body 33. Two of the five individual mirrors 32 shown, the two individual mirrors 32K, are tilted in such a way in the schematic view according to Fig. 17, that part bundles 34 reflected there do not meet in the relay optical system 15 of the illumination system 10, but are supplied to a light trap 35 shown by dashed lines in Fig. 17. The positions allocated to these tilted individual mirrors 32K in the micromirror array appear on the reticle 2, as these positions are imaged by the relay optical system 15 on the object field 6, unilluminated, in other words black. Spatially structured illumination and imaging light 4 can be generated in this manner by targeted tilting of the predetermined tilting individual mirrors 32K with the micromirror array to illuminate the reticle 2 in the object field 6. This can be used, in accordance with that stated above, to also detect poorly formed defects, in particular flat defect elevations in the range of few nm, on the reticle 2.
Figs. 18 and 19 show, in cross section, the reticle 2 after structuring has taken place. The reticle 2 which has been completely structured by coating and processing of the blank is shown.
A substrate layer 36 made of a low thermal expansion material (LTEM), for example made of an ultra low expansion glass (ULE ®), for example a titanium silicate glass, belongs to the actual blank, in other words the "EUV mask blank". The substrate layer 36 carries a multi-layer reflection layer 37 with a large number of alternating molybdenum and silicon layers, the thicknesses of which are matched to one another in such a way that the blank, and later the reticle 2, is highly reflective for the incident
illumination light 3. The multi-layer reflection layer 37 has a total of 40 to 50 Mo/Si layer pairs with a thickness of 13.5 nm. In total, the multi-layer reflection layer 37 is about 300 nm thick. A cover layer 38 made of ruthenium or silicon is applied to the multi-layer 37. The cover layer 38 has a thickness of about 1 1 nm. The layer structure with the layers 36 to 38 forms the blank, in other words the EUV mask blank
A rear coating 39 is applied as protection on the rear of the substrate layer 36 in the finished reticle 2.
A buffer layer 40 made of SiO2 (ruthenium or chromium) is applied to the cover layer 38. The buffer layer 40 in turn carries an absorber layer 41 made of aluminium, chromium, tantalum nitride or tungsten. The buffer layer 40 has a thickness of about 20 nm. The absorber layer 41 has a thickness of about 70 nm.
In the finished reticle 2, the absorber layer 41 and optionally the buffer layer 40 are structured. Using the metrology system 1 , in particular volume defects within the multi-layer reflection layer 37, but also volume and/or surface defects in the other layers of the blank or else in the layers of the finished reticle 2, can be investigated.

Claims

Claims
1. Metrology system (1 ; 18) for investigating and/or measuring objects (2)
- with an imaging optical system (7; 21) for imaging an object field
(6), in which at least a portion of the object (2) to be investigated and/or measured can be arranged, in an image field (8),
with an EUV light source (3) for illuminating the object field (6) with illumination and imaging light (4),
- with a spatially resolving detection device (9) detecting the image field (8),
with a structure generator (13), which is configured in such a way that the object field (6), in a structure mode of the metrology system (1 ; 18), is illuminated with spatially structured illumination and imaging light (4).
2. Metrology system according to claim 1, characterised in that the
structure generator is configured as a component of the light source (3).
3. Metrology system according to claim 1 , characterised in that the
structure generator (13) is formed by a light structuring optical system, which is arranged between the light source (3) and the object field (6).
4. Metrology system according to any one of claims 1 to 3, characterised in that the structure generator (13) is configured in such a way that the object field (6) is illuminated with an intensity pattern (16, 17; 28, 29) of the illumination and imaging light (4).
5. Metrology system according to any one of claims 1 to 3, characterised in that the structure generator (13) is configured in such a way that the object field (6) is illuminated with a phase pattern of the illumination and imaging light (4).
6. Metrology system according to any one of claims 3 to 5, characterised in that the structure generator (13) has a structure object, which is illuminated by the illumination and imaging light (4) of the light source (3).
7. Metrology system according to any one of claims 1 to 6, characterised in that the structure generator (13) has an exchange holder (14) with a plurality of structure objects, which can be selectively illuminated by the illumination and imaging light (4).
8. Metrology system according to any one of claims 1 to 7, characterised in that the structure generator (13) has an interference device, with which at least two part beams of the illumination and imaging light (4) are brought to interference.
9. Metrology system according to any one of claims 1 to 8, characterised in that the structure generator (13) is configured in such a way that the object field (6), in a homogeneous mode of the metrology system (1 ;
18), is illuminated by illumination and imaging light (4) with a spatially homogeneous intensity distribution.
10. Metrology system according to any one of claims 1 to 9, characterised in that the imaging optical system (21) and the detection device (9) are configured in such a way that a dark field detection of the object field (6) takes place.
1. Metrology system according to any one of claims 3 to 10,
characterised in that the light structuring optical system has a micromirror array.
PCT/EP2011/060155 2010-06-23 2011-06-17 Metrology system WO2011161024A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
DE102010030435.2 2010-06-23
DE201010030435 DE102010030435A1 (en) 2010-06-23 2010-06-23 metrology system
US38774110P 2010-09-29 2010-09-29
US61/387,741 2010-09-29

Publications (1)

Publication Number Publication Date
WO2011161024A1 true WO2011161024A1 (en) 2011-12-29

Family

ID=45115738

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2011/060155 WO2011161024A1 (en) 2010-06-23 2011-06-17 Metrology system

Country Status (2)

Country Link
DE (1) DE102010030435A1 (en)
WO (1) WO2011161024A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013223808A1 (en) 2013-11-21 2014-12-11 Carl Zeiss Smt Gmbh Optical mirror device for reflecting a bundle of EUV light
DE102013219585A1 (en) 2013-09-27 2015-04-16 Carl Zeiss Smt Gmbh Optical arrangement, in particular plasma light source or EUV lithography system
US9194811B1 (en) 2013-04-01 2015-11-24 Kla-Tencor Corporation Apparatus and methods for improving defect detection sensitivity
WO2018233951A1 (en) * 2017-06-21 2018-12-27 Asml Netherlands B.V. Method and apparatus for detecting substrate surface variations

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021113780B4 (en) * 2021-05-27 2024-05-23 Carl Zeiss Smt Gmbh Method for characterizing a mask for microlithography

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3122712A1 (en) 1980-06-11 1982-03-18 General Electric Co., Schenectady, N.Y. "METHOD AND DEVICE FOR CONTACTLESS MEASUREMENT OF SURFACE PROFILES"
US20050041852A1 (en) 2001-11-15 2005-02-24 Joachim Schwarz Method and device for evaluation of jointing regions on workpieces
DE102004052508A1 (en) 2004-10-21 2006-04-27 Pixargus Gmbh System and method for measuring and monitoring the surface of a body
US7130039B2 (en) * 2002-04-18 2006-10-31 Kla-Tencor Technologies Corporation Simultaneous multi-spot inspection and imaging
US20060274324A1 (en) 2005-06-03 2006-12-07 Asml Netherlands B.V. Method for correcting disturbances in a level sensor light path
US20070158636A1 (en) * 2005-12-22 2007-07-12 Intel Corporation Detecting and characterizing mask blank defects using angular distribution of scattered light
DE102006048234A1 (en) 2006-10-11 2008-04-17 Steinbichler Optotechnik Gmbh Method and device for determining the 3D coordinates of an object
DE102008015499B4 (en) 2008-03-25 2010-06-02 Steinbichler Optotechnik Gmbh Method and device for determining the 3D coordinates of an object
US20100149551A1 (en) 2008-12-16 2010-06-17 Faro Technologies, Inc. Structured Light Imaging System and Method

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3122712A1 (en) 1980-06-11 1982-03-18 General Electric Co., Schenectady, N.Y. "METHOD AND DEVICE FOR CONTACTLESS MEASUREMENT OF SURFACE PROFILES"
US20050041852A1 (en) 2001-11-15 2005-02-24 Joachim Schwarz Method and device for evaluation of jointing regions on workpieces
US7130039B2 (en) * 2002-04-18 2006-10-31 Kla-Tencor Technologies Corporation Simultaneous multi-spot inspection and imaging
DE102004052508A1 (en) 2004-10-21 2006-04-27 Pixargus Gmbh System and method for measuring and monitoring the surface of a body
US20060274324A1 (en) 2005-06-03 2006-12-07 Asml Netherlands B.V. Method for correcting disturbances in a level sensor light path
US20070158636A1 (en) * 2005-12-22 2007-07-12 Intel Corporation Detecting and characterizing mask blank defects using angular distribution of scattered light
DE102006048234A1 (en) 2006-10-11 2008-04-17 Steinbichler Optotechnik Gmbh Method and device for determining the 3D coordinates of an object
DE102008015499B4 (en) 2008-03-25 2010-06-02 Steinbichler Optotechnik Gmbh Method and device for determining the 3D coordinates of an object
US20100149551A1 (en) 2008-12-16 2010-06-17 Faro Technologies, Inc. Structured Light Imaging System and Method

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
RIK JONCKHEERE ET AL.: "Photomask and Next-Generation Lithography Mask Technology XVI", PROC. OF SPIE, vol. 7379, pages 73790R - 1 FF
ROCCA ET AL.: "Tabletop Lasers in the Extreme Ultraviolet", UPN, November 2006 (2006-11-01), pages 31 FF
TSUNEO TERASAWA ET AL.: "Alternative Lithographic Technologies", PROC. OF SPIE, vol. 7271, pages 727122 - 1 FF
WU ET AL.: "Extreme Ultraviolet Lithography", April 2009, MCGRAW-HILL, pages: 385 FF

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9194811B1 (en) 2013-04-01 2015-11-24 Kla-Tencor Corporation Apparatus and methods for improving defect detection sensitivity
DE102013219585A1 (en) 2013-09-27 2015-04-16 Carl Zeiss Smt Gmbh Optical arrangement, in particular plasma light source or EUV lithography system
DE102013223808A1 (en) 2013-11-21 2014-12-11 Carl Zeiss Smt Gmbh Optical mirror device for reflecting a bundle of EUV light
WO2018233951A1 (en) * 2017-06-21 2018-12-27 Asml Netherlands B.V. Method and apparatus for detecting substrate surface variations
TWI683086B (en) * 2017-06-21 2020-01-21 荷蘭商Asml荷蘭公司 Method and apparatus for detecting substrate surface variations
US11092902B2 (en) 2017-06-21 2021-08-17 Asml Netherlands B.V. Method and apparatus for detecting substrate surface variations

Also Published As

Publication number Publication date
DE102010030435A1 (en) 2011-12-29

Similar Documents

Publication Publication Date Title
JP5059838B2 (en) Reticle inspection system and method
JP4944184B2 (en) EUV mask inspection system
JP4567659B2 (en) Lithogfi apparatus and device manufacturing method
JP4456555B2 (en) Lithographic apparatus, method for measuring characteristics of lithographic apparatus, and computer program
TWI477892B (en) Mask inspection with fourier filtering and image compare
JP6009614B2 (en) Time difference reticle inspection
KR20140061544A (en) Apparatus for monitoring a lithographic patterning device
JP2006196898A (en) Exposure apparatus, coating of the exposure apparatus, lithography apparatus, device manufacturing method, and device manufactured by the method
JP2013518261A (en) Holographic mask inspection system with spatial filter
KR101593534B1 (en) Diffraction elements for alignment targets
WO2011161024A1 (en) Metrology system
CN111670412A (en) Detection apparatus and detection method
US20070242256A1 (en) Lithographic apparatus, lens interferometer and device manufacturing method
NL2006458A (en) Lithographic apparatus and device manufacturing method.
CN111051994B (en) Method for measuring focus performance of lithographic apparatus, patterning apparatus and device, and device manufacturing method
KR100606495B1 (en) Device and method for wafer alignment with reduced tilt sensitivity
JP2009295981A (en) Particle detection on patterning device with arbitrary pattern
NL2009273A (en) Level sensor arrangement for lithographic apparatus, lithographic apparatus and device manufacturing method.
JP4190469B2 (en) Lithographic apparatus and method of manufacturing the apparatus
JP5006889B2 (en) Rough wafer alignment mark structure and method of manufacturing such a mark structure
TWI804591B (en) Inspection system for detecting defects in a semiconductor sample and method of designing and using a compensator in the inspection system
TW202119124A (en) A lithography apparatus and a method of detecting a radiation beam
NL2005463A (en) Lithographic apparatus and device manufacturing method.
JP2006179907A (en) Lithographic apparatus with autofocus system
US20110149276A1 (en) Method of Detecting a Particle and a Lithographic Apparatus

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11732402

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 11732402

Country of ref document: EP

Kind code of ref document: A1