WO2010097190A1 - Providing superior electromigration performance and reducing deterioration of sensitive low-k dielectrics in metallization systems of semiconductor devices - Google Patents

Providing superior electromigration performance and reducing deterioration of sensitive low-k dielectrics in metallization systems of semiconductor devices Download PDF

Info

Publication number
WO2010097190A1
WO2010097190A1 PCT/EP2010/001091 EP2010001091W WO2010097190A1 WO 2010097190 A1 WO2010097190 A1 WO 2010097190A1 EP 2010001091 W EP2010001091 W EP 2010001091W WO 2010097190 A1 WO2010097190 A1 WO 2010097190A1
Authority
WO
WIPO (PCT)
Prior art keywords
dielectric material
thermo
forming
ambient
layer
Prior art date
Application number
PCT/EP2010/001091
Other languages
French (fr)
Inventor
Oliver Aubel
Joerg Hohage
Frank Feustel
Axel Preusse
Original Assignee
Advanced Micro Devices, Inc.
Amd Fab 36 Limited Liability Company & Co. Kg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices, Inc., Amd Fab 36 Limited Liability Company & Co. Kg filed Critical Advanced Micro Devices, Inc.
Priority to JP2011551431A priority Critical patent/JP2012519373A/en
Priority to CN2010800121646A priority patent/CN102388449A/en
Publication of WO2010097190A1 publication Critical patent/WO2010097190A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Definitions

  • the present disclosure relates to microstructures, such as advanced integrated circuits, and more particularly to metallization systems comprising sophisticated dielectric and conductive materials.
  • interconnect lines are also reduced to compensate for a reduced amount of available floor space and for an increased number of circuit elements provided per unit die area as typically the number of interconnections required increases more rapidly than the number of circuit elements.
  • a plurality of stacked "wiring" layers also referred to as metallization layers, is provided, wherein individual metal lines of one metallization layer are connected to individual metal lines of an overlying or underlying metallization layer by so-called vias.
  • Advanced integrated circuits including transistor elements having a critical dimension of 0.05 ⁇ m and even less, may, therefore, typically be operated at significantly increased current densities of up to several kA per cm 2 in the individual interconnect structures despite the provision of a relatively large number of metallization layers owing to the increased number of circuit elements per unit area. Consequently, well-established materials, such as aluminum, are being replaced by copper and copper alloys, i.e., materials with significantly lower electrical resistivity and improved resistance to electromigration even at considerably higher current densities compared to aluminum.
  • silicon nitride is a dielectric material that effectively prevents the diffusion of copper atoms
  • selecting silicon nitride as an interlayer dielectric material is less than desirable, since silicon nitride exhibits a moderately high permittivity, thereby increasing the parasitic capacitance of neighbouring copper lines, which may result in non- tolerable signal propagation delays.
  • a thin conductive barrier layer that also imparts the required mechanical stability to the copper is usually formed so as to separate the bulk copper from the surrounding dielectric material, thereby reducing copper diffusion into the dielectric materials and also reducing the diffusion of unwanted species, such as oxygen, fluorine, and the like, into the copper.
  • the conductive barrier layers may also form strong interfaces with the copper, thereby reducing the probability for inducing significant material migration at the interface, which is typically a critical region in view of increased diffusion paths that may facilitate current induced material diffusion.
  • tantalum, titanium, tungsten and their compounds with nitrogen and silicon and the like are preferred candidates for a conductive barrier layer, wherein the barrier layer may comprise two or more sub-layers of different composition so as to meet the requirements in terms of diffusion suppressing and adhesion properties.
  • damascene Another characteristic of copper significantly distinguishing it from aluminum is the fact that copper may not readily be deposited in larger amounts by chemical and physical vapor deposition techniques, thereby requiring a process strategy that is commonly referred to as the damascene or inlaid technique.
  • a dielectric layer is formed which is then patterned to include trenches and/or vias which are subsequently filled with copper, wherein, as previously noted, prior to filling in the copper, a conductive barrier layer is formed on sidewalls of the trenches and vias.
  • the deposition of the bulk copper material into the trenches and vias is usually accomplished by wet chemical deposition processes, such as electroplating and electroless plating, thereby requiring the reliable filling of vias with an aspect ratio of 5 and more with a diameter of 0.3 ⁇ m or even less in combination with trenches having a width ranging from 0.1 ⁇ m to several ⁇ m.
  • Electrochemical deposition processes for copper are well established in the field of electronic circuit board fabrication. However, for the dimensions of the metal regions in semiconductor devices the void free filling of high aspect ratio vias is an extremely complex and challenging task, wherein the characteristics of the finally obtained copper based interconnect structure significantly depend on process parameters, materials and geometry of the structure of interest.
  • interconnect structures Since the geometry of interconnect structures is substantially determined by the design requirements and may, therefore, not be significantly altered for a given microstructure, it is of great importance to estimate and control the impact of materials, such as conductive and nonconductive barrier layers, the dielectric materials, and the like, and their mutual interaction, on the characteristics of the interconnect structure as a whole so as to insure both high yield and the required product reliability. In particular, it is important to identify, monitor and reduce degradation and failure mechanisms in metallization systems for various configurations so as to maintain device reliability for every new device generation or technology node.
  • materials such as conductive and nonconductive barrier layers, the dielectric materials, and the like
  • One failure mechanism which is believed to significantly contribute to a premature device failure, is the electromigration-induced material transport particularly along an interface formed between the copper and a dielectric cap layer, which may be provided after filling in the copper material in the trenches and via openings, the side walls of which are coated by the conductive barrier materials.
  • the dielectric cap layer may usually act as an etch stop layer during the formation of the via openings in the interlayer dielectric.
  • used materials are, for example, silicon nitride and nitrogen containing silicon carbide, which exhibit a moderately high etch selectivity to typically employed interlayer dielectrics, such as a plurality of low-k dielectric materials, and also suppress the diffusion of copper onto the interlayer dielectric.
  • Recent research results seem to indicate, however, that the interface formed between the copper and dielectric cap layer is a major diffusion path for material transport during operation of the metal interconnect.
  • the incorporation of certain species into the copper surface has been proven to be a viable technique for enhancing the overall electromigration behaviour, for instance, in combination with a corresponding cap or etch stop layer.
  • the exposed surface of the copper lines may be exposed to a reactive ambient in order to incorporate silicon, nitrogen and the like for enhancing the surface characteristics of the metal lines prior to depositing the cap or etch stop material.
  • a silicon and/or nitrogen containing species may be supplied into the reactive ambient of a plasma based cleaning process in order to initiate the inter-diffusion of silicon, nitrogen, and the like, thereby forming a corresponding copper compound that may significantly enhance the overall surface characteristics.
  • silane may be used in a corresponding plasma treatment in order to form a silicon/copper compound, which may also be referred to as copper suicide and may provide for the superior electromigration behaviour.
  • thermo chemical treatments have been used, for instance for cleaning the exposed copper surface and initiating a silicon diffusion into the copper surface in order to obtain the superior electromigration behaviour, while avoiding or at least reducing undue damage of the sensitive dielectric materials.
  • the copper/silicon compound forming in and beyond the copper surface may have a negative effect on the overall conductivity of the metal line, in particular in metallization systems requiring high current densities due to the reduced cross-sectional area, which may result in significant signal propagation delays.
  • the present disclosure relates to techniques for forming complex metallization layers with enhanced electromigration behaviour and improved electrical performance and high breakdown voltages of the dielectric materials, while avoiding or at least reducing the effects of one or more of the problems identified above.
  • the present disclosure relates to process techniques in which a superior performance with respect to electromigration may be obtained while at the same time the overall electrical performance of the metallization system may be enhanced.
  • the material characteristics of highly sensitive low-k and ULK materials may not unduly be deteriorated or may even be re-established after certain process steps on the basis of a thermo chemical treatment. It has recognized that for many sophisticated low-k dielectric materials the overall behaviour of these materials may critically depend on the carbon contents, which may significantly be reduced upon exposure to reactive plasma ambients, as may typically be applied during corresponding cleaning processes and frequently also during the incorporation of a silicon species into exposed surface areas.
  • thermo chemical treatment may be applied which may result in a significantly reduced degree of carbon depletion upon interaction with sensitive low-k dielectric materials, while a copper-containing metal region may have formed thereon a conductive cap layer which may result in superior electromigration performance and may also act as an efficient mask during the thermo chemical treatment.
  • thermo chemical treatment may result in superior characteristics of the low-k dielectric material, wherein in some illustrative embodiments disclosed herein a further thermo chemical treatment may be performed on the basis of an appropriate process ambient, such as a silicon-containing ambient, which may result in a corresponding improvement of surface characteristics of the dielectric material.
  • a certain degree of etch-related damage of the sensitive low-k dielectric material may be "repaired" thereby enhancing the surface conditions for the further processing of the semiconductor device, for instance in view of depositing a further dielectric material, such as an etch stop material or any other transition material layer for forming thereon a further low-k dielectric material.
  • a further dielectric material such as an etch stop material or any other transition material layer for forming thereon a further low-k dielectric material.
  • One illustrative method disclosed herein relates to forming a metallization layer for a semiconductor device.
  • the method comprises forming a conductive cap layer on a surface of a metal region that is laterally embedded in a first dielectric material of the metallization layer. Additionally, the method comprises performing a thermo chemical cleaning treatment on an exposed surface of the first dielectric material in the presence of the conductive cap layer. Furthermore, the method comprises forming a second dielectric material on the exposed surface of the first dielectric material and the conductive cap layer.
  • a further illustrative method disclosed herein comprises forming a conductive cap material on a copper-containing surface of a metal region of a metallization layer of a semiconductor device, wherein the metal region is formed in a low-k dielectric material of the metallization layer.
  • the method further comprises performing a first thermo chemical treatment on an exposed surface of the low-k dielectric material on the basis of a copper oxide reducing process gas.
  • the method additionally comprises performing a second thermo chemical treatment on the exposed surface on the basis of a silicon-containing process ambient after performing the first thermo chemical treatment.
  • the method comprises forming a dielectric material layer on the conductive cap layer and the exposed surface of the low-k dielectric material.
  • a still further illustrative method disclosed herein relates to forming a metallization layer of a semiconductor device.
  • the method comprises forming an opening in a low-k dielectric layer and filling the opening with a copper-containing material so as to form a metal region. Furthermore, a conductive cap layer is formed on the surface of the metal region and a cleaning process is performed on the basis of a copper-reducing gas ambient in the absence of a plasma. Additionally, the method comprises performing a surface modification process on the basis of a silicon-containing process ambient in the absence of a plasma in the silicon-containing process ambient.
  • Figs 1 a, 1 b and 1 d schematically illustrate cross-sectional views of a semiconductor device during various manufacturing stages in forming metallization layers in which a thermo chemical treatment may be performed on the basis of a conductive cap layer according to illustrative embodiments;
  • Fig 1 c schematically illustrates the semiconductor device according to a further illustrative embodiment in which an additional thermo chemical treatment may be performed so as to further enhance the characteristics of a sensitive low-k dielectric material according to still further illustrative embodiments.
  • the present disclosure provides process techniques in which superior electromigration characteristics may be achieved for metal regions in sophisticated metallization systems on the basis of a conductive cap material, while additionally undue deterioration of sensitive low-k dielectric materials, such as carbon depletion, etch-related damage and the like, may be reduced so that overall performance of the metallization system may be enhanced due to superior electromigration with a desired high conductivity at more stable and uniform characteristics of the dielectric material, for instance in view of a higher breakdown voltage and the like.
  • a cleaning process may be performed as a thermo chemical treatment, which may be understood as a treatment performed in a process ambient that is established without a plasma, ie.
  • thermo chemical process ambient may be understood as an ambient in which the fraction of ionized particles substantially corresponds to a fraction that would be obtained by the thermal movement of molecules and atoms according to specified pressure and temperature conditions.
  • a higher fraction of ionized particles caused by interaction with an electromagnetic field may not be considered as a thermo chemical process ambient.
  • the thermo chemical cleaning process may be performed on the basis of oxide-reducing gases, such as an ammonia gas and/or a nitrogen gas, and may result in an efficient removal of contaminants generated during the preceding processes, such as copper deposition, chemical mechanical polishing of excess materials, the deposition of the conductive cap layer and the like, while also reducing the tendency of the sensitive low-k dielectric material for out diffusion of carbon species, which may significantly affect the overall characteristics of the low-k material.
  • oxide-reducing gases such as an ammonia gas and/or a nitrogen gas
  • a further thermo treatment may be performed on the basis of the silicon- containing process ambient in which a certain degree of surface modification may be accomplished, for instance by hardening or densifying exposed surface areas of the sensitive low-k dielectric material, thereby providing for further enhanced surface conditions during the further processing, or even reducing the degree of damage of previously performed etch and resist strip processes, thereby also contributing to superior reliability and thus dielectric strength.
  • thermo chemical treatment may thus be performed as an in situ process sequence with a cleaning step and a subsequent "silicon diffusion" step, possibly in combination with a deposition process for forming a further dielectric material, such as a silicon nitride material, nitrogen-containing silicon carbide material and the like.
  • a further dielectric material such as a silicon nitride material, nitrogen-containing silicon carbide material and the like.
  • an efficient silicon diffusion into the exposed surface areas on the basis of a plasma-free treatment may thus re-establish to a certain degree the desired molecular structure or may provide for enhanced hardness or density of the surface area, which may also in part enhance mechanical stability to these materials, which may frequently be provided in the form of a porous material system.
  • the degree of porosity at the exposed surface areas of these sensitive dielectric materials may be reduced by establishing a process ambient during the thermo chemical treatment on the basis of silicon-containing substances in the form of HMDS (hexamethyldisilazane) and the like.
  • thermo chemical treatment may also be performed prior to actually forming the metal regions in the sensitive dielectric material, that is, after patterning the dielectric material and prior to forming a conductive barrier material and depositing a copper-containing metal. Also in this case a negative effect of plasma-based processes may be avoided while nevertheless obtaining superior surface conditions for the subsequent process steps.
  • Fig 1 a schematically illustrates a cross-sectional view of a semiconductor device 100 comprising a substrate 101 in and above which may be formed circuit elements, such as transistors and the like, as required by the overall circuit configuration of the device 100.
  • circuit elements such as transistors and the like
  • the continuous shrinkage of the critical feature sizes in the transistor level of the device which may currently be at approximately 50 nm and less, also requires a corresponding adaptation of the feature sizes of metal lines and vias in a metallization system 130 of the device 100.
  • the metallization system 130 may be represented by a first metallization layer 110 and a second metallization layer 120.
  • the metallization system 130 may comprise any number of metallization layers as is necessary in view of the overall complexity of the device 100.
  • any further metallization layers which may be formed above the layer 120 or which may be positioned below the metallization layer 1 10 are not shown.
  • the metallization layer 1 10 may comprise a dielectric material 111 , such as a low-k dielectric material having a dielectric constant of 3.0 or less, while in more sophisticated applications the dielectric constant may be approximately 2.0 and less, in which case corresponding materials may also be referred to herein as ultra low-k (ULK) materials.
  • ULK ultra low-k
  • the 110 may comprise a metal region or metal line 1 12 wherein it should be appreciated that typically a large number of appropriate metal regions may be provided in the metallization layer 1 10.
  • the metal line or metal region 112 may comprise a highly conductive core material 1 12a based on copper, silver and the like, while a conductive barrier material 1 12b may confine the core materials 112a, for instance with respect to diffusion into the surrounding dielectric material and with respect to the incorporation of reactive components, such as oxygen, fluorine and the like, which may be present in the dielectric material 111 .
  • the conductive barrier material 1 12b may provide for the desired adhesion of the core material 112a to the surrounding dielectric material
  • a conductive cap layer 1 12c may be formed on the core material 1 12a and may be comprised of any appropriate material, such as CoWP compounds and the like, as is for instance also previously explained. It should be appreciated, however, that in other illustrative embodiments (not shown) the conductive cap layer 112c may not be provided if considered appropriate for the metallization layer 110, while however in other metallization layers such as the layer 120 superior electromigration behaviour in combination with a high electric conductivity may be required.
  • a dielectric etch stop or cap layer 113 which may be comprised of silicon carbide, nitrogen-containing silicon carbide, silicon nitride and the like, may be formed on the dielectric material 111 and on the metal region 112, for instance on the conductive cap layer 112c, while in other cases the dielectric layer 113 may provide for the required copper confinement and electromigration behaviour.
  • the metallization layer 120 may comprise a dielectric material 121 , which may also represent a low-k dielectric material or a ULK material, which may have a more or less porous state, as previously explained.
  • a metal region 122 may laterally be embedded in the dielectric material 121 and may comprise a core material 122a, such as a copper material, in combination with a conductive barrier material 122b.
  • the metal region 122 may comprise a metal line 1221 and a via 122v connecting to the metal region 112 of the metallization layer 1 10.
  • a conductive cap layer 122c formed of any appropriate metal or metal compound may be formed at least on the core material 122a so as to provide for superior electromigration behaviour while not unduly affecting the overall conductivity of the metal region 122.
  • the semiconductor device 100 as illustrated in Fig 1 a may be formed on the basis of the following processes. After forming corresponding circuit elements, such as transistors, in a semiconductor material provided above the substrate 101 , a contact structure (not shown) may be formed on the basis of well-established process techniques in order to provide an interface between the circuit elements formed in and above the semiconductor material and the metallization system 130. Thereafter, one or more metallization layers may be formed, such as the layer 1 10. For this purpose, the dielectric material 1 11 may be deposited on the basis of any appropriate deposition technique, such as CVD, spin-on techniques and the like, depending on the type of material to be deposited. As previously explained, the material 11 1 may comprise a certain fraction of carbon species, which may significantly affect the overall material characteristics.
  • the dielectric material 11 1 may be patterned on the basis of sophisticated lithography and etch strategies, as will also be explained with reference to the metallization layer 120. Finally, respective openings corresponding to the metal region 122 may be filled with a metal-containing material and any excess material thereof may be removed, for instance by CMP (chemical mechanical polishing) so as to provide electrically isolated metal regions in the dielectric material 111. Thereafter, the conductive cap layer 1 12c may be formed, if required, followed by the deposition of the dielectric layer 113.
  • CMP chemical mechanical polishing
  • the dielectric material 121 may be deposited as is previously explained with reference to the material 111 and a corresponding patterning sequence may be performed so as to obtain a trench and a via opening for the metal line 1221 and the via 122t, respectively.
  • a corresponding patterning sequence may be performed so as to obtain a trench and a via opening for the metal line 1221 and the via 122t, respectively.
  • an appropriate thermo chemical treatment may be performed, as will be explained later on with reference to Figs 1 b and 1 c.
  • the conductive barrier material 122b may be deposited followed by the deposition of the core 122a and the removal of any excess material thereof.
  • the device 100 may be exposed to a deposition ambient 102, which may represent an electrochemical deposition ambient or a gaseous ambient, for instance a CVD ambient, an ambient for physical vapour deposition, such as sputter deposition and the like.
  • a deposition ambient 102 may represent an electrochemical deposition ambient or a gaseous ambient, for instance a CVD ambient, an ambient for physical vapour deposition, such as sputter deposition and the like.
  • a plurality of metal materials may efficiently be deposited on the basis of electrochemical deposition techniques, for instance electroless plating, in which an exposed surface of the core material 122a may act as a catalyst material for initiating a deposition of the material from an appropriate electrolyte solution. Consequently, a very selective material deposition may be achieved without requiring any additional patterning strategies for restricting the conductive cap layer 122c to the metal line 1221.
  • any other appropriate deposition regime may be used, for instance selective CVD-like deposition techniques, in which the core material 122a
  • Fig 1 b schematically illustrates the semiconductor device 100 when exposed to a process ambient of a first thermo chemical treatment 103a.
  • the process ambient of the treatment 103a may be established in any appropriate process tool, such as a deposition chamber and the like if, for instance, a deposition of a further dielectric material may be performed as an in situ process.
  • the process ambient of the treatment 103a may be established on the basis of a copper-reducing gas, which may for instance be established on the basis of ammonia (NH 3 ) and nitrogen (N 2 ) at a pressure of approximately 1 - 6 Torr.
  • the ratio of ammonia and nitrogen gas may range from approximately 1 :400 - 1 :1 while in other cases even substantially pure ammonia may be used as the reducing gas.
  • the substrate 101 may be heated to approximately 250 - 500 0 C, for instance to approximately 350°C, in order to establish a desired process temperature for the treatment 103a. Consequently, a thermally induced chemical cleaning process may be initiated at the surface of the cap layer 122c and also on the surface 121 s of the dielectric material 121.
  • the treatment 103a may result in the removal of metal residues, which may have been generated during the previous process sequences, for instance the deposition of the materials 122a, 122b and the corresponding removal of any excess material thereof.
  • residues may have deposited in the exposed surface 121 s, which may efficiently be removed, while also reducing the degree of carbon depletion, which may typically be observed upon plasma-based cleaning processes.
  • Fig 1 c schematically illustrates the semiconductor device 100 according to a further illustrative embodiment in which the device 100 may in addition to the thermo chemical treatment 103a be exposed to a further thermo chemical treatment 103b.
  • the treatments 103a, 103b may be performed on the basis of an appropriate process ambient without exposing the device 100 to ambient atmosphere between the treatments 103a and 103b.
  • a corresponding sequence of processes may also be referred to as an in situ process sequence, irrespective of whether the processes of the sequence may be performed in the same or different process chambers as long as an undue exposure to ambient atmosphere may be avoided.
  • the thermo chemical treatment 103b may be performed on the basis of a gaseous ambient including, in one illustrative embodiment, a silicon-containing gas component, such as silane or any derivatives thereof, such as tri methyl silane (3MS), tetra methyl silane (4MS), HMDS and the like.
  • a silicon-containing gas component such as silane or any derivatives thereof, such as tri methyl silane (3MS), tetra methyl silane (4MS), HMDS and the like.
  • a corresponding silicon diffusion into the exposed surface 121s may be initiated, thereby providing for a certain degree of hardening or densification of the surface 121 s.
  • HMDS may be used for enhancing the surface conditions of the material 121 , thereby even "re-establishing" a desired structure of the surface 121 s, which may have been damaged in the preceding process steps.
  • a required degree of silicon diffusion into the surface 121s may readily be determined on the basis of corresponding test measurements in which different dielectric materials may be treated on the basis of different parameter settings for the treatment 103b and determining the surface conditions after the various treatments. Consequently, the exposed surface 121 s may be modified so as to provide enhanced surface conditions during the further processing, for instance during the deposition of a further dielectric material thereby reducing the probability of affecting the characteristics of the material 121 , which may thus result in enhanced reliability, as previously explained.
  • the cap layer 121 c may act as a protection layer so as to avoid undue silicon diffusion into the core material 122a, which may otherwise result in a reduced overall conductivity, as also previously discussed.
  • Fig 1 c schematically illustrates the semiconductor device 100 when exposed to a deposition ambient 104 after performing the treatment 103a (cf. Fig 1 b) and, in some illustrative embodiments, also the treatment 103b (cf. Fig 1 c).
  • the deposition ambient 104 may be established on the basis of appropriate process parameters and precursor materials in order to obtain a desired composition of a dielectric layer 123, which may act as an etch stop material or any other appropriate transition layer for forming thereon a further dielectric material.
  • the deposition process 104 represents one process of a process sequence comprising at least the treatment 103a (cf. Fig 1 b), wherein the process sequence may be performed as an in situ process in the above-defined sense.
  • the treatment 103b (cf. Fig 1 c) may be performed and may also represent a part of the process sequence wherein the deposition ambient 104 may be established in the same process chamber as the process ambient of the treatment 103b.
  • a plasma may be established so as to initiate the deposition of silicon, nitrogen and carbon in order to form the dielectric layer 123.
  • the enhanced surface 121 s obtained by the previous one or more of the thermo chemical treatments may result in enhanced deposition uniformity and thus stable and reliable overall characteristics, such as enhanced dielectric strength and the like.
  • any desired material composition may be deposited during the process 104, such as two or more different material layers in the form of silicon carbide, silicon nitride, nitrogen- containing silicon carbide and the like. Thereafter, the further processing may be continued by depositing a further dielectric material, such as a ULK material and the like, and forming therein appropriate metal lines and vias, as is also described with reference to the metallization layers 110 and 120.
  • a further dielectric material such as a ULK material and the like
  • thermo chemical treatments 103a, 103b, as described with reference to Figs 1 b and 1 c may be applied as a process sequence after the patterning of the dielectric material 121 , as discussed above.
  • sophisticated etch strategies may have to be applied requiring formation of a resist mask, possibly in combination with hard mask material, so as to etch through the dielectric layer 121 and forming a corresponding trench for the metal line 1221 (cf. Fig 1 a).
  • the repeated exposure to a reactive ambient such as anisotropic etch recipes, resist strip processes and the like, may result in a significant degree of damage of exposed surface portions of the dielectric material 121.
  • a reactive ambient such as anisotropic etch recipes, resist strip processes and the like
  • applying the sequence of treatments 103a, 103b of Figs 1 b and 1c may result in an efficient removal of contaminants and also in a corresponding "reinforcement" of exposed surface portions of the dielectric material 121. Consequently, carbon depletion during the further processing may be reduced and also enhanced surface conditions may be obtained, for instance by hardening or densifying the exposed surface areas.
  • the conductive barrier material 122b upon depositing the conductive barrier material 122b, a more reliable degree of coverage may be obtained since the degree of porosity and etch damage at the surface areas of the material 121 may significantly be reduced. Furthermore, due to the avoidance of a plasma in the sequence 103a, 103b, additional damage may be avoided.
  • the present disclosure provides techniques in which enhanced electromigration behaviour may be accomplished on the basis of a conductive cap layer while additionally enhanced material characteristics of sensitive dielectric materials may be obtained by using a plasma-free cleaning process, possibly in combination with a surface modification process on the basis of, for instance, a silicon-containing process ambient. Further modifications and variations of the present disclosure will be apparent to those skilled in the art in view of this description. Accordingly, the description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the principles disclosed herein. It is to be understood that the forms shown and described herein are to be taken as the presently preferred embodiments.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

During the formation of complex metallization systems a conductive cap layer (122C) may be formed on a copper-containing metal region (122A) in order to enhance the electromigration behaviour without negatively affecting the overall conductivity. At the same time, a thermo chemical treatment may be performed so as to provide for superior surface conditions of the sensitive dielectric material (121) and also to suppress carbon depletion, which may conventionally result in a significant variability of material characteristics of sensitive ULK materials.

Description

PROVIDING SUPERIOR ELECTROMIGRATION PERFORMANCE AND REDUCING
DETERIORATION OF SENSITIVE LOW-K DIELECTRICS IN METALLIZATION
SYSTEMS OF SEMICONDUCTOR DEVICES
FIELD OF THE PRESENT DISCLOSURE
Generally, the present disclosure relates to microstructures, such as advanced integrated circuits, and more particularly to metallization systems comprising sophisticated dielectric and conductive materials.
DESCRIPTION OF THE PRIOR ART
In the fabrication of modern microstructures, such as integrated circuits, there is a continuous drive to steadily reduce the feature sizes of microstructure elements, thereby enhancing the functionality of these structures. For instance, in modern integrated circuits, minimum feature sizes, such as the channel length of field effect transistors, have reached the deep sub-micron range, thereby increasing performance of these circuits in terms of speed and/or power consumption and/or diversity of functions. As the size of individual circuit elements is reduced with every new circuit generation, thereby improving, for example, the switching speed of the transistor elements, the available floor space for interconnect lines electrically connecting the individual circuit elements is also decreased. Consequently, the dimensions of these interconnect lines are also reduced to compensate for a reduced amount of available floor space and for an increased number of circuit elements provided per unit die area as typically the number of interconnections required increases more rapidly than the number of circuit elements. Thus, usually a plurality of stacked "wiring" layers, also referred to as metallization layers, is provided, wherein individual metal lines of one metallization layer are connected to individual metal lines of an overlying or underlying metallization layer by so-called vias. Despite of the provision of a plurality of metallization layers reduced dimensions of the interconnect lines are necessary to comply with the enormous complexity of, for instance modern CPUs, memory chips, ASICs (application specific ICs) and the like.
Advanced integrated circuits, including transistor elements having a critical dimension of 0.05μm and even less, may, therefore, typically be operated at significantly increased current densities of up to several kA per cm2 in the individual interconnect structures despite the provision of a relatively large number of metallization layers owing to the increased number of circuit elements per unit area. Consequently, well-established materials, such as aluminum, are being replaced by copper and copper alloys, i.e., materials with significantly lower electrical resistivity and improved resistance to electromigration even at considerably higher current densities compared to aluminum. The introduction of copper into the fabrication of microstructures and integrated circuits comes along with a plurality of severe problems residing in copper's characteristic to readily diffuse in silicon dioxide and a plurality of low-k dielectric materials, which are typically used in combination with copper in order to reduce the parasitic capacitance within complex metallization layers. In order to provide the necessary adhesion and to avoid the undesired diffusion of copper atoms into sensitive device regions, it is, therefore, usually necessary to provide a barrier layer between the copper and the dielectric material in which the copper based interconnect structures are embedded. Although silicon nitride is a dielectric material that effectively prevents the diffusion of copper atoms, selecting silicon nitride as an interlayer dielectric material is less than desirable, since silicon nitride exhibits a moderately high permittivity, thereby increasing the parasitic capacitance of neighbouring copper lines, which may result in non- tolerable signal propagation delays. Hence, a thin conductive barrier layer that also imparts the required mechanical stability to the copper is usually formed so as to separate the bulk copper from the surrounding dielectric material, thereby reducing copper diffusion into the dielectric materials and also reducing the diffusion of unwanted species, such as oxygen, fluorine, and the like, into the copper. Furthermore, the conductive barrier layers may also form strong interfaces with the copper, thereby reducing the probability for inducing significant material migration at the interface, which is typically a critical region in view of increased diffusion paths that may facilitate current induced material diffusion. Currently, tantalum, titanium, tungsten and their compounds with nitrogen and silicon and the like, are preferred candidates for a conductive barrier layer, wherein the barrier layer may comprise two or more sub-layers of different composition so as to meet the requirements in terms of diffusion suppressing and adhesion properties.
Another characteristic of copper significantly distinguishing it from aluminum is the fact that copper may not readily be deposited in larger amounts by chemical and physical vapor deposition techniques, thereby requiring a process strategy that is commonly referred to as the damascene or inlaid technique. In the damascene process, first a dielectric layer is formed which is then patterned to include trenches and/or vias which are subsequently filled with copper, wherein, as previously noted, prior to filling in the copper, a conductive barrier layer is formed on sidewalls of the trenches and vias. The deposition of the bulk copper material into the trenches and vias is usually accomplished by wet chemical deposition processes, such as electroplating and electroless plating, thereby requiring the reliable filling of vias with an aspect ratio of 5 and more with a diameter of 0.3 μm or even less in combination with trenches having a width ranging from 0.1 μm to several μm. Electrochemical deposition processes for copper are well established in the field of electronic circuit board fabrication. However, for the dimensions of the metal regions in semiconductor devices the void free filling of high aspect ratio vias is an extremely complex and challenging task, wherein the characteristics of the finally obtained copper based interconnect structure significantly depend on process parameters, materials and geometry of the structure of interest. Since the geometry of interconnect structures is substantially determined by the design requirements and may, therefore, not be significantly altered for a given microstructure, it is of great importance to estimate and control the impact of materials, such as conductive and nonconductive barrier layers, the dielectric materials, and the like, and their mutual interaction, on the characteristics of the interconnect structure as a whole so as to insure both high yield and the required product reliability. In particular, it is important to identify, monitor and reduce degradation and failure mechanisms in metallization systems for various configurations so as to maintain device reliability for every new device generation or technology node. Accordingly, a great deal of effort is being made in investigating the degradation of copper interconnects, especially in combination with low-k dielectric materials or ultra low-k (ULK) materials having a relative permittivity of 3.0 or even less, in order to find new materials and process strategies for forming copper based lines and vias with a low overall permittivity and superior reliability.
One failure mechanism, which is believed to significantly contribute to a premature device failure, is the electromigration-induced material transport particularly along an interface formed between the copper and a dielectric cap layer, which may be provided after filling in the copper material in the trenches and via openings, the side walls of which are coated by the conductive barrier materials. In addition to maintaining copper integrity, the dielectric cap layer may usually act as an etch stop layer during the formation of the via openings in the interlayer dielectric. Frequently used materials are, for example, silicon nitride and nitrogen containing silicon carbide, which exhibit a moderately high etch selectivity to typically employed interlayer dielectrics, such as a plurality of low-k dielectric materials, and also suppress the diffusion of copper onto the interlayer dielectric. Recent research results seem to indicate, however, that the interface formed between the copper and dielectric cap layer is a major diffusion path for material transport during operation of the metal interconnect.
Consequently, a plurality of alternatives have been developed in an attempt to enhance the interface characteristics between the copper and the cap layer having the capability of reliably confining the copper and maintaining its integrity. For example, it has been proposed to selectively provide conductive materials on top of the copper-containing region, which may exhibit superior electromigration performance while not unduly reducing the overall resistance of the corresponding metal line. For instance, various alloys, such as a compound of cobalt/ tungsten/ phosphorous (CoWP), a compound of nickel/molybdenum/phosphorous (NiMoP), and the like, have proven to be promising candidates for conductive cap layers, which may significantly reduce electromigration effects within a corresponding metal line.
Although these compounds provide for superior electromigration performance, the implementation of an appropriate manufacturing process flow into well established process strategies for forming complex metallization systems is associated with significant efforts with respect to preparing the exposed surface for the corresponding electrochemical deposition process. Moreover, frequently severe defects may be observed in metallization systems including copper lines with a conductive cap layer formed on the basis of electrochemical deposition techniques, since increased leakage currents and dielectric breakdown events may occur in such devices compared to devices having a metallization system based on a dielectric cap layer.
In other strategies, the incorporation of certain species into the copper surface has been proven to be a viable technique for enhancing the overall electromigration behaviour, for instance, in combination with a corresponding cap or etch stop layer. Thus, in some conventional process regimes, the exposed surface of the copper lines may be exposed to a reactive ambient in order to incorporate silicon, nitrogen and the like for enhancing the surface characteristics of the metal lines prior to depositing the cap or etch stop material. For example, a silicon and/or nitrogen containing species may be supplied into the reactive ambient of a plasma based cleaning process in order to initiate the inter-diffusion of silicon, nitrogen, and the like, thereby forming a corresponding copper compound that may significantly enhance the overall surface characteristics. For instance, silane may be used in a corresponding plasma treatment in order to form a silicon/copper compound, which may also be referred to as copper suicide and may provide for the superior electromigration behaviour.
Although the electromigration behaviour of the copper surface may be enhanced in combination with a dielectric cap layer by initiating a silicon/nitrogen diffusion into the surface area of the copper material, it turns out however that the degree of inter-diffusion may be difficult to control and also the reactive plasma ambient may result in significant damage of exposed surface areas of sensitive dielectric materials, in particular when ULK materials are used in sophisticated applications. For this reason, thermo chemical treatments have been used, for instance for cleaning the exposed copper surface and initiating a silicon diffusion into the copper surface in order to obtain the superior electromigration behaviour, while avoiding or at least reducing undue damage of the sensitive dielectric materials. On the other hand, the copper/silicon compound forming in and beyond the copper surface may have a negative effect on the overall conductivity of the metal line, in particular in metallization systems requiring high current densities due to the reduced cross-sectional area, which may result in significant signal propagation delays.
In view of the situation described above, the present disclosure relates to techniques for forming complex metallization layers with enhanced electromigration behaviour and improved electrical performance and high breakdown voltages of the dielectric materials, while avoiding or at least reducing the effects of one or more of the problems identified above.
SUMMARY OF THE DISCLOSURE
Generally, the present disclosure relates to process techniques in which a superior performance with respect to electromigration may be obtained while at the same time the overall electrical performance of the metallization system may be enhanced. For this purpose, the material characteristics of highly sensitive low-k and ULK materials may not unduly be deteriorated or may even be re-established after certain process steps on the basis of a thermo chemical treatment. It has recognized that for many sophisticated low-k dielectric materials the overall behaviour of these materials may critically depend on the carbon contents, which may significantly be reduced upon exposure to reactive plasma ambients, as may typically be applied during corresponding cleaning processes and frequently also during the incorporation of a silicon species into exposed surface areas. Consequently, in some illustrative aspects disclosed herein, a thermo chemical treatment may be applied which may result in a significantly reduced degree of carbon depletion upon interaction with sensitive low-k dielectric materials, while a copper-containing metal region may have formed thereon a conductive cap layer which may result in superior electromigration performance and may also act as an efficient mask during the thermo chemical treatment. Consequently, the advantage of a superior electromigration performance without sacrificing overall conductivity may be accomplished by providing the conductive cap layer, while at the same time the thermo chemical treatment may result in superior characteristics of the low-k dielectric material, wherein in some illustrative embodiments disclosed herein a further thermo chemical treatment may be performed on the basis of an appropriate process ambient, such as a silicon-containing ambient, which may result in a corresponding improvement of surface characteristics of the dielectric material. For example, a certain degree of etch-related damage of the sensitive low-k dielectric material may be "repaired" thereby enhancing the surface conditions for the further processing of the semiconductor device, for instance in view of depositing a further dielectric material, such as an etch stop material or any other transition material layer for forming thereon a further low-k dielectric material.
One illustrative method disclosed herein relates to forming a metallization layer for a semiconductor device. The method comprises forming a conductive cap layer on a surface of a metal region that is laterally embedded in a first dielectric material of the metallization layer. Additionally, the method comprises performing a thermo chemical cleaning treatment on an exposed surface of the first dielectric material in the presence of the conductive cap layer. Furthermore, the method comprises forming a second dielectric material on the exposed surface of the first dielectric material and the conductive cap layer.
A further illustrative method disclosed herein comprises forming a conductive cap material on a copper-containing surface of a metal region of a metallization layer of a semiconductor device, wherein the metal region is formed in a low-k dielectric material of the metallization layer. The method further comprises performing a first thermo chemical treatment on an exposed surface of the low-k dielectric material on the basis of a copper oxide reducing process gas. The method additionally comprises performing a second thermo chemical treatment on the exposed surface on the basis of a silicon-containing process ambient after performing the first thermo chemical treatment. Moreover, the method comprises forming a dielectric material layer on the conductive cap layer and the exposed surface of the low-k dielectric material.
A still further illustrative method disclosed herein relates to forming a metallization layer of a semiconductor device. The method comprises forming an opening in a low-k dielectric layer and filling the opening with a copper-containing material so as to form a metal region. Furthermore, a conductive cap layer is formed on the surface of the metal region and a cleaning process is performed on the basis of a copper-reducing gas ambient in the absence of a plasma. Additionally, the method comprises performing a surface modification process on the basis of a silicon-containing process ambient in the absence of a plasma in the silicon-containing process ambient. BRIEF DESCRIPTION OF THE DRAWINGS
Further embodiments of the subject matter disclosed herein are defined in the appended claims and will become more apparent with the following detailed description when taken with reference to the accompanying drawings, in which:
Figs 1 a, 1 b and 1 d schematically illustrate cross-sectional views of a semiconductor device during various manufacturing stages in forming metallization layers in which a thermo chemical treatment may be performed on the basis of a conductive cap layer according to illustrative embodiments; and
Fig 1 c schematically illustrates the semiconductor device according to a further illustrative embodiment in which an additional thermo chemical treatment may be performed so as to further enhance the characteristics of a sensitive low-k dielectric material according to still further illustrative embodiments.
DETAILED DESCRIPTION
While the subject matter disclosed herein is described with reference to the embodiments as illustrated in the following detailed description as well as in the drawings, it should be understood that the following detailed description as well as the drawings are not intended to limit the present disclosure to the particular illustrative embodiments disclosed, but rather the described illustrative embodiments merely exemplify the various aspects of the present disclosure, the scope of which is defined by the appended claims.
Generally, the present disclosure provides process techniques in which superior electromigration characteristics may be achieved for metal regions in sophisticated metallization systems on the basis of a conductive cap material, while additionally undue deterioration of sensitive low-k dielectric materials, such as carbon depletion, etch-related damage and the like, may be reduced so that overall performance of the metallization system may be enhanced due to superior electromigration with a desired high conductivity at more stable and uniform characteristics of the dielectric material, for instance in view of a higher breakdown voltage and the like. To this end, after forming the conductive cap layer selectively on an exposed metal region at least a cleaning process may be performed as a thermo chemical treatment, which may be understood as a treatment performed in a process ambient that is established without a plasma, ie. a high fraction of ionized particles. It should be appreciated in this respect that a thermo chemical process ambient may be understood as an ambient in which the fraction of ionized particles substantially corresponds to a fraction that would be obtained by the thermal movement of molecules and atoms according to specified pressure and temperature conditions. Thus, a higher fraction of ionized particles caused by interaction with an electromagnetic field may not be considered as a thermo chemical process ambient.
In some illustrative embodiments disclosed herein, the thermo chemical cleaning process may be performed on the basis of oxide-reducing gases, such as an ammonia gas and/or a nitrogen gas, and may result in an efficient removal of contaminants generated during the preceding processes, such as copper deposition, chemical mechanical polishing of excess materials, the deposition of the conductive cap layer and the like, while also reducing the tendency of the sensitive low-k dielectric material for out diffusion of carbon species, which may significantly affect the overall characteristics of the low-k material. Consequently, during the further processing, for instance the deposition of a further dielectric material, which may be accomplished on the basis of an in situ process in combination with the previous thermo chemical treatment, enhanced surface conditions may be provided which may result in increased dielectric strength of the dielectric material, which in turn may contribute to a superior reliability of the resulting metallization system. In other illustrative embodiments a further thermo treatment may be performed on the basis of the silicon- containing process ambient in which a certain degree of surface modification may be accomplished, for instance by hardening or densifying exposed surface areas of the sensitive low-k dielectric material, thereby providing for further enhanced surface conditions during the further processing, or even reducing the degree of damage of previously performed etch and resist strip processes, thereby also contributing to superior reliability and thus dielectric strength. In some illustrative embodiments the thermo chemical treatment may thus be performed as an in situ process sequence with a cleaning step and a subsequent "silicon diffusion" step, possibly in combination with a deposition process for forming a further dielectric material, such as a silicon nitride material, nitrogen-containing silicon carbide material and the like. Thus, in sophisticated metallization systems requiring even further reduced parasitic capacitance values between closely spaced metal regions sensitive dielectric materials having a dielectric constant of 3.0 or even 2.0 and less may be used, wherein a corresponding process sequence may result in a significant degree of damaging the materials thereby contributing to reduced reliability in terms of dielectric strength and the like. Thus, an efficient silicon diffusion into the exposed surface areas on the basis of a plasma-free treatment may thus re-establish to a certain degree the desired molecular structure or may provide for enhanced hardness or density of the surface area, which may also in part enhance mechanical stability to these materials, which may frequently be provided in the form of a porous material system. For example, the degree of porosity at the exposed surface areas of these sensitive dielectric materials may be reduced by establishing a process ambient during the thermo chemical treatment on the basis of silicon-containing substances in the form of HMDS (hexamethyldisilazane) and the like. In some illustrative embodiments the thermo chemical treatment may also be performed prior to actually forming the metal regions in the sensitive dielectric material, that is, after patterning the dielectric material and prior to forming a conductive barrier material and depositing a copper-containing metal. Also in this case a negative effect of plasma-based processes may be avoided while nevertheless obtaining superior surface conditions for the subsequent process steps.
With reference to the accompanying drawings further illustrative embodiments will now be described in more detail.
Fig 1 a schematically illustrates a cross-sectional view of a semiconductor device 100 comprising a substrate 101 in and above which may be formed circuit elements, such as transistors and the like, as required by the overall circuit configuration of the device 100. As previously indicated, the continuous shrinkage of the critical feature sizes in the transistor level of the device, which may currently be at approximately 50 nm and less, also requires a corresponding adaptation of the feature sizes of metal lines and vias in a metallization system 130 of the device 100. In the embodiment shown in Fig 1 a the metallization system 130 may be represented by a first metallization layer 110 and a second metallization layer 120. It should be appreciated, however, that the metallization system 130 may comprise any number of metallization layers as is necessary in view of the overall complexity of the device 100. For convenience, any further metallization layers which may be formed above the layer 120 or which may be positioned below the metallization layer 1 10 are not shown. The metallization layer 1 10 may comprise a dielectric material 111 , such as a low-k dielectric material having a dielectric constant of 3.0 or less, while in more sophisticated applications the dielectric constant may be approximately 2.0 and less, in which case corresponding materials may also be referred to herein as ultra low-k (ULK) materials. Moreover, the metallization layer
110 may comprise a metal region or metal line 1 12 wherein it should be appreciated that typically a large number of appropriate metal regions may be provided in the metallization layer 1 10. The metal line or metal region 112 may comprise a highly conductive core material 1 12a based on copper, silver and the like, while a conductive barrier material 1 12b may confine the core materials 112a, for instance with respect to diffusion into the surrounding dielectric material and with respect to the incorporation of reactive components, such as oxygen, fluorine and the like, which may be present in the dielectric material 111 . Furthermore, as previously explained, the conductive barrier material 1 12b may provide for the desired adhesion of the core material 112a to the surrounding dielectric material
111 and may also form a strong interface with the highly conductive core material 112a in order to provide for the desired electromigration behaviour. For example, tantalum, tantalum nitride and the like are well-established barrier materials. Furthermore, in the embodiment shown a conductive cap layer 1 12c may be formed on the core material 1 12a and may be comprised of any appropriate material, such as CoWP compounds and the like, as is for instance also previously explained. It should be appreciated, however, that in other illustrative embodiments (not shown) the conductive cap layer 112c may not be provided if considered appropriate for the metallization layer 110, while however in other metallization layers such as the layer 120 superior electromigration behaviour in combination with a high electric conductivity may be required. Furthermore, a dielectric etch stop or cap layer 113, which may be comprised of silicon carbide, nitrogen-containing silicon carbide, silicon nitride and the like, may be formed on the dielectric material 111 and on the metal region 112, for instance on the conductive cap layer 112c, while in other cases the dielectric layer 113 may provide for the required copper confinement and electromigration behaviour. The metallization layer 120 may comprise a dielectric material 121 , which may also represent a low-k dielectric material or a ULK material, which may have a more or less porous state, as previously explained. Furthermore, a metal region 122 may laterally be embedded in the dielectric material 121 and may comprise a core material 122a, such as a copper material, in combination with a conductive barrier material 122b. In the embodiment shown, the metal region 122 may comprise a metal line 1221 and a via 122v connecting to the metal region 112 of the metallization layer 1 10. Furthermore, in this manufacturing stage, a conductive cap layer 122c formed of any appropriate metal or metal compound may be formed at least on the core material 122a so as to provide for superior electromigration behaviour while not unduly affecting the overall conductivity of the metal region 122.
The semiconductor device 100 as illustrated in Fig 1 a may be formed on the basis of the following processes. After forming corresponding circuit elements, such as transistors, in a semiconductor material provided above the substrate 101 , a contact structure (not shown) may be formed on the basis of well-established process techniques in order to provide an interface between the circuit elements formed in and above the semiconductor material and the metallization system 130. Thereafter, one or more metallization layers may be formed, such as the layer 1 10. For this purpose, the dielectric material 1 11 may be deposited on the basis of any appropriate deposition technique, such as CVD, spin-on techniques and the like, depending on the type of material to be deposited. As previously explained, the material 11 1 may comprise a certain fraction of carbon species, which may significantly affect the overall material characteristics. For example, materials on the basis of silicon, carbon, hydrogen and oxygen may frequently be used in a more or less porous state, while in other cases polymer materials and the like may be used. Thereafter, the dielectric material 11 1 may be patterned on the basis of sophisticated lithography and etch strategies, as will also be explained with reference to the metallization layer 120. Finally, respective openings corresponding to the metal region 122 may be filled with a metal-containing material and any excess material thereof may be removed, for instance by CMP (chemical mechanical polishing) so as to provide electrically isolated metal regions in the dielectric material 111. Thereafter, the conductive cap layer 1 12c may be formed, if required, followed by the deposition of the dielectric layer 113. Thereafter, the dielectric material 121 may be deposited as is previously explained with reference to the material 111 and a corresponding patterning sequence may be performed so as to obtain a trench and a via opening for the metal line 1221 and the via 122t, respectively. After the patterning of the dielectric material 121 on the basis of well-established etch techniques, in some illustrative embodiments (not shown), an appropriate thermo chemical treatment may be performed, as will be explained later on with reference to Figs 1 b and 1 c. Thereafter, the conductive barrier material 122b may be deposited followed by the deposition of the core 122a and the removal of any excess material thereof. Next, the device 100 may be exposed to a deposition ambient 102, which may represent an electrochemical deposition ambient or a gaseous ambient, for instance a CVD ambient, an ambient for physical vapour deposition, such as sputter deposition and the like. For example, a plurality of metal materials may efficiently be deposited on the basis of electrochemical deposition techniques, for instance electroless plating, in which an exposed surface of the core material 122a may act as a catalyst material for initiating a deposition of the material from an appropriate electrolyte solution. Consequently, a very selective material deposition may be achieved without requiring any additional patterning strategies for restricting the conductive cap layer 122c to the metal line 1221. In other cases, any other appropriate deposition regime may be used, for instance selective CVD-like deposition techniques, in which the core material 122a may have a significantly higher deposition rate compared to exposed surface areas 121 s of the dielectric material 121.
Fig 1 b schematically illustrates the semiconductor device 100 when exposed to a process ambient of a first thermo chemical treatment 103a. The process ambient of the treatment 103a may be established in any appropriate process tool, such as a deposition chamber and the like if, for instance, a deposition of a further dielectric material may be performed as an in situ process. In one illustrative embodiment, the process ambient of the treatment 103a may be established on the basis of a copper-reducing gas, which may for instance be established on the basis of ammonia (NH3) and nitrogen (N2) at a pressure of approximately 1 - 6 Torr. For instance, the ratio of ammonia and nitrogen gas may range from approximately 1 :400 - 1 :1 while in other cases even substantially pure ammonia may be used as the reducing gas. Moreover, the substrate 101 may be heated to approximately 250 - 5000C, for instance to approximately 350°C, in order to establish a desired process temperature for the treatment 103a. Consequently, a thermally induced chemical cleaning process may be initiated at the surface of the cap layer 122c and also on the surface 121 s of the dielectric material 121. As previously indicated, the treatment 103a may result in the removal of metal residues, which may have been generated during the previous process sequences, for instance the deposition of the materials 122a, 122b and the corresponding removal of any excess material thereof. Furthermore, also during the subsequent process for depositing the conductive cap layer 122c, residues may have deposited in the exposed surface 121 s, which may efficiently be removed, while also reducing the degree of carbon depletion, which may typically be observed upon plasma-based cleaning processes.
Fig 1 c schematically illustrates the semiconductor device 100 according to a further illustrative embodiment in which the device 100 may in addition to the thermo chemical treatment 103a be exposed to a further thermo chemical treatment 103b. For instance, the treatments 103a, 103b may be performed on the basis of an appropriate process ambient without exposing the device 100 to ambient atmosphere between the treatments 103a and 103b. A corresponding sequence of processes may also be referred to as an in situ process sequence, irrespective of whether the processes of the sequence may be performed in the same or different process chambers as long as an undue exposure to ambient atmosphere may be avoided. The thermo chemical treatment 103b may be performed on the basis of a gaseous ambient including, in one illustrative embodiment, a silicon-containing gas component, such as silane or any derivatives thereof, such as tri methyl silane (3MS), tetra methyl silane (4MS), HMDS and the like. For example, on the basis of an appropriate temperature, such as 250 - 5000C for silane or any derivatives thereof, a corresponding silicon diffusion into the exposed surface 121s may be initiated, thereby providing for a certain degree of hardening or densification of the surface 121 s. In other cases, HMDS may be used for enhancing the surface conditions of the material 121 , thereby even "re-establishing" a desired structure of the surface 121 s, which may have been damaged in the preceding process steps. It should be appreciated that a required degree of silicon diffusion into the surface 121s may readily be determined on the basis of corresponding test measurements in which different dielectric materials may be treated on the basis of different parameter settings for the treatment 103b and determining the surface conditions after the various treatments. Consequently, the exposed surface 121 s may be modified so as to provide enhanced surface conditions during the further processing, for instance during the deposition of a further dielectric material thereby reducing the probability of affecting the characteristics of the material 121 , which may thus result in enhanced reliability, as previously explained. At the same time, the cap layer 121 c may act as a protection layer so as to avoid undue silicon diffusion into the core material 122a, which may otherwise result in a reduced overall conductivity, as also previously discussed.
Fig 1 c schematically illustrates the semiconductor device 100 when exposed to a deposition ambient 104 after performing the treatment 103a (cf. Fig 1 b) and, in some illustrative embodiments, also the treatment 103b (cf. Fig 1 c). The deposition ambient 104 may be established on the basis of appropriate process parameters and precursor materials in order to obtain a desired composition of a dielectric layer 123, which may act as an etch stop material or any other appropriate transition layer for forming thereon a further dielectric material. In one illustrative embodiment the deposition process 104 represents one process of a process sequence comprising at least the treatment 103a (cf. Fig 1 b), wherein the process sequence may be performed as an in situ process in the above-defined sense. In other illustrative embodiments, additionally the treatment 103b (cf. Fig 1 c) may be performed and may also represent a part of the process sequence wherein the deposition ambient 104 may be established in the same process chamber as the process ambient of the treatment 103b. For this purpose, in some illustrative embodiments, a plasma may be established so as to initiate the deposition of silicon, nitrogen and carbon in order to form the dielectric layer 123. Thus, during the deposition of the material layer 123, the enhanced surface 121 s obtained by the previous one or more of the thermo chemical treatments may result in enhanced deposition uniformity and thus stable and reliable overall characteristics, such as enhanced dielectric strength and the like. It should be appreciated that any desired material composition may be deposited during the process 104, such as two or more different material layers in the form of silicon carbide, silicon nitride, nitrogen- containing silicon carbide and the like. Thereafter, the further processing may be continued by depositing a further dielectric material, such as a ULK material and the like, and forming therein appropriate metal lines and vias, as is also described with reference to the metallization layers 110 and 120.
In some illustrative embodiments, the thermo chemical treatments 103a, 103b, as described with reference to Figs 1 b and 1 c, may be applied as a process sequence after the patterning of the dielectric material 121 , as discussed above. For example, during the patterning of the dielectric material 121 sophisticated etch strategies may have to be applied requiring formation of a resist mask, possibly in combination with hard mask material, so as to etch through the dielectric layer 121 and forming a corresponding trench for the metal line 1221 (cf. Fig 1 a). Thus, after this complex patterning sequence the repeated exposure to a reactive ambient, such as anisotropic etch recipes, resist strip processes and the like, may result in a significant degree of damage of exposed surface portions of the dielectric material 121. In this case, applying the sequence of treatments 103a, 103b of Figs 1 b and 1c may result in an efficient removal of contaminants and also in a corresponding "reinforcement" of exposed surface portions of the dielectric material 121. Consequently, carbon depletion during the further processing may be reduced and also enhanced surface conditions may be obtained, for instance by hardening or densifying the exposed surface areas. Thus, upon depositing the conductive barrier material 122b, a more reliable degree of coverage may be obtained since the degree of porosity and etch damage at the surface areas of the material 121 may significantly be reduced. Furthermore, due to the avoidance of a plasma in the sequence 103a, 103b, additional damage may be avoided.
As a result, the present disclosure provides techniques in which enhanced electromigration behaviour may be accomplished on the basis of a conductive cap layer while additionally enhanced material characteristics of sensitive dielectric materials may be obtained by using a plasma-free cleaning process, possibly in combination with a surface modification process on the basis of, for instance, a silicon-containing process ambient. Further modifications and variations of the present disclosure will be apparent to those skilled in the art in view of this description. Accordingly, the description is to be construed as illustrative only and is for the purpose of teaching those skilled in the art the general manner of carrying out the principles disclosed herein. It is to be understood that the forms shown and described herein are to be taken as the presently preferred embodiments.

Claims

1. A method of forming a metallization layer of a semiconductor device, the method comprising:
forming a conductive cap layer on a surface of a metal region, said metal region being laterally embedded in a first dielectric material of said metallization layer;
performing a thermo-chemical cleaning treatment on an exposed surface of said first dielectric material in the presence of said conductive cap layer; and
forming a second dielectric material on said exposed surface of said first dielectric material and said conductive cap layer.
2. The method of claim 1 , wherein said thermo-chemical cleaning treatment is performed by using oxide reducing gases.
3. The method of claim 2, wherein said oxide reducing gases comprises at least one of an ammonia gas and a nitrogen gas.
4. The method of claim 1 , wherein said thermo-chemical treatment is performed at a process temperature of approximately 25O0C to 5000C.
5. The method of claim 1 , wherein said first dielectric material is a carbon containing material having a dielectric constant of approximately 2.7 or less.
6. The method of claim 1 , wherein said thermo-chemical cleaning treatment and depositing of said second dielectric material are performed as a process sequence without exposing said semiconductor device to ambient atmosphere.
7. The method of claim 1 , wherein further comprising performing a second thermo-chemical treatment on the basis of a silicon containing ambient after performing said thermo-chemical treatment and prior to forming said second dielectric material.
8. The method of claim 6, wherein said silicon containing ambient is established on the basis of at least one of silane, tri-methyl silane, tetra-methyl silane and hexamethyldisilazane (HMDS).
9. The method of claim 1 , wherein said metal region comprises copper and a conductive barrier material.
10. A method comprising:
forming a conductive cap material on a copper containing surface of a metal region of a metallization layer of a semiconductor device, said metal region being formed in a low-k dielectric material of said metallization layer;
performing a first thermo-chemical treatment on an exposed surface of said low-k dielectric material on the basis of a copper oxide reducing process gas;
performing a second thermo-chemical treatment on said exposed surface on the basis of a silicon containing process ambient after performing said first thermo-chemical treatment; and
forming a dielectric material layer on said conductive cap layer and said exposed surface of said low-k dielectric material.
1 1. The method of claim 10, wherein said silicon containing process ambient is established on the basis of at least one of silane, tri-methyl silane, tetra- methyl silane and hexamethyldisilazane (HMDS).
12. The method of claim 10, wherein said reducing process gas comprises at least one of an ammonia gas and a nitrogen gas.
13. The method of claim 10, wherein said first and second thermo-chemical treatments are performed at a process temperature of approximately 25O0C to 5000C.
14. The method of claim 10, wherein said second thermo-chemical treatment and forming of said dielectric material layer are performed as a continuous process sequence without exposing said semiconductor device to ambient atmosphere.
15. The method of claim 14, wherein said first thermo-chemical treatment, said second thermo-chemical treatment and forming of said dielectric material layer are performed as a continuous process sequence without exposing said semiconductor device to ambient atmosphere.
16. The method of claim 10, further comprising forming a trench in said low-k dielectric material and filling said trench with a copper containing material so as to form said metal region, wherein at least one further thermo-chemical treatment is performed prior to filling said trench.
17. The method of claim 16, wherein performing at least one further thermo- chemical treatment comprises performing a second thermo-chemical treatment on the basis of a silicon containing process ambient.
18. A method of forming a metallization layer of a semiconductor device, the method comprising:
forming an opening in a low-k dielectric layer;
filling said opening with a copper containing material so as to form a metal region;
forming a conductive cap layer on a surface of said metal region; performing a cleaning process on the basis of a copper reducing gas ambient in the absence of a plasma; and
performing a surface modification process on the basis of a silicon containing process ambient in the absence of a plasma in said silicon containing process ambient.
19. The method of claim 18, further comprising forming a dielectric material layer on said low-k dielectric material and said conductive cap layer.
20. The method of claim 18, wherein said low-k dielectric material comprises carbon.
PCT/EP2010/001091 2009-02-27 2010-02-22 Providing superior electromigration performance and reducing deterioration of sensitive low-k dielectrics in metallization systems of semiconductor devices WO2010097190A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2011551431A JP2012519373A (en) 2009-02-27 2010-02-22 Providing superior electromigration performance and reducing degradation of sensitive low-k dielectrics in semiconductor device metallization systems
CN2010800121646A CN102388449A (en) 2009-02-27 2010-02-22 Providing superior electromigration performance and reducing deterioration of sensitive low-k dielectrics in metallization systems of semiconductor devices

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102009010844.0 2009-02-27
DE102009010844.0A DE102009010844B4 (en) 2009-02-27 2009-02-27 Providing enhanced electromigration performance and reducing the degradation of sensitive low-k dielectric materials in metallization systems of semiconductor devices

Publications (1)

Publication Number Publication Date
WO2010097190A1 true WO2010097190A1 (en) 2010-09-02

Family

ID=42173426

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2010/001091 WO2010097190A1 (en) 2009-02-27 2010-02-22 Providing superior electromigration performance and reducing deterioration of sensitive low-k dielectrics in metallization systems of semiconductor devices

Country Status (6)

Country Link
US (1) US8153524B2 (en)
JP (1) JP2012519373A (en)
KR (1) KR20120052190A (en)
CN (1) CN102388449A (en)
DE (1) DE102009010844B4 (en)
WO (1) WO2010097190A1 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008063417B4 (en) * 2008-12-31 2016-08-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Local silicidation on contact hole bottoms in metallization systems of semiconductor devices
US8710660B2 (en) 2012-07-20 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect scheme including aluminum metal line in low-k dielectric
US9373579B2 (en) * 2012-12-14 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Protecting layer in a semiconductor structure
CN103871959B (en) * 2012-12-17 2017-11-03 中芯国际集成电路制造(上海)有限公司 Interconnection structure and its manufacture method
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US10020260B1 (en) * 2016-12-22 2018-07-10 Globalfoundries Inc. Corrosion and/or etch protection layer for contacts and interconnect metallization integration
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
CN113471070B (en) 2020-05-22 2022-04-12 北京屹唐半导体科技股份有限公司 Workpiece processing using ozone gas and hydrogen radicals

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070037374A1 (en) * 2005-08-15 2007-02-15 Kabushiki Kaisha Toshiba Semiconductor device and its manufacturing method
US20070228568A1 (en) * 2004-09-02 2007-10-04 Rohm Co., Ltd. Manufacturing Method of Semiconductor Device and Semiconductor Device Produced Therewith
US20070228571A1 (en) * 2006-04-04 2007-10-04 Chen-Hua Yu Interconnect structure having a silicide/germanide cap layer
US20070287294A1 (en) * 2006-06-08 2007-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures and methods for fabricating the same
US20080286966A1 (en) * 2007-05-15 2008-11-20 Joerg Hohage Method of forming a dielectric cap layer for a copper metallization by using a hydrogen based thermal-chemical treatment

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6259160B1 (en) * 1999-04-21 2001-07-10 Advanced Micro Devices, Inc. Apparatus and method of encapsulated copper (Cu) Interconnect formation
JP4034227B2 (en) * 2002-05-08 2008-01-16 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6869878B1 (en) * 2003-02-14 2005-03-22 Advanced Micro Devices, Inc. Method of forming a selective barrier layer using a sacrificial layer
US7268074B2 (en) * 2004-06-14 2007-09-11 Enthone, Inc. Capping of metal interconnects in integrated circuit electronic devices
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
DE102005035740A1 (en) * 2005-07-29 2007-02-08 Advanced Micro Devices, Inc., Sunnyvale A method of making an insulating barrier layer for a copper metallization layer
DE102005057057B4 (en) * 2005-11-30 2017-01-05 Advanced Micro Devices, Inc. A method of making an insulating overcoat for a copper metallization layer using a silane reaction
US7972954B2 (en) * 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
JP5204964B2 (en) * 2006-10-17 2013-06-05 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP2008172051A (en) * 2007-01-12 2008-07-24 Nec Electronics Corp Semiconductor device and its manufacturing method
JP2009016520A (en) * 2007-07-04 2009-01-22 Tokyo Electron Ltd Method and apparatus for manufacturing semiconductor apparatus
US7776740B2 (en) * 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7928003B2 (en) * 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070228568A1 (en) * 2004-09-02 2007-10-04 Rohm Co., Ltd. Manufacturing Method of Semiconductor Device and Semiconductor Device Produced Therewith
US20070037374A1 (en) * 2005-08-15 2007-02-15 Kabushiki Kaisha Toshiba Semiconductor device and its manufacturing method
US20070228571A1 (en) * 2006-04-04 2007-10-04 Chen-Hua Yu Interconnect structure having a silicide/germanide cap layer
US20070287294A1 (en) * 2006-06-08 2007-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures and methods for fabricating the same
US20080286966A1 (en) * 2007-05-15 2008-11-20 Joerg Hohage Method of forming a dielectric cap layer for a copper metallization by using a hydrogen based thermal-chemical treatment

Also Published As

Publication number Publication date
US20100221911A1 (en) 2010-09-02
JP2012519373A (en) 2012-08-23
DE102009010844B4 (en) 2018-10-11
US8153524B2 (en) 2012-04-10
KR20120052190A (en) 2012-05-23
CN102388449A (en) 2012-03-21
DE102009010844A1 (en) 2010-09-02

Similar Documents

Publication Publication Date Title
US7799693B2 (en) Method for manufacturing a semiconductor device
US7986040B2 (en) Method of reducing erosion of a metal cap layer during via patterning in semiconductor devices
US6713402B2 (en) Methods for polymer removal following etch-stop layer etch
US8153524B2 (en) Providing superior electromigration performance and reducing deterioration of sensitive low-k dielectrics in metallization systems of semiconductor devices
US8048796B2 (en) Microstructure device including a metallization structure with self-aligned air gaps formed based on a sacrificial material
US20190363048A1 (en) Via prefill in a fully aligned via
US6821884B2 (en) Method of fabricating a semiconductor device
US20070077761A1 (en) Technique for forming a copper-based metallization layer including a conductive capping layer
US7745327B2 (en) Method of forming a copper-based metallization layer including a conductive cap layer by an advanced integration regime
US20080182405A1 (en) Self-aligned air-gap in interconnect structures
JP2010517325A (en) Improved reliability of copper-based metallization structures in microstructured devices by using aluminum nitride
EP1992012A1 (en) Novel structure and method for metal integration
US8097536B2 (en) Reducing metal voids in a metallization layer stack of a semiconductor device by providing a dielectric barrier layer
US8193086B2 (en) Local silicidation of via bottoms in metallization systems of semiconductor devices
US20140264877A1 (en) Metallization systems of semiconductor devices comprising a copper/silicon compound as a barrier material
US20080206986A1 (en) Method of forming a copper-based metallization layer including a conductive cap layer by an advanced integration regime
KR20110063505A (en) Using a cap layer in metallization systems of semiconductor devices as a cmp and etch stop layer
US20100052175A1 (en) Reducing leakage and dielectric breakdown in dielectric materials of metallization systems of semiconductor devices by forming recesses
US7781329B2 (en) Reducing leakage in dielectric materials including metal regions including a metal cap layer in semiconductor devices
KR101185853B1 (en) Method for forming metal line of semiconductor device
WO2009134386A1 (en) Method of reducing erosion of a metal cap layer during via patterning in semiconductor devices
KR20120024907A (en) Method for forming metal line of semiconductor device
WO2007040860A1 (en) Technique for forming a copper-based metallization layer including a conductive capping layer
WO2010022969A1 (en) Using a cap layer in metallization systems of semiconductor devices as a cmp and etch stop layer
KR20060077254A (en) Method for forming line in semiconductor device

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201080012164.6

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10706523

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 6471/DELNP/2011

Country of ref document: IN

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2011551431

Country of ref document: JP

ENP Entry into the national phase

Ref document number: 20117022595

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 10706523

Country of ref document: EP

Kind code of ref document: A1