WO2010095942A1 - Method for splitting a beam with electromagnetic radiation having wavelengths in the extreme ultraviolet (euv) and the infrared (ir) wavelength range, and optical grating and optical device therefore - Google Patents

Method for splitting a beam with electromagnetic radiation having wavelengths in the extreme ultraviolet (euv) and the infrared (ir) wavelength range, and optical grating and optical device therefore Download PDF

Info

Publication number
WO2010095942A1
WO2010095942A1 PCT/NL2010/050084 NL2010050084W WO2010095942A1 WO 2010095942 A1 WO2010095942 A1 WO 2010095942A1 NL 2010050084 W NL2010050084 W NL 2010050084W WO 2010095942 A1 WO2010095942 A1 WO 2010095942A1
Authority
WO
WIPO (PCT)
Prior art keywords
grating
radiation
optical grating
optical
thin films
Prior art date
Application number
PCT/NL2010/050084
Other languages
French (fr)
Inventor
Frederik Bijkerk
Frederik Albert Van Goor
Antonius Johannes Ronald Van Den Boogaard
Original Assignee
Universiteit Twente
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Universiteit Twente filed Critical Universiteit Twente
Publication of WO2010095942A1 publication Critical patent/WO2010095942A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1838Diffraction gratings for use with ultraviolet radiation or X-rays
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1861Reflection gratings characterised by their structure, e.g. step profile, contours of substrate or grooves, pitch variations, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70158Diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure

Definitions

  • the invention relates to a method for splitting the primary radiation from a generated beam with primary electromagnetic radiation having a wavelength in the extreme ultraviolet wavelength range (EUV radiation) and parasitic radiation having a wavelength in the infrared wavelength range (IR radiation) in an optical device using a reflective optical grating of the blazed grating type, which in a cross- section has a sawtooth profile which extends over a main plane with a determined periodicity (the line distance (a) of the grating) , wherein the long sides of the sawtooth profile enclose a determined acute angle (the blaze angle (y) ⁇ with the main plane.
  • EUV radiation extreme ultraviolet wavelength range
  • IR radiation infrared wavelength range
  • the source for the necessary EUV radiation in such a device is for instance a plasma which is excited with a beam of infrared (IR) light, with a wavelength of 10.6 ⁇ m, coming from a CO2 laser.
  • IR infrared
  • a part of the non-converted IR light is absorbed in predetermined manner and a part is reflected by the plasma as parasitic radiation in the direction of the optical components which collimate the generated EUV radiation.
  • the resulting presence of parasitic IR radiation in the primary beam of EUV radiation has undesirable effects, such as the heating of optical components or a photoresist layer to be exposed in the lithography device.
  • WO 02/12928 A2 Known from WO 02/12928 A2 is a method for eliminating visible light and ultraviolet light from a generated beam of EUV radiation. According to this method use is made of a diffractive spectral filter in an optical condenser in a device for lithography with extreme ultraviolet radiation.
  • the spectral filter is a reflective optical grating of the blazed grating type, with a spatial frequency of the lines of the grating of about 150 to 2000 mm "1 , this corresponding to a value for the line distance a of 0.5 to 6.6 ⁇ m.
  • the known method has the drawback that at least a part of the desired EUV radiation is deflected at different angles by the blazed grating as a result of higher-order diffractions, and so does not pass through in a desired direction and is lost for the intended application, for instance lithography.
  • step (ii) providing an optical grating of the blazed grating type with a value of the blaze angle ( ⁇ > determined according to step (I) , and
  • angles oti, c*2/ —» ⁇ ⁇ at which the parasitic radiation with a wavelength ⁇ p is reflected in the 1 st , 2 nd , ..., n th order are determined by the grating equation: ⁇
  • An angle of incidence substantially equal to the blaze angle (Y) is understood to mean an angle of incidence wherein the incident beam is incident substantially, but not exactly, perpendicularly on the oblique surfaces of the grating, in a manner such that the exiting EUV beam is not reflected to the source in opposite direction to the incoming beam but can be guided to an intended location using per se known optical elements .
  • the blaze angle (Y) is chosen such that it differs from the thus calculated angles ⁇ if ⁇ 2 , ..., ⁇ n at which the parasitic radiation of wavelength ⁇ p is reflected by the grating, and the beam for splitting and the grating are oriented relative to each other such that the beam is incident perpendicularly of the long sides forming the sawtooth profile of the grating.
  • the line distance (a) amounts to at least 10 ⁇ m.
  • a line distance of at least 10 ⁇ m provides the advantage that the number of orders in which parasitic radiation can be reflected is limited to about 10. At this line distance the long sides forming the sawtooth profile are moreover sufficiently wide to enable deposition of a multi-layer structure thereon, this multi-layer structure functioning as reflector for the incident EUV radiation.
  • the surface of the optical grating is preferably covered with a stack of thin films which substantially scatter the EUV radiation, which thin films are separated by separating layers with a thickness in the order of magnitude of a quarter of the wavelength of the radiation, which separating layers substantially do not scatter the EUV radiation.
  • the invention further relates to an optical grating of the blazed grating type for performing the above described method, wherein the line distance (a) amounts to at least 10 ⁇ m.
  • the surface thereof is covered with a stack of thin films which substantially scatter the EUV radiation, which thin films are separated by separating layers with a thickness in the order of magnitude of the wavelength of the radiation, which separating layers substantially do not scatter the EUV radiation.
  • the thin films are manufactured substantially from at least one of the materials from the groups of transition elements from the fourth, fifth and sixth period and from the series of the rare earths of the periodic system of elements, for instance cobalt (Co), nickel (Ni), niobium (Nb), molybdenum (Mo), wolfram (W), rhenium (Re), iridium (Ir) and lanthanum (La).
  • the separating layers are for instance manufactured from at least one of the materials from the group of lithium (Li), lithium halogenides, beryllium (Be), boron (B), boron carbide (B 4 C) , carbon (C) , silicon (Si) and passivated silicon (Si:H) .
  • an optical grating according to the invention comprises a substrate of silicon oxide (SiO 2 ) formed as a blazed grating on which is deposited a stack of thin films of molybdenum (Mo) separated by separating layers of silicon (Si) .
  • the separating layers are in each case covered on at least one side with an intermediate layer of a material differing from the materials of the thin films and the separating layers. It has been found that the presence of intermediate layers results in a multi-layer mirror with a substantially higher reflectivity for radiation in the EUV range than multi-layer mirrors without such intermediate layers.
  • the separating layers are for instance covered in each case on at least one side with an intermediate layer of silicon (Si) .
  • the main plane in an optical grating according to the invention is a flat plane.
  • the main plane of the grating is a concave curved plane, whereby the grating is essentially concave.
  • a concave grating provides the advantage that the beam generated by the light source is collimated and can be utilized with high efficiency.
  • a concave grating also provides the advantage that in some situations it can for instance be placed on a collector already present in an optical device, whereby the functions of bundling of light and splitting of wavelengths are performed by one optical element.
  • the invention further relates to a device provided with an optical grating for performing an above described method, wherein the grating is in thermal contact with heat- discharging means for the purpose of discharging heat generated by a beam incident on the grating.
  • grating of the blazed grating type in the foregoing must also be understood to mean a grating whose blaze angle (Y) is equal to 0°, this grating being formed by a substrate which is covered with a number of parallel strips, arranged at mutually equal distance, of equal width of stacks of thin films which substantially scatter the EUV radiation, which thin films are separated by separating layers with a thickness in the order of magnitude of a quarter of the wavelength of the radiation, which separating layers substantially do not scatter the EUV radiation.
  • Fig. 1 shows a cross-sectional schematic view of a part of an optical grating according to the invention and a beam of radiation incident thereon comprising primary EUV radiation and parasitic IR radiation,
  • Fig. 2 shows a schematic representation of a part of a device for splitting a beam comprising primary EUV radiation and parasitic IR radiation
  • Fig. 3 shows the grating of fig. 2 with incident and exiting EUV beam
  • Fig. 4 shows a cross-sectional schematic view of a part of an optical grating according to a first embodiment, provided with a multi-layer structure deposited thereon.
  • Fig. 5 shows a cross-sectional schematic view of a part of an optical grating according to a second embodiment, provided with a multi-layer structure deposited thereon.
  • Fig. 1 shows a cross-section of a part of an optical grating 1 of the blazed grating type, which has a sawtooth profile extending over a main plane 2 with a determined periodicity (the line distance a of the grating ⁇ , wherein long sides 3 of the sawtooth profile enclose an acute angle Y (the blaze angle) with main plane 1.
  • Fig. 2 shows a part of a device 10 with a screen 8 with slit 9 and (in cross-section) a grating 1 of the blazed grating type for splitting a beam 5 comprising primary EUV radiation and parasitic IR radiation.
  • the beam 5 for splitting is incident on grating 1 via slit 9 at an angle equal to the blaze angle y, as described above with reference to fig. 1, wherein the primary beam of EUV radiation is reflected and exits through slit 9 as according to arrow 6, after which incident and exiting beam are separated in accordance with per se known optical techniques, as elucidated hereinbelow with reference to fig. 3.
  • the parasitic beam of IR radiation is reflected in zeroth order at an angle - ⁇ by grating 1 (represented by arrow 7), and in higher orders at angles am in directions (represented by arrows 11) differing from angle of incidence ⁇ .
  • Fig. 3 shows the grating of fig. 2 with incident and exiting EUV beam 5, 6.
  • the entering and exiting beams 5, 6 can be separated in simple manner by rotating grating 1 through an extremely small angle of rotation (represented by curved arrow 16) about a rotation axis 15 perpendicular to the direction of incidence 5, 6.
  • the right-hand (upper) part of grating 1 here rotates in the plane of drawing
  • the left-hand (lower) part of grating 1 rotates out of the plane of drawing
  • incident beam 5 remains in the plane of drawing
  • exiting beam 6 rotates through said rotation angle in a manner compatible with the rotation of grating 1.
  • the value of the rotation angle is determined by the specific conditions, such as the dimensions of the optical device in which grating 1 is received.
  • Fig. 4 shows in detail a part of a grating 1 with a sawtooth-like substrate 12 of SiO 2 , on which is deposited a multi-layer structure of alternating layers of molybdenum 14 and layers of silicon 13.
  • Fig. 5 shows in detail a part of a grating 17 with a flat substrate 12 of Si ⁇ 2 , on which is deposited a multi- layer structure of parallel strips of equal width of alternating layers of molybdenum 14 and layers of silicon 13 at mutually equal distances.
  • the grating distance a is given by the sum of the width of an individual strip and said distance between two strips.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Nanotechnology (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Toxicology (AREA)
  • Diffracting Gratings Or Hologram Optical Elements (AREA)

Abstract

Method for splitting the primary radiation from a generated beam with primary electromagnetic radiation having a wavelength in the extreme ultraviolet wavelength range (EUV radiation) and parasitic radiation having a wavelength in the infrared wavelength range (IR radiation) in an optical device using a reflective optical grating of the blazed grating type, which in a cross-section has a sawtooth profile which extends over a main plane with a determined periodicity (the line distance) of the grating, wherein the long sides of the sawtooth profile enclose a determined acute angle (the blaze angle) with the main plane, and optical grating and optical device for performing this method.

Description

METHOD FOR SPLITTING A BEAM WITH ELECTROMAGNETIC RADIATION HAVING WAVELENGTHS IN THE EXTREME ULTRAVIOLET (EUV) AND THE INFRARED (IR) WAVELENGTH RANGE, AND OPTICAL GRATING AND OPTICAL DEVICE THEREFORE
The invention relates to a method for splitting the primary radiation from a generated beam with primary electromagnetic radiation having a wavelength in the extreme ultraviolet wavelength range (EUV radiation) and parasitic radiation having a wavelength in the infrared wavelength range (IR radiation) in an optical device using a reflective optical grating of the blazed grating type, which in a cross- section has a sawtooth profile which extends over a main plane with a determined periodicity (the line distance (a) of the grating) , wherein the long sides of the sawtooth profile enclose a determined acute angle (the blaze angle (y) } with the main plane.
This method is particularly intended for application in a device for EUV lithography. The source for the necessary EUV radiation in such a device is for instance a plasma which is excited with a beam of infrared (IR) light, with a wavelength of 10.6 μm, coming from a CO2 laser. During the excitation of a plasma using IR light about 5% of the power incident on a target which generates the plasma is converted into EUV radiation, a part of the non-converted IR light is absorbed in predetermined manner and a part is reflected by the plasma as parasitic radiation in the direction of the optical components which collimate the generated EUV radiation. The resulting presence of parasitic IR radiation in the primary beam of EUV radiation has undesirable effects, such as the heating of optical components or a photoresist layer to be exposed in the lithography device.
Known from WO 02/12928 A2 is a method for eliminating visible light and ultraviolet light from a generated beam of EUV radiation. According to this method use is made of a diffractive spectral filter in an optical condenser in a device for lithography with extreme ultraviolet radiation. The spectral filter is a reflective optical grating of the blazed grating type, with a spatial frequency of the lines of the grating of about 150 to 2000 mm"1, this corresponding to a value for the line distance a of 0.5 to 6.6 μm. At this line distance visible light incident on the grating is reflected onto the oblique surfaces which together form the blazed grating, while incident EUV radiation and DUV radiation (deep ultraviolet radiation) are scattered by the grating in different orders at different angles. According to the described method visible light disappears from the beam as a result of the reflection on the grating, scattered DUV radiation is deflected to absorption bodies and at least a part of the desired radiation thus passes through an aperture arranged for this purpose.
The known method has the drawback that at least a part of the desired EUV radiation is deflected at different angles by the blazed grating as a result of higher-order diffractions, and so does not pass through in a desired direction and is lost for the intended application, for instance lithography.
It is an object of the invention to provide a method for splitting a beam of EUV radiation from a generated beam comprising parasitic IR radiation, wherein the power of the generated EUV radiation does not decrease after the separation of parasitic radiation, or only does so to negligible extent.
This object is achieved, and other advantages realized, with a method of the type specified in the preamble, which according to the invention comprises the steps of
(i) determining the blaze angle (y) of an optical grating of the blazed grating type in a manner such that, of a generated beam which is incident on the grating relative to the normal on the main plane at an angle of incidence which in a projection on a plane perpendicularly of the lines of the grating is equal, and otherwise substantially equal, to the blaze angle (γ) , the primary radiation is reflected in a projection on a plane perpendicularly of the lines of the grating in a direction opposite to that of the incident beam, and the parasitic radiation is reflected by the grating at a number of angles (oti, 0.2, ■■., αn, wherein n is a whole number) differing from the angle of incidence,
(ii) providing an optical grating of the blazed grating type with a value of the blaze angle (γ> determined according to step (I) , and
(iii) placing the optical grating in the optical device at an angle relative to a generated beam such that this beam is incident on the grating at the blaze angle (y) relative to the normal on the main plane.
The angles oti, c*2/ —» απ at which the parasitic radiation with a wavelength λp is reflected in the 1st, 2nd, ..., nth order are determined by the grating equation: λ
—- = sin a — sin γ (m = 1, 2, ..., n)
2a
An angle of incidence substantially equal to the blaze angle (Y) is understood to mean an angle of incidence wherein the incident beam is incident substantially, but not exactly, perpendicularly on the oblique surfaces of the grating, in a manner such that the exiting EUV beam is not reflected to the source in opposite direction to the incoming beam but can be guided to an intended location using per se known optical elements .
In the method according to the invention the blaze angle (Y) is chosen such that it differs from the thus calculated angles αif α2, ..., αn at which the parasitic radiation of wavelength λp is reflected by the grating, and the beam for splitting and the grating are oriented relative to each other such that the beam is incident perpendicularly of the long sides forming the sawtooth profile of the grating.
In a practically advantageous embodiment of the method according to the invention the line distance (a) amounts to at least 10 μm.
A line distance of at least 10 μm provides the advantage that the number of orders in which parasitic radiation can be reflected is limited to about 10. At this line distance the long sides forming the sawtooth profile are moreover sufficiently wide to enable deposition of a multi-layer structure thereon, this multi-layer structure functioning as reflector for the incident EUV radiation.
In the method according to the invention the surface of the optical grating is preferably covered with a stack of thin films which substantially scatter the EUV radiation, which thin films are separated by separating layers with a thickness in the order of magnitude of a quarter of the wavelength of the radiation, which separating layers substantially do not scatter the EUV radiation.
The invention further relates to an optical grating of the blazed grating type for performing the above described method, wherein the line distance (a) amounts to at least 10 μm.
In an embodiment of such an optical grating the surface thereof is covered with a stack of thin films which substantially scatter the EUV radiation, which thin films are separated by separating layers with a thickness in the order of magnitude of the wavelength of the radiation, which separating layers substantially do not scatter the EUV radiation. In an embodiment of such an optical grating the thin films are manufactured substantially from at least one of the materials from the groups of transition elements from the fourth, fifth and sixth period and from the series of the rare earths of the periodic system of elements, for instance cobalt (Co), nickel (Ni), niobium (Nb), molybdenum (Mo), wolfram (W), rhenium (Re), iridium (Ir) and lanthanum (La).
The separating layers are for instance manufactured from at least one of the materials from the group of lithium (Li), lithium halogenides, beryllium (Be), boron (B), boron carbide (B4C) , carbon (C) , silicon (Si) and passivated silicon (Si:H) .
In a practically advantageous embodiment an optical grating according to the invention comprises a substrate of silicon oxide (SiO2) formed as a blazed grating on which is deposited a stack of thin films of molybdenum (Mo) separated by separating layers of silicon (Si) . In another embodiment of a grating according to the invention the separating layers are in each case covered on at least one side with an intermediate layer of a material differing from the materials of the thin films and the separating layers. It has been found that the presence of intermediate layers results in a multi-layer mirror with a substantially higher reflectivity for radiation in the EUV range than multi-layer mirrors without such intermediate layers.
In an embodiment in which they are manufactured from at least one of the materials from the group of carbon (C) and passivated silicon (Si:H)f the separating layers are for instance covered in each case on at least one side with an intermediate layer of silicon (Si) .
In an embodiment the main plane in an optical grating according to the invention is a flat plane.
In another embodiment the main plane of the grating is a concave curved plane, whereby the grating is essentially concave. A concave grating provides the advantage that the beam generated by the light source is collimated and can be utilized with high efficiency. A concave grating also provides the advantage that in some situations it can for instance be placed on a collector already present in an optical device, whereby the functions of bundling of light and splitting of wavelengths are performed by one optical element.
The invention further relates to a device provided with an optical grating for performing an above described method, wherein the grating is in thermal contact with heat- discharging means for the purpose of discharging heat generated by a beam incident on the grating.
It is noted that "grating of the blazed grating type" in the foregoing must also be understood to mean a grating whose blaze angle (Y) is equal to 0°, this grating being formed by a substrate which is covered with a number of parallel strips, arranged at mutually equal distance, of equal width of stacks of thin films which substantially scatter the EUV radiation, which thin films are separated by separating layers with a thickness in the order of magnitude of a quarter of the wavelength of the radiation, which separating layers substantially do not scatter the EUV radiation.
The invention will now be elucidated hereinbelow on the basis of exemplary embodiments, with reference to the drawings .
In the drawings
Fig. 1 shows a cross-sectional schematic view of a part of an optical grating according to the invention and a beam of radiation incident thereon comprising primary EUV radiation and parasitic IR radiation,
Fig. 2 shows a schematic representation of a part of a device for splitting a beam comprising primary EUV radiation and parasitic IR radiation, Fig. 3 shows the grating of fig. 2 with incident and exiting EUV beam, and
Fig. 4 shows a cross-sectional schematic view of a part of an optical grating according to a first embodiment, provided with a multi-layer structure deposited thereon. Fig. 5 shows a cross-sectional schematic view of a part of an optical grating according to a second embodiment, provided with a multi-layer structure deposited thereon.
Corresponding components are designated in the figures with the same reference numerals. Fig. 1 shows a cross-section of a part of an optical grating 1 of the blazed grating type, which has a sawtooth profile extending over a main plane 2 with a determined periodicity (the line distance a of the grating} , wherein long sides 3 of the sawtooth profile enclose an acute angle Y (the blaze angle) with main plane 1. The blaze angle Y is determined in the above described manner such that, of a generated beam (represented by arrow 5} with (primary) EUV radiation and (parasitic) IR radiation and incident in the plane of the drawing at the blaze angle Y relative to the normal 4 on main plane 1, the EUV radiation is reflected in a direction opposite to the direction of incidence (represented by arrow 6} and the IR radiation is reflected by grating 1 at a number of angles (wherein the reflection in the zeroth order, for which α0 = ~γ, is shown by arrow 7) .
Fig. 2 shows a part of a device 10 with a screen 8 with slit 9 and (in cross-section) a grating 1 of the blazed grating type for splitting a beam 5 comprising primary EUV radiation and parasitic IR radiation. The beam 5 for splitting is incident on grating 1 via slit 9 at an angle equal to the blaze angle y, as described above with reference to fig. 1, wherein the primary beam of EUV radiation is reflected and exits through slit 9 as according to arrow 6, after which incident and exiting beam are separated in accordance with per se known optical techniques, as elucidated hereinbelow with reference to fig. 3. The parasitic beam of IR radiation is reflected in zeroth order at an angle -γ by grating 1 (represented by arrow 7), and in higher orders at angles am in directions (represented by arrows 11) differing from angle of incidence γ.
Fig. 3 shows the grating of fig. 2 with incident and exiting EUV beam 5, 6. As elucidated above, at an angle of incidence which is exactly equal to the blaze angle Y the EUV beam 6 exits in a direction exactly opposite to the incident beam 5. The entering and exiting beams 5, 6 can be separated in simple manner by rotating grating 1 through an extremely small angle of rotation (represented by curved arrow 16) about a rotation axis 15 perpendicular to the direction of incidence 5, 6. In the case of a rotation to the right in the shown example the right-hand (upper) part of grating 1 here rotates in the plane of drawing, the left-hand (lower) part of grating 1 rotates out of the plane of drawing, incident beam 5 remains in the plane of drawing and exiting beam 6 rotates through said rotation angle in a manner compatible with the rotation of grating 1. The value of the rotation angle is determined by the specific conditions, such as the dimensions of the optical device in which grating 1 is received.
Fig. 4 shows in detail a part of a grating 1 with a sawtooth-like substrate 12 of SiO2, on which is deposited a multi-layer structure of alternating layers of molybdenum 14 and layers of silicon 13.
Fig. 5 shows in detail a part of a grating 17 with a flat substrate 12 of Siθ2, on which is deposited a multi- layer structure of parallel strips of equal width of alternating layers of molybdenum 14 and layers of silicon 13 at mutually equal distances. In this embodiment the grating distance a is given by the sum of the width of an individual strip and said distance between two strips.

Claims

1. Method for splitting the primary radiation from a generated beam (5) with primary electromagnetic radiation having a wavelength in the extreme ultraviolet wavelength range (EUV radiation) and parasitic radiation having a wavelength in the infrared wavelength range (IR radiation) in an optical device (10) using a reflective optical grating (1) of the blazed grating type, which in a cross-section has a sawtooth profile which extends over a main plane (2) with a determined periodicity (the line distance (a) of the grating) , wherein the long sides (3) of the sawtooth profile enclose a determined acute angle (the blaze angle (γ) ) with the main plane (2) , characterized by the steps of
(i) determining the blaze angle (y) of an optical grating (1) of the blazed grating type in a manner such that, of a generated beam (5) which is incident on the grating (1) relative to the normal (4) on the main plane (2) at an angle of incidence which in a projection on a plane perpendicularly of the lines of the grating (1) is equal, and otherwise substantially equal, to the blaze angle (Y) , the primary radiation is reflected in a projection on a plane perpendicularly of the lines of the grating (1) in a direction (6) opposite to that of the incident beam, and the parasitic radiation is reflected by the grating (1) at a number of angles (cxi, c*2/ —, αn, wherein n is a whole number) differing from the angle of incidence,
(ii) providing an optical grating (1) of the blazed grating type with a value of the blaze angle (Y) determined according to step (I), and
(iii) placing the optical grating (1) in the optical device (10) at an angle relative to a generated beam (5) such that this beam (5) is incident on the grating (1) at the blaze angle (y) relative to the normal (4) on the main plane (2).
2. Method as claimed in claim 1, wherein the line distance (a) amounts to at least 10 μra.
3. Method as claimed in claim 1, wherein the surface of the optical grating (1) is covered with a stack of thin films (14) which substantially scatter the EUV radiation, which thin films (14) are separated by separating layers (13) with a thickness in the order of magnitude of a quarter of the wavelength of the radiation, which separating layers (13) substantially do not scatter the EUV radiation.
4. Optical grating (1) of the blazed grating type, which in a cross-section has a sawtooth profile which extends over a main plane (2) with a determined periodicity (the line distance (a) of the grating (I)), wherein the long sides (3) of the sawtooth profile enclose a determined acute angle (the blaze angle (Y) ) with the main plane (2), for performing a method as claimed in any of the claims 1-3, characterized in that the line distance (a) amounts to at least 10 μm.
5. Optical grating (17) for performing a method as claimed in any of the claims 1-3, characterized in that it is formed by a substrate (12) which is covered with a number of parallel strips, arranged at mutually equal distance, of equal width of stacks of thin films (14) which substantially scatter the EUV radiation, which thin films (14) are separated by separating layers (13) with a thickness in the order of magnitude of a quarter of the wavelength of the radiation, which separating layers (13) substantially do not scatter the EUV radiation.
6. Optical grating (1, 17) as claimed in any of the claims 4-5, characterized in that the surface thereof is covered with a stack of thin films (14) which substantially scatter the EUV radiation, which thin films (14) are separated by separating layers (13) with a thickness in the order of magnitude of a quarter of the wavelength of the radiation, which separating layers (13) substantially do not scatter the EUV radiation.
7. Optical grating (1, 17) as claimed in claim 6, characterized in that the thin films (14) are manufactured substantially from at least one of the materials from the groups of transition elements from the fourth, fifth and sixth period and from the series of the rare earths of the periodic system of elements.
8. Optical grating {1, 17} as claimed in claim 7, characterized in that the thin films (14) are manufactured substantially from at least one of the materials cobalt (Co) , nickel (Ni), niobium (Nb), molybdenum (Mo), wolfram (W), rhenium (Re) , iridium (Ir) and lanthanum (La) .
9. Optical grating (1, 17) as claimed in any of the claims 4-8, characterized in that the separating layers (13) are manufactured from at least one of the materials from the group of lithium (Li), lithium halogenides, beryllium (Be), boron (B), boron carbide (B4C), carbon (C), silicon (Si) and passivated silicon (Si:H).
10. Optical grating (1, 17) as claimed in claim 9, characterized in that it comprises a substrate (12) of silicon oxide (SiO2) formed as a blazed grating on which is deposited a stack of thin films (14) of molybdenum (Mo) separated by separating layers (13) of silicon (Si) .
11. Optical grating (1, 17) as claimed in any of the claims 4-9, characterized in that the separating layers (13) are in each case covered on at least one side with an intermediate layer of a material differing from the materials of the thin films and the separating layers.
12. Optical grating (1, 17) as claimed in claim 11, characterized in that the separating layers are manufactured from at least one of the materials from the group of carbon (C) and passivated silicon (Si: H), and the material of the intermediate layer is silicon (Si) .
13. Optical grating (1, 17) as claimed in any of the claims 4-12, characterized in that the main plane (2) is a flat plane.
14. Optical grating as claimed in any of the claims 4- 12, characterized in that the main plane is a curved plane.
15. Optical device (10) for performing a method as claimed in any of the claims 1-3, provided with an optical grating (1, 17) according to any of the claims 4-14, characterized in that the grating (1) is in thermal contact with heat-discharging means for the purpose of discharging heat generated by a beam (5) incident on the grating (1) .
PCT/NL2010/050084 2009-02-20 2010-02-19 Method for splitting a beam with electromagnetic radiation having wavelengths in the extreme ultraviolet (euv) and the infrared (ir) wavelength range, and optical grating and optical device therefore WO2010095942A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
NL2002545A NL2002545C2 (en) 2009-02-20 2009-02-20 METHOD FOR SPLITTING A BUNDLE WITH ELECTROMAGNETIC RADIATION WITH WAVE LENGTHS IN THE EXTREME ULTRAVIOLET (EUV) AND INFRARED (IR) WAVE LENGTH AREA AND OPTICAL TRALION AND OPTICAL DEVICE THEREFOR.
NL2002545 2009-02-20

Publications (1)

Publication Number Publication Date
WO2010095942A1 true WO2010095942A1 (en) 2010-08-26

Family

ID=40887170

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/NL2010/050084 WO2010095942A1 (en) 2009-02-20 2010-02-19 Method for splitting a beam with electromagnetic radiation having wavelengths in the extreme ultraviolet (euv) and the infrared (ir) wavelength range, and optical grating and optical device therefore

Country Status (2)

Country Link
NL (1) NL2002545C2 (en)
WO (1) WO2010095942A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102017204312A1 (en) 2016-05-30 2017-11-30 Carl Zeiss Smt Gmbh Optical wavelength filter component for a light beam
CN108700752A (en) * 2016-03-08 2018-10-23 卡尔蔡司Smt有限责任公司 Realize the beam splitter of the glancing incidence of light
CN113219794A (en) * 2021-05-14 2021-08-06 中国科学院长春光学精密机械与物理研究所 Extreme ultraviolet collecting mirror with energy recovery function and preparation method thereof
DE102020210553A1 (en) 2020-08-20 2022-03-24 Carl Zeiss Smt Gmbh Reflective optical element, illumination optics, projection exposure apparatus and method for forming a protective layer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002012928A2 (en) * 2000-08-04 2002-02-14 Euv Limited Liability Corporation Diffraction spectral filter for use in extreme-uv lithography condenser
US20020186741A1 (en) * 1998-06-04 2002-12-12 Lambda Physik Ag Very narrow band excimer or molecular fluorine laser
EP1586949A2 (en) * 2004-04-12 2005-10-19 Canon Kabushiki Kaisha Exposure apparatus and exposure method using EUV light

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020186741A1 (en) * 1998-06-04 2002-12-12 Lambda Physik Ag Very narrow band excimer or molecular fluorine laser
WO2002012928A2 (en) * 2000-08-04 2002-02-14 Euv Limited Liability Corporation Diffraction spectral filter for use in extreme-uv lithography condenser
EP1586949A2 (en) * 2004-04-12 2005-10-19 Canon Kabushiki Kaisha Exposure apparatus and exposure method using EUV light

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108700752A (en) * 2016-03-08 2018-10-23 卡尔蔡司Smt有限责任公司 Realize the beam splitter of the glancing incidence of light
DE102017204312A1 (en) 2016-05-30 2017-11-30 Carl Zeiss Smt Gmbh Optical wavelength filter component for a light beam
DE102020210553A1 (en) 2020-08-20 2022-03-24 Carl Zeiss Smt Gmbh Reflective optical element, illumination optics, projection exposure apparatus and method for forming a protective layer
CN113219794A (en) * 2021-05-14 2021-08-06 中国科学院长春光学精密机械与物理研究所 Extreme ultraviolet collecting mirror with energy recovery function and preparation method thereof

Also Published As

Publication number Publication date
NL2002545C2 (en) 2010-08-24

Similar Documents

Publication Publication Date Title
EP2261699B1 (en) Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
TWI597568B (en) Phase grating for mask inspection system
EP2326990B1 (en) Spectral purity filter, radiation source, lithographic apparatus, and device manufacturing method
JP4390683B2 (en) Optical element, lithographic apparatus including such an optical element, and device manufacturing method
US7050237B2 (en) High-efficiency spectral purity filter for EUV lithography
JP5716038B2 (en) Reflective optical element for EUV lithography
US20170160646A1 (en) Lithographic Apparatus, Spectral Purity Filter and Device Manufacturing Method
EP3257054B1 (en) Euv multilayer mirror, optical system including a multilayer mirror and method of manufacturing a multilayer mirror
JP2014514741A (en) Deflection mirror and projection exposure apparatus for microlithography provided with the deflection mirror
WO2010095942A1 (en) Method for splitting a beam with electromagnetic radiation having wavelengths in the extreme ultraviolet (euv) and the infrared (ir) wavelength range, and optical grating and optical device therefore
US11073766B2 (en) Reflective optical element and optical system for EUV lithography having proportions of substances which differ across a surface
WO2011142782A2 (en) Zone compensated multilayer laue lens and apparatus and method of fabricating the same
Hecquet et al. Design and performance of two-channel EUV multilayer mirrors with enhanced spectral selectivity
NL2005245C2 (en) SPECTRAL FILTER FOR SPLITTING A BUNDLE WITH ELECTROMAGNETIC RADIATION WITH WAVE LENGTHS IN THE EXTREME ULTRAVIOLET (EUV) OR SOFT X-RAY (SOFT X) AND INFRARED (IR) WAVE LENGTH AREA.
US10578783B2 (en) Optical grating and optical assembly for same
Kleineberg et al. Mo/Si multilayer-coated ruled blazed gratings for the soft-x-ray region
NL2006604A (en) Lithographic apparatus, spectral purity filter and device manufacturing method.

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10705206

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10705206

Country of ref document: EP

Kind code of ref document: A1