WO2006111942A1 - An optical inspection apparatus and method - Google Patents

An optical inspection apparatus and method Download PDF

Info

Publication number
WO2006111942A1
WO2006111942A1 PCT/IE2006/000027 IE2006000027W WO2006111942A1 WO 2006111942 A1 WO2006111942 A1 WO 2006111942A1 IE 2006000027 W IE2006000027 W IE 2006000027W WO 2006111942 A1 WO2006111942 A1 WO 2006111942A1
Authority
WO
WIPO (PCT)
Prior art keywords
probe beam
photoreflectance
silicon
modulation
reflectance
Prior art date
Application number
PCT/IE2006/000027
Other languages
French (fr)
Inventor
Martin Edward Murtagh
Patrick Vincent Kelly
Original Assignee
Optical Metrology Patents Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Optical Metrology Patents Limited filed Critical Optical Metrology Patents Limited
Publication of WO2006111942A1 publication Critical patent/WO2006111942A1/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/1717Systems in which incident light is modified in accordance with the properties of the material investigated with a modulation of one or more physical properties of the sample during the optical investigation, e.g. electro-reflectance
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/16Measuring arrangements characterised by the use of optical techniques for measuring the deformation in a solid, e.g. optical strain gauge

Definitions

  • the invention relates to modulation spectroscopy for analysis of materials such as strained semiconductor.
  • strained silicon are those in which the silicon is strained by pseudomorphic growth as an ultra-thin layer of silicon on a silicon-germanium alloy buffer layer, or in which the strained silicon thus grown has been transferred as a thin layer onto an insulating layer on a second wafer so-called strained silicon on insulator, or else where the strain is induced by means of adjacent regions of silicon-germanium alloy.
  • strained silicon present difficulties to X-ray diffractometry or Raman spectroscopy when applied to them to measure the strain in the silicon layer.
  • X-rays are not easily absorbed in silicon or in silicon-germanium alloy or insulators. Therefore, the depth from which information is generated in an X-ray diffractometry measurement is much larger than the technologically useful thickness of a strained silicon layer, typically 20 nm.
  • the invention is directed towards achieving improved inspection of strained silicon or like materials.
  • a modulation spectroscopy method comprising the steps of directing a probe beam and a pump beam at a sample and modulating the pump beam, and the probe beam is reflected from the sample into a detector
  • the sample includes a strained semiconductor
  • the detector produces as output an electrical signal which comprises a large d.c. signal proportional to reflectance R of the probe beam and a small a.c. modulated signal at the modulation frequency proportional to the modulation of the reflectance ⁇ R of the probe beam,
  • both the reflectance R of the probe beam and the modulation of the reflectance ⁇ R of the probe beam are measured at a multiplicity of probe beam photon energies arising from different wavelengths of the probe beam, to provide a photoreflectance spectrum comprising at least one photoreflectance lineshape, and
  • the photoreflectance spectrum is analysed to measure energy differences between interband electronic transitions of the strained semiconductor, and the strain of the strained semiconductor is determined according to said energy differences.
  • the probe beam is incident at a plurality of locations on the sample either simultaneously or in sequence, and the photoreflectance ratio ⁇ R/R of each is calculated or measured at a plurality of beam photon energies to provide the photoreflectance spectrum.
  • the photoreflectance spectrum is analysed to yield one or more of the energy, the broadening parameter, the amplitude and the phase of one or more interband electronic transitions.
  • the photoreflectance spectrum comprises at least two photoreflectance lineshapes, each of which corresponds to an interband electronic transition, and the photoreflectance lineshapes are analysed to yield the energy of the direct interband electronic transitions.
  • the strained semiconductor is uniaxially strained.
  • the energy difference is represented by a shift in the energy of one of the transitions.
  • the strained semiconductor is biaxially strained.
  • the method comprises the further steps of measuring the photoreflectance spectrum at one or more different phase shifts with respect to a modulation cycle, and analysing the photoreflectance spectrum to determine the relative phase of more than one photoreflectance lineshape.
  • the invention provides a modulation spectroscopy method comprising the steps of directing a probe beam and a pump beam co-incidentally at a sample and modulating the pump beam, and the probe beam is reflected from the sample into a detector, wherein the detector produces as output an electrical signal which comprises a large d.c. signal proportional to reflectance R of the probe beam and a small a.c. modulated signal at the modulation frequency proportional to the modulation of the reflectance ⁇ R of the probe beam, and
  • both the reflectance R of the probe beam and the modulation of the reflectance ⁇ R of the probe beam are measured at a multiplicity of probe beam photon energies arising from different wavelengths of the probe beam, to provide a photoreflectance spectrum comprising at least one photoreflectance lineshape, and
  • the photoreflectance spectrum is analysed to yield one or more of the energy, the broadening parameter, the amplitude and the phase of one or more interband electronic transitions.
  • the method comprises the steps of measuring parameters characteristic of the crystallinity, disorder, or damage on a surface of the sample, due to processes such as sawing, lapping, grinding, back-grinding, polishing or die singulation.
  • the method comprises the further steps of analysing the energy of one or more of the direct interband electronic transitions, measured by photoreflectance spectroscopy, to measure the alloy mole fraction in the semiconductor layer to which it is associated.
  • the invention provides a modulation spectroscopy apparatus for performing any method as defined above.
  • Fig. 1 is a diagrammatic overview and Fig. 2 is a more detailed view of an inspection system of the invention with monochromation of a probe beam prior to its incidence on a sample;
  • Fig. 3 is a diagrammatic view of a system in which there is monochromation of the probe beam after its reflection from the sample;
  • Fig. 4 shows plots of reflectance and modulated reflectance signals with time
  • Fig. 5 is a diagram of an epitaxial crystalline structure in which a top silicon layer is biaxially strained
  • Fig. 6 is a diagrammatic perspective view showing how an epitaxial silicon layer grown pseudomorphically on a silicon-germanium alloy layer is biaxially strained.
  • Figs. 7 to 9 are plots illustrating characteristics for measuring strain in strained silicon.
  • a probe beam light source 2 generates an incident probe beam 4 on a strained silicon sample 5.
  • a modulated reflected probe beam 6 is detected by a probe beam detector 8.
  • a pump beam 12 is modulated by a modulator 13 and the modulated pump beam is indicated by the numeral 14.
  • a monochromator or spectrograph 19 spatially disperses the light into its constituent wavelengths such that the photoreflectance signal can be measured at a multiplicity of wavelengths.
  • a typical form of the result of the modulation spectroscopy measurement is shown in Fig. 4, which is a spectrum of the ratio of the modulated reflectance signal ⁇ R to the reflectance signal R 5 in this case typical of the result from a thin 20 nm strained silicon layer pseudomorphically grown on a fully relaxed silicon-germanium alloy layer of Ge alloy mole fraction 20%.
  • the system 1 measures the direct interband transition energies (sometimes referred to as the optical bandgaps) of semiconductors using the method of modulation spectroscopy known also as photoreflectance spectroscopy. It may be used to determine strain and/or alloy composition in silicon, germanium, silicon-germanium alloy, silicon-germanium-carbon alloy, silicon-on-inulator, silicon-germamum-on-insulator or other semiconductor materials and semiconductor structures.
  • the apparatus 1 comprises in a mechanical support system of the type described in WO2005/015187.
  • the light source sub-system 2 comprises a light source 15 coupled by means of a fibre optic 16 and a pair of lenses 17 and 18, one of which 17 has a suitable f-number compared to the f- number of the fibre optic or fibre optic bundle 16 and the other of which has a suitable f- number compared to the f-number of a monochromator 19. They cause the light from the lens 18 to fill most of the width of the grating or other dispersive optical element contained within the monochromator 19 in order to obtain a near-optimum spectral resolution from the monochromator 19. This produces a monochromated light beam which is coupled by means of a pair of lenses of suitable f-number into a fibre optic forming part of an input optical probe beam subsystem 3.
  • the input optical probe beam subsystem 3 comprises a pair of lenses 22 and 23, the second of which is the objective lens of a Galilean telescope, the eyepiece lens 2 of which is placed such that a parallel probe beam 4 of de-magnified diameter is produced at the output of the lens 24, and is focused using a high-f-number lens 25 onto a sample material 5.
  • the pump optical source 10 is coupled by a mirror 12 to a modulation system 13, which modulates the pump beam 11 into a modulated pump beam 14 directed to an area of coincidence with the area of incidence of the probe beam 4 on the sample 5.
  • the output optical probe beam subsystem 7 comprises lenses 26 and 27 coupling the beam through a filter 28 into a fibre optic 29, the output of which is coupled through a pair of lenses 30 and 31 of suitable f-number onto a silicon photodiode detector 8.
  • the filter 28 is a notch filter (or alternatively a long-pass filter) having negligible transmission at the wavelength of the pump light source 10 but high transmission at least over a wide spectrum of wavelengths longer than the wavelength of the pump light source 10 and extending over the wavelengths at which the modulated reflectance of the sample 5 is to be measured.
  • the electrical signal produced by the detector 8 is coupled through a transimpedance stage device 32, and also through electrical amplifier devices 33, to a lock-in amplifier 35 which uses a reference frequency signal derived from the same source as that driving the modulator 6.
  • the signals read by the lock-in amplifier are read by the controlling computer 36, which controls several of the other modules of the system including an analogue-to-digital converter or other meter 34 for measuring the d.c. reflectance signal.
  • the probe beam is monochromated after its reflection from the sample, and such an inspection apparatus, 50, is illustrated in Fig. 3.
  • the apparatus 50 comprises the following, in which like parts are accorded the same reference numerals.
  • a probe beam light source subsystem 51 comprising a light source 15 coupled by lenses 17 and 18 of suitable f-number into a fibre optic.
  • the input optical probe beam subsystem 3 The pump optical source 10, coupled as described above with reference to Fig. 2
  • An output optical probe beam subsystem 52 comprising lenses 26, 27, a filter 28 and a fibre optic 29 as described above with reference to Fig. 2.
  • the output of the fibre 29 is coupled through a pair of lenses 20, 21, one of which 21 has a suitable f-number compared to the f- number of a monochromator 53 such that it causes the light from lens 21 to fill most of the width of a grating contained within the monochromator 53 in order to obtain a near-optimum spectral resolution from the monochromator 53.
  • the filter 28 is a notch filter having negligible transmission at the wavelength of the pump light source 10 but high transmission at least over a wide spectrum of wavelengths longer than the wavelength of the pump light source 10 and extending over the wavelengths at which the modulated reflectance of the sample 5 is to be measured.
  • the signals read by the lock-in amplifier 35 are read by the controlling computer 36.
  • Fig. 4 shows a typical form of the signals and measurement result.
  • the waveform of the modulated reflectance is always periodic, but may be more complex than the single sine wave as shown in Fig 4, top panel.
  • the lock-in amplifier 35 is used to measure and record the magnitude and phase of the modulated reflectance signal ⁇ R in the form of a.c. voltage or current signals from the detector 8 at the frequency of modulation.
  • the magnitude of the constant d.c. voltage or current signal from the detector 8 is also measured and recorded by the analogue-to-digital converter or other meter 34, whose output is read by the controlling computer 36.
  • This constant d.c. voltage or current signal from the detector 8 is the unmodulated reflectance R of the sample at the transmission wavelength ⁇ of the monochromator 19, with a very small additional constant luminescence signal, which is negligible by comparison to the size of the reflectance signal.
  • the result of the measurement is expressed as the dimensionless quantity ⁇ R/R.
  • the measurement of ⁇ R/R is repeated at a number of wavelengths by programmably adjusting the transmission wavelength, of the monochromator 53, to acquire a spectrum of the modulated reflectance ⁇ R/R of the sample 5.
  • the ⁇ R/R spectrum may fitted to or otherwise analysed using one of a number of well-known formalisms describing the physical origins of the features of the spectrum, which include formalisms of the Third Derivative Functional Form TDFF referred to in the description of the invention.
  • the E 1 transition energy is extracted from these fitting procedures.
  • the probe beam 4 may be delivered to the sample as a monochromatic beam, or as a filtered beam, having a narrow range of wavelengths, in order to expose the sample to the minimum possible intensity of light in the condition in which the pump beam 14 is diverted from the point of incidence of the probe beam 4 on the sample.
  • Both the reflectance R of the probe beam, and the modulation of the reflectance ⁇ R of the probe beam are measured at a multiplicity of probe beam photon energies i.e. different wavelengths of the probe beam, and may be measured at a multiplicity of locations on the sample and their ratio ⁇ R/R which is called the photoreflectance is calculated or measured directly at a multiplicity of beam photon energies to give a photoreflectance spectrum.
  • a part of the photoreflectance spectrum, within which the photoreflectance signal varies in magnitude at different probe beam photon energies may be referred to as being a photoreflectance lineshape or as comprising one or more photoreflectance lineshapes.
  • the photoreflectance spectrum comprises one or more, often superimposed, photoreflectance lineshape components.
  • These photoreflectance lineshape components are generally found to conform to the formalism of Aspnes known as the third derivative function form TDFF or "low-field" photoreflectance lineshape. This models the case of the photoreflectance effect in which any d.c. electric fields which are in-built in the semiconductor are insufficient to produce practically measurable Franz-Keldysh effects in the photoreflectance spectrum.
  • A is an amplitude factor ⁇ is a lineshape phase factor
  • E g is the transition energy r is a broadening energy parameter m is a critical point transition dimensionality parameter, generally 2.5 or 3.0
  • the analysis of a photorefiectance spectrum comprising one or more of these lineshapes consists in the calculation of the theoretical photorefiectance spectrum obtained by the summation of one or more components represented by expressions of type 1, and adjusting parameters within these expressions 1 using a regression fitting programme, for example, that of Levenberg and Marquardt, to minimize the error between the theoretically calculated photorefiectance spectrum and the measured photorefiectance spectrum.
  • the parameters required in Expression 1 for the minimized error are accepted as the material parameters, and specifically in this case, the values of the transition energy E g for each component can be determined by this fitting procedure.
  • One or more of the parameters in expression 1 may be fixed for one or more of the photorefiectance lineshapes.
  • the photorefiectance spectrum of a strained silicon layer grown pseudomoiphically on a silicon-germanium alloy of Ge alloy mole fraction 20.4% was measured over the spectral range 2.8 eV to 3.6 eV at intervals of 0.005 eV. This was fitted to a summation of two low field photorefiectance lineshapes, and was found to have an E 1 + transition energy of 3.287 eV, shifted 105 meV from the E 1 transition energy of 3.392 eV of unstrained bulk silicon on the substrate of the same wafer.
  • the sample may be a semiconductor, a semiconductor crystal structure, or a semiconductor wafer comprising one or more semiconductor devices and structures, and the pump beam is provided by a laser or other light source whose photon energy is at least greater than the fundamental bandgap energy of one of the semiconductors.
  • At least one photoreflectance lineshape is measured which corresponds to a direct interband transition (also referred to as a direct bandgap or direct optical bandgap) in the semiconductor layer which has generated it. This photoreflectance lineshape is analysed to yield the energy of this direct interband transition.
  • At least two photoreflectance lineshapes may be measured which correspond to at least two direct interband transitions also referred to as a direct bandgap or direct optical bandgap in either the same or different semiconductor layers which have generated them. These photoreflectance lineshapes are analysed to yield the energy of these direct interband transitions.
  • the method may include the further steps of analysing the energy of one or more of the interband transitions, measured by photoreflectance spectroscopy, to measure the strain in the semiconductor layer to which it is associated.
  • the method may include the further steps of analysing the energy of one or more of the direct interband transitions, measured by photoreflectance spectroscopy, to measure the alloy mole fraction in the semiconductor layer to which it is associated.
  • phase shifts may be introduced into the modulated reflected probe beam intensity component electrical signal from the photodetector, such that the signal may be measured under several different phase conditions and a phase analysis may be performed.
  • the lock-in amplif ⁇ er may contain the necessary electronic devices to perform this phase shifting. Phase shifting may be used to maximise the photoreflectance signal as well as minimising or even rejecting background signals. Phase shifting may also be used, with subsequent analysis, to determine the relative phase of two different photoreflectance lineshapes in a photoreflectance spectrum.
  • the sample may be one of the following semiconductor wafer types: silicon; germanium; silicon-germanium alloy; silicon-germanium-carbon alloy; silicon-germanium alloy whether strained or not on silicon; silicon-germanium-carbon alloy whether strained or not on silicon; dielectric layer on silicon; dielectric layer on germanium; dielectric layer on silicon- germanium alloy; dielectric layer on silicon-germanium-carbon alloy; silicon on insulating layer including silicon oxide layers on silicon; strained silicon on silicon-germanium-carbon alloy on silicon; strained silicon on insulating layer including silicon oxide layers on silicon; strained silicon on silicon-germanium alloy on insulating layer including silicon oxide layers on silicon; silicon-germanium alloy whether strained or not on insulating layer including silicon oxide layers on silicon; germanium whether strained or not on silicon-germanium alloy; germanium whether strained or not on germanium whether strained or not.
  • the photoreflectance signal is obtained from the top semiconductor layer.
  • the semiconductor may have been processed by one or more of sawing, lapping, grinding, polishing or etching processes. In some of these cases, it may be desired to measure on the edge, for example the sawn edge, of the semiconductor.
  • strain in silicon can be of types other than hydrostatic, and can be deliberately induced by means of crystallographic epitaxial growth and the manipulation of crystal lattice constant parameters.
  • crystallographic epitaxial growth and the manipulation of crystal lattice constant parameters There are good reasons for inducing certain types of directional strain in silicon, which are related to the consequential changes in electronic band structure which result in either or both types of charge carrier in the semiconductor, electrons and/or holes, having enhanced carrier mobility resulting in faster electronic devices for a given device geometry.
  • This is of high industrial importance, and has created a requirement to measure certain types of directional crystallographic strain in various silicon and silicon-germanium alloy semiconductor structures.
  • the most useful types of strain to induce in silicon are biaxial and uniaxial.
  • Biaxial strain in silicon is usually induced by epitaxial growth of a series of crystallographic layers on a silicon substrate, terminating with a thin silicon overlayer which is strained.
  • Fig. 5 shows a typical structure, in which a graded composition layer of Si 1-x Ge x alloy is grown such that the Ge alloy mole fraction x increases upward from 0% at the silicon substrate to some value, typically 20%, at which point the Ge% is maintained constant and a further layer of Si 1- x Ge x alloy is grown at fixed composition.
  • Many such structures are designed such that the fixed composition Si 1-x Ge x alloy layer is fully relaxed unstrained, and adopts a lattice constant which is determined by the Ge alloy mole fraction x, and which is larger than the lattice constant of unstrained silicon.
  • a thin silicon layer which it is usually intended to strain, is grown on top of the fixed composition Si 1-x Ge x alloy layer.
  • Fig. 6 shows in more detail the thin top silicon layer and the fixed composition Si 1-x Ge ⁇ alloy layer, and in particular, their lattice constants denoted a in different directions.
  • the lattice constant of the fixed composition Sii -x Ge ⁇ alloy layer is generally the same in all principal directions and is denoted as cs ⁇ -xGex-
  • the top silicon layer is formed pseudomorphically, meaning that its in-plane lattice constant ⁇ &
  • x is the same as that of the fixed composition Si 1 - x Ge x alloy layer ⁇ s ⁇ -xGex-
  • the Bir-Pikus Hamiltonian for a Fi type band has the form 2 given by S. Richard, F. Aniel, G. Fishman and N.
  • a( ⁇ i x _ x Ge x ) a(Si) + 0.200326x(l - x) + [a(Ge) - a(Si)]x 2 (2)
  • the in-plane lattice constant of the strained top silicon layer is known to be larger than in unstrained bulk silicon.
  • Poisson behaviour predicts that the out-of-plane lattice constant of the strained top silicon layer must be smaller than in unstrained bulk silicon, and by a predictable proportion given by the elastic constants C 11 and C 12 of the silicon.
  • the top silicon is biaxially strained.
  • ⁇ x is strain along [001] direction perpendicular to growth
  • 5 H is in-plane strain.
  • the 001 strain tensor elements take the form given by G.L. Bir and G.E. Pikus, "Symmetry and Strain-Induced Effects in Semiconductors” Wiley, New York, 1974
  • the biaxial strain may be considered as a combination of tensile hydrostatic strain and compressive uniaxial strain along the growth axis the out-of-plane normal axis. These two deformations each have a different effect on the direct optical transition energy E 1 which is the quantity measured by the photoreflectance spectroscopy method for this application.
  • the in- plane tensile hydrostatic strain narrows this bandgap, reducing the direct optical transition energy E 1 .
  • the compressive uniaxial strain along the growth axis causes the valence band to split at the relevant part of the Brillouin zone where the direct optical transition energy E 1 is located. The effect of this is to split the transition into two branches, which can be called E 1 + and Ef.
  • the Ef branch shifts back to higher energy, and compared to the value of E 1 in unstrained silicon, unexpectedly is found to be almost invariant, as a fortuitous result of the behaviour of biaxially strained silicon.
  • the E 1 + branch is found to exhibit a narrowing which is linearly proportional to the strain in the silicon.
  • An aspect of measuring biaxial strain in silicon using photoreflectance is a knowledge of the relationship between the strain tensor elements ⁇ ⁇ and l! and the direct interband electronic transition (also sometimes called the optical bandgap) energy E 1 measured by the photoreflectance spectroscopy method. While in theory, there are in fact a pair of direct interband electronic transitions E 1 and E 1 H-A 1 , their PR lineshapes overlap closely in unstrained silicon, and in effect they appear as a single direct interband electronic transition. There is also a lower energy transition E 0 but its PR signal is extremely weak and difficult to practically measure. This invention focuses on the practical application of the E 1 transition and its strain- related splitting, which gives rise to a relatively strong PR signal.
  • D deformation potentials
  • D i 1 the hydrostatic deformation potential
  • E 1 transition energy is very well known for unstrained silicon, and also, inherent in the photoreflectance spectroscopy measurement is a measure of photoreflectance lineshapes yielding the energies of each of the two branches of the E 1 transition energy.
  • the measurement of strain in biaxially strained silicon by means of photoreflectance spectroscopy therefore involves capturing a photoreflectance spectrum, the fitting the spectrum to one or more superimposed derivative Lorentzian lineshape functions of the type developed by Aspnes in order to determine at least the shift in the E 1 + transition energy branch, from the Ei transition energy of unstrained silicon, and the determination of the in- plane strain tensor element l] from the expression (7) above which incorporates the deformation potentials for biaxially strained silicon.
  • Fig. 7 shows the shift in the direct interband electronic transition energy of the Ei + and Ei- branches of equation (7), with increasing in-plane tensile strain, for the case of biaxially strained silicon.
  • the Ei- transition energy is approximately invariant for these levels of strain, which are representative of the range of strain found in biaxially strained silicon wafers.
  • the E 1+ transition energy in contrast, varies strongly in a linear relationship with the in-plane strain.
  • Fig. 8 shows the splitting in the direct interband electronic transition energy E 1 of equation (7), with increasing in-plane tensile strain, for the case of biaxially strained silicon.
  • Unixial strain in silicon can be deliberately induced by means of crystallographic epitaxial growth and the manipulation of crystal lattice constant parameters, but is more commonly induced by means of a combination of structural features in a transistor or a test structure of similar geometry and one or more overlayers, typically of silicon nitride or silicon oxynitride.
  • Compressive uniaxial strain in the silicon channel of a transistor or similarly dimensioned test structure can be induced by epitaxial growth of a pair of adjacent regions of Si 1-x Ge x alloy, which has a larger lattice constant and acts to locally compress the silicon in the channel.
  • a silicon nitride film may also be deposited over such a structure, which in the case of a fully functional transistor will include a gate stack and other layers.
  • Tensile or compressive uniaxial strain in the silicon channel of a transistor or similarly dimensioned test structure can be induced by means of the deposition of an overlayer, typically of silicon nitride or silicon oxynitride, and often of variable thickness.
  • Uniaxial strain has the splitting effect on the direct optical transition energy E 1 which causes the valence band to split at the relevant part of the Brillouin zone where the direct optical transition energy E 1 is located. The effect of this is to split the transition into two branches, which can be called E 1 + and Ef.
  • E 1 + and Ef In the case of uniaxial strain, without a hydrostatic component, the first term of expressions 6 and 7 goes to zero, and the splitting is about the unstrained energy OfE 1 , so:
  • Fig. 9 shows the splitting in the direct interband electronic transition energy E 1 of equation (9), with increasing compressive uniaxially strain.
  • the measurement of strain in uniaxially strained silicon by means of photoreflectance spectroscopy therefore comprises the construction of an apparatus as described in this invention, the making of a photoreflectance spectrum, the fitting of this spectrum to one or more superimposed derivative Lorentzian lineshape functions of the type developed by Aspnes in order to determine at least the shift in the Ei + transition energy branch, from the E 1 transition energy of unstrained silicon, and the determination of the in-plane strain tensor element " from the expression 9 above which incorporates the deformation potential for uniaxially strained silicon.
  • the invention can also be used in a similar manner to determine the alloy mole fraction of silicon-germanium alloys.
  • Photoreflectance spectroscopy may be applied to measure and analyse the photoreflectance spectrum of a silicon-germanium alloy layer in. a similar manner as described in the previous example, but with the conversion of the E 1 transition energies to the Ge alloy mole fraction x using the relationships (10) and (11) above.
  • the foregoing examples illustrate how photoreflectance spectroscopy may be applied to measure strain and alloy mole fraction. Many other models of the behaviour of direct interband transition energies with parameters such as composition and strain of these and other semiconductors may be developed, and similar examples of the method of this invention carried out.
  • a 10 18 cm "3 boron-doped silicon wafer was subjected to Argon ion plasma etching at ion acceleration voltages of 150 V and 400 V. Both etch treatments caused the photoreflectance lineshapes to broaden, increase in amplitude, and shift to a different transition energy. However, while the phase of the lineshape changed in both cases, the phase angles were very different, the PR lineshape in the 400 V case being almost inverted from that for the 150 V case.
  • the invention overcomes a number of difficulties with the prior art in the measurement of strain in semiconductors by other means and significantly advances the methods of modulation spectroscopy by disclosing a new method for modulation spectroscopy measurement of biaxial strain in silicon and alloy mole fraction in silicon-germanium and other alloys.
  • An advantageous feature is that it is inherently specific to an ultrathin film of either silicon or silicon-germanium alloy in its information because of its interfacial (surface) electric field modulation, and by means of the strong optical absorption of the low power probe beam, rather than the high power pump laser beam.
  • a pump laser wavelength can be employed which is absorbed over a much larger depth than the ultrathin film which is to be measured, because it need only exceed in photon energy the lower indirect bandgap energy of the semiconductor.
  • Thin film specificity is obtained and maintained, because the transition energy measured, the E 1 transition or related doublet or splittings of this transition, is the determinant of the spectral position of the onset of optical absorption in these indirect fundamental bandgap semiconductors. Even if these transitions shift to lower energy with alloy mole fraction or strain, the optical absorption onset energy spectrally shifts in the same way, so the method is always strongly thin-fihn specific. Therefore the method is thin film specific, overcoming the difficulties of x-ray diffractometry and conventional visible Raman spectroscopy, while avoiding the heating problems associated with ultraviolet excited Raman spectroscopy.
  • the invention therefore provides improved methods of strain and alloy composition measurement in technologically important forms of strained silicon and silicon-germanium alloy.
  • Advantageous aspects which result in the improvements recited above are:
  • the invention finds general application in the following technical fields, among others: - - Characterisation of semiconductor surfaces and interfaces
  • the invention provides an improved method and apparatus for the measurement of semiconductor strain by modulation spectroscopy.
  • the method improves upon the prior art in providing a method of measuring strain in ultra-thin silicon and silicon- germanium alloy layers, which is characterised both by a dominance of the signal by that from the ultra-thin layer which it is desired to measure, and avoiding heating effects due to intense, pump laser radiation being strongly absorbed near the semiconductor surface.
  • the invention also discloses a related method for characterising the damage to a semiconductor surface due to plasma etching.
  • the invention is not limited to the embodiments described but may be varied in construction and detail. For example, there may be spatial or intensity modulation.
  • an auxiliary monochromator for dispersing the wavelengths of light from one or more light beams within an assembly such that only a narrow range of wavelengths of the light are selected and transmitted, and optical components for shaping said light beam and coupling it to other subsystems.
  • Such an auxiliary monochromator subsystem may be interposed between the output probe beam subsystem and the detector subsystem, and optically coupled to the subsystems, said optical coupling in preferred embodiments of the invention being by means of a suitable optical fibre or optical fibre bundle.
  • a probe beam normalisation detector subsystem which may form part of the input probe beam subsystem, for detecting a portion of the light derived from the probe beam, together with coupling optics.
  • the apparatus may comprise a microscopic optical means for reducing the diameter of the incidence spot of the light steered to the sample to the minimum size possible having regard to the limitations introduced by diffraction effects and the aberrations inherent in practical lens systems.
  • polarising means for polarising the light steered to the sample, at different angles of polarisation relative to the plane of incidence on the sample.
  • probe beam optical intensity modulation There may be probe beam optical intensity modulation.
  • variable angle mechanical system may be used to exploit the dependence between the electro-optic function (i.e. the effective band mass and incidence angle) in order to obtain optimum measurement conditions to obtain the maximum signal from certain types of semiconductor sample.
  • electro-optic function i.e. the effective band mass and incidence angle
  • the input probe beam subsystem and the principal monochromator subsystem may be replaced by a light source array subsystem comprising an array of monochromatic light sources of different peak wavelengths, together with wavelength-selective optical filters, and/or optical components for shaping one or more light beams from these sources.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

A modulation spectroscopy method comprises the steps of directing a probe beam (4) and a pump beam (14) at a sample, modulating the pump beam (14), and the probe beam is reflected from the sample into a detector (7). The sample (5) may include a strained semiconductor. The detector (7) may produce as output an electrical signal which comprises a large d.c. signal proportional to reflectance R of the probe beam and a small a.c. modulated signal at the modulation frequency proportional to the modulation of the reflectance ΔR of the probe beam. Both the reflectance R of the probe beam and the modulation of the reflectance ΔR of the probe beam are measured at a multiplicity of probe beam photon energies arising from different wavelengths of the probe beam, to provide a photoreflectance spectrum comprising at least one photoreflectance lineshape. The photoreflectance spectrum is analysed to measure energy differences between interband electronic transitions of the strained semiconductor, and the strain of the strained semiconductor is determined according to said energy differences.

Description

"An Optical Inspection Apparatus And Method"
INTRODUCTION
Field of the Invention
The invention relates to modulation spectroscopy for analysis of materials such as strained semiconductor.
Prior Art Discussion
Technologically useful forms of strained silicon are those in which the silicon is strained by pseudomorphic growth as an ultra-thin layer of silicon on a silicon-germanium alloy buffer layer, or in which the strained silicon thus grown has been transferred as a thin layer onto an insulating layer on a second wafer so-called strained silicon on insulator, or else where the strain is induced by means of adjacent regions of silicon-germanium alloy.
These forms of strained silicon present difficulties to X-ray diffractometry or Raman spectroscopy when applied to them to measure the strain in the silicon layer. X-rays are not easily absorbed in silicon or in silicon-germanium alloy or insulators. Therefore, the depth from which information is generated in an X-ray diffractometry measurement is much larger than the technologically useful thickness of a strained silicon layer, typically 20 nm. When X- ray diffractometry is performed, for example, on a pseudomorphically grown ultra-thin layer of silicon on a silicon-germanium alloy buffer layer, almost all of the signal is generated from the underlying silicon-germanium alloy, and the crystallographic information determined is from this layer, even at grazing incidence of the x-ray and when measuring for long times. In extreme cases of measurement time and grazing incidence, information specific to the top strained silicon layer can be gleaned from an X-ray diffractometry measurement, but the time taken renders the measurement impractical for the examination of large numbers of samples in a short time. More generally, what is done is to measure the lattice constant of the underlying silicon-geπnanium alloy and calculate the strain in the top layer. This again is time- consuming, fails to measure the actual strain in the silicon itself, and is impossible in silicon- on-insulator structures.
The invention is directed towards achieving improved inspection of strained silicon or like materials.
SUMMARY OF THE INVENTION
According to the invention, there is provided a modulation spectroscopy method comprising the steps of directing a probe beam and a pump beam at a sample and modulating the pump beam, and the probe beam is reflected from the sample into a detector,
wherein the sample includes a strained semiconductor,
wherein the detector produces as output an electrical signal which comprises a large d.c. signal proportional to reflectance R of the probe beam and a small a.c. modulated signal at the modulation frequency proportional to the modulation of the reflectance ΔR of the probe beam,
wherein both the reflectance R of the probe beam and the modulation of the reflectance ΔR of the probe beam are measured at a multiplicity of probe beam photon energies arising from different wavelengths of the probe beam, to provide a photoreflectance spectrum comprising at least one photoreflectance lineshape, and
wherein the photoreflectance spectrum is analysed to measure energy differences between interband electronic transitions of the strained semiconductor, and the strain of the strained semiconductor is determined according to said energy differences. In one embodiment, the probe beam is incident at a plurality of locations on the sample either simultaneously or in sequence, and the photoreflectance ratio ΔR/R of each is calculated or measured at a plurality of beam photon energies to provide the photoreflectance spectrum.
In one embodiment, the photoreflectance spectrum is analysed to yield one or more of the energy, the broadening parameter, the amplitude and the phase of one or more interband electronic transitions.
In one embodiment, the photoreflectance spectrum comprises at least two photoreflectance lineshapes, each of which corresponds to an interband electronic transition, and the photoreflectance lineshapes are analysed to yield the energy of the direct interband electronic transitions.
In another embodiment, the strained semiconductor is uniaxially strained.
In one embodiment, the energy difference is represented by a shift in the energy of one of the transitions.
In one embodiment, the strained semiconductor is biaxially strained.
In one embodiment, the method comprises the further steps of measuring the photoreflectance spectrum at one or more different phase shifts with respect to a modulation cycle, and analysing the photoreflectance spectrum to determine the relative phase of more than one photoreflectance lineshape.
In another aspect, the invention provides a modulation spectroscopy method comprising the steps of directing a probe beam and a pump beam co-incidentally at a sample and modulating the pump beam, and the probe beam is reflected from the sample into a detector, wherein the detector produces as output an electrical signal which comprises a large d.c. signal proportional to reflectance R of the probe beam and a small a.c. modulated signal at the modulation frequency proportional to the modulation of the reflectance ΔR of the probe beam, and
wherein both the reflectance R of the probe beam and the modulation of the reflectance ΔR of the probe beam are measured at a multiplicity of probe beam photon energies arising from different wavelengths of the probe beam, to provide a photoreflectance spectrum comprising at least one photoreflectance lineshape, and
wherein the photoreflectance spectrum is analysed to yield one or more of the energy, the broadening parameter, the amplitude and the phase of one or more interband electronic transitions.
In one embodiment, the method comprises the steps of measuring parameters characteristic of the crystallinity, disorder, or damage on a surface of the sample, due to processes such as sawing, lapping, grinding, back-grinding, polishing or die singulation.
In one embodiment, the method comprises the further steps of analysing the energy of one or more of the direct interband electronic transitions, measured by photoreflectance spectroscopy, to measure the alloy mole fraction in the semiconductor layer to which it is associated.
hi another aspect, the invention provides a modulation spectroscopy apparatus for performing any method as defined above.
DETAILED DESCRIPTION OF THE INVENTION
Brief Description of the Drawings The invention will be more clearly understood from the following description of some embodiments of the apparatus thereof, given by way of example only with reference to the accompanying drawings in which:-
Fig. 1 is a diagrammatic overview and Fig. 2 is a more detailed view of an inspection system of the invention with monochromation of a probe beam prior to its incidence on a sample;
Fig. 3 is a diagrammatic view of a system in which there is monochromation of the probe beam after its reflection from the sample;
Fig. 4 shows plots of reflectance and modulated reflectance signals with time;
Fig. 5 is a diagram of an epitaxial crystalline structure in which a top silicon layer is biaxially strained;
Fig. 6 is a diagrammatic perspective view showing how an epitaxial silicon layer grown pseudomorphically on a silicon-germanium alloy layer is biaxially strained; and
Figs. 7 to 9 are plots illustrating characteristics for measuring strain in strained silicon.
Description of the Embodiments
Referring to Figs. 1 and 2 in an inspection apparatus 1 a probe beam light source 2 generates an incident probe beam 4 on a strained silicon sample 5. A modulated reflected probe beam 6 is detected by a probe beam detector 8. Also, a pump beam 12 is modulated by a modulator 13 and the modulated pump beam is indicated by the numeral 14.
Within the. probe beam light source 2 (or in another embodiment, within the optical components 7) a monochromator or spectrograph 19 spatially disperses the light into its constituent wavelengths such that the photoreflectance signal can be measured at a multiplicity of wavelengths. A typical form of the result of the modulation spectroscopy measurement is shown in Fig. 4, which is a spectrum of the ratio of the modulated reflectance signal ΔR to the reflectance signal R5 in this case typical of the result from a thin 20 nm strained silicon layer pseudomorphically grown on a fully relaxed silicon-germanium alloy layer of Ge alloy mole fraction 20%.
The system 1 measures the direct interband transition energies (sometimes referred to as the optical bandgaps) of semiconductors using the method of modulation spectroscopy known also as photoreflectance spectroscopy. It may be used to determine strain and/or alloy composition in silicon, germanium, silicon-germanium alloy, silicon-germanium-carbon alloy, silicon-on-inulator, silicon-germamum-on-insulator or other semiconductor materials and semiconductor structures.
The apparatus 1 comprises in a mechanical support system of the type described in WO2005/015187.
The light source sub-system 2 comprises a light source 15 coupled by means of a fibre optic 16 and a pair of lenses 17 and 18, one of which 17 has a suitable f-number compared to the f- number of the fibre optic or fibre optic bundle 16 and the other of which has a suitable f- number compared to the f-number of a monochromator 19. They cause the light from the lens 18 to fill most of the width of the grating or other dispersive optical element contained within the monochromator 19 in order to obtain a near-optimum spectral resolution from the monochromator 19. This produces a monochromated light beam which is coupled by means of a pair of lenses of suitable f-number into a fibre optic forming part of an input optical probe beam subsystem 3.
The input optical probe beam subsystem 3 comprises a pair of lenses 22 and 23, the second of which is the objective lens of a Galilean telescope, the eyepiece lens 2 of which is placed such that a parallel probe beam 4 of de-magnified diameter is produced at the output of the lens 24, and is focused using a high-f-number lens 25 onto a sample material 5.
The pump optical source 10 is coupled by a mirror 12 to a modulation system 13, which modulates the pump beam 11 into a modulated pump beam 14 directed to an area of coincidence with the area of incidence of the probe beam 4 on the sample 5.
The output optical probe beam subsystem 7 comprises lenses 26 and 27 coupling the beam through a filter 28 into a fibre optic 29, the output of which is coupled through a pair of lenses 30 and 31 of suitable f-number onto a silicon photodiode detector 8. The filter 28 is a notch filter (or alternatively a long-pass filter) having negligible transmission at the wavelength of the pump light source 10 but high transmission at least over a wide spectrum of wavelengths longer than the wavelength of the pump light source 10 and extending over the wavelengths at which the modulated reflectance of the sample 5 is to be measured.
The electrical signal produced by the detector 8 is coupled through a transimpedance stage device 32, and also through electrical amplifier devices 33, to a lock-in amplifier 35 which uses a reference frequency signal derived from the same source as that driving the modulator 6. The signals read by the lock-in amplifier are read by the controlling computer 36, which controls several of the other modules of the system including an analogue-to-digital converter or other meter 34 for measuring the d.c. reflectance signal.
In another embodiment the probe beam is monochromated after its reflection from the sample, and such an inspection apparatus, 50, is illustrated in Fig. 3. The apparatus 50 comprises the following, in which like parts are accorded the same reference numerals.
A probe beam light source subsystem 51 comprising a light source 15 coupled by lenses 17 and 18 of suitable f-number into a fibre optic.
The input optical probe beam subsystem 3. The pump optical source 10, coupled as described above with reference to Fig. 2
An output optical probe beam subsystem 52 comprising lenses 26, 27, a filter 28 and a fibre optic 29 as described above with reference to Fig. 2. The output of the fibre 29 is coupled through a pair of lenses 20, 21, one of which 21 has a suitable f-number compared to the f- number of a monochromator 53 such that it causes the light from lens 21 to fill most of the width of a grating contained within the monochromator 53 in order to obtain a near-optimum spectral resolution from the monochromator 53. The filter 28 is a notch filter having negligible transmission at the wavelength of the pump light source 10 but high transmission at least over a wide spectrum of wavelengths longer than the wavelength of the pump light source 10 and extending over the wavelengths at which the modulated reflectance of the sample 5 is to be measured.
The signals read by the lock-in amplifier 35 are read by the controlling computer 36.
Fig. 4 shows a typical form of the signals and measurement result. The waveform of the modulated reflectance is always periodic, but may be more complex than the single sine wave as shown in Fig 4, top panel. In one method of use, the lock-in amplifier 35 is used to measure and record the magnitude and phase of the modulated reflectance signal ΔR in the form of a.c. voltage or current signals from the detector 8 at the frequency of modulation. The magnitude of the constant d.c. voltage or current signal from the detector 8 is also measured and recorded by the analogue-to-digital converter or other meter 34, whose output is read by the controlling computer 36. This constant d.c. voltage or current signal from the detector 8 is the unmodulated reflectance R of the sample at the transmission wavelength λ of the monochromator 19, with a very small additional constant luminescence signal, which is negligible by comparison to the size of the reflectance signal.
The result of the measurement is expressed as the dimensionless quantity ΔR/R. The measurement of ΔR/R is repeated at a number of wavelengths by programmably adjusting the transmission wavelength, of the monochromator 53, to acquire a spectrum of the modulated reflectance ΔR/R of the sample 5. The ΔR/R spectrum may fitted to or otherwise analysed using one of a number of well-known formalisms describing the physical origins of the features of the spectrum, which include formalisms of the Third Derivative Functional Form TDFF referred to in the description of the invention. The E1 transition energy is extracted from these fitting procedures.
In general terms, the system performs as follows:
(a) the delivery the probe beam to a sample, its specular reflection from the sample, and the steering of the reflected light beam called the "reflected probe beam" onto the photo-detector,
(b) periodic illumination of the area of incidence of the probe beam on the sample by means of the pump beam at a modulation frequency F, and with light of a photon energy which in the case of a semiconductor is greater than the bandgap energy of the semiconductor, and in the case of other sample materials which is of sufficient energy to photogenerate charge carriers in the material,
(c) detection of the time-invariant reflected probe beam intensity denoted R and any amplitude modulated time-variant component of the reflected probe beam intensity denoted ΔR at the amplitude modulation frequency F of the pump laser beam such that their ratio denoted ΔR/R is known, at a number of different photon energies i.e. wavelengths of the probe beam,
(d) analysis of the photoreflectance spectrum ΔR/R as a function of the probe beam photon energy in order to determine the transition energy of one or more of the electronic transitions in the sample which causes the appearance of the photoreflectance lineshape signals in the photoreflectance spectrum. These transition energies may be used to determine strain and/or alloy mole fraction in at least one semiconductor layer in the sample, and
(e) analysis of other parameters derived from the analysis of the photoreflectance spectrum ΔR/R as a function of the probe beam photon energy, including amplitude, lineshape broadening energy, and lineshape phase, in order to deduce empirical or analytical information or parameters characteristic of the degree of crystallinity, damage, disorder, or surface quality of the near-surface region or the edge of a semiconductor, which has been exposed to sawing, lapping, grinding, polishing or etching processes.
The probe beam 4 may be delivered to the sample as a monochromatic beam, or as a filtered beam, having a narrow range of wavelengths, in order to expose the sample to the minimum possible intensity of light in the condition in which the pump beam 14 is diverted from the point of incidence of the probe beam 4 on the sample.
Both the reflectance R of the probe beam, and the modulation of the reflectance ΔR of the probe beam are measured at a multiplicity of probe beam photon energies i.e. different wavelengths of the probe beam, and may be measured at a multiplicity of locations on the sample and their ratio ΔR/R which is called the photoreflectance is calculated or measured directly at a multiplicity of beam photon energies to give a photoreflectance spectrum. A part of the photoreflectance spectrum, within which the photoreflectance signal varies in magnitude at different probe beam photon energies, may be referred to as being a photoreflectance lineshape or as comprising one or more photoreflectance lineshapes.
The photoreflectance spectrum comprises one or more, often superimposed, photoreflectance lineshape components. These photoreflectance lineshape components are generally found to conform to the formalism of Aspnes known as the third derivative function form TDFF or "low-field" photoreflectance lineshape. This models the case of the photoreflectance effect in which any d.c. electric fields which are in-built in the semiconductor are insufficient to produce practically measurable Franz-Keldysh effects in the photoreflectance spectrum. This formalism of Aspnes is a derivative with respect to photon energy of a Lorenztian lineshape, the index m being selected differently with respect to the dimensionality of the direct interband transition in the Brillouin zone, and the photorefiectance spectrum ΔR/R(E) due to a single photorefiectance lineshape component of this type is represented by the Expression 1
ΔR/R(E) ~ A Re[e(E-Eg+ir)'m] (1)
where:
A is an amplitude factor θ is a lineshape phase factor
Eg is the transition energy r is a broadening energy parameter m is a critical point transition dimensionality parameter, generally 2.5 or 3.0
The analysis of a photorefiectance spectrum comprising one or more of these lineshapes consists in the calculation of the theoretical photorefiectance spectrum obtained by the summation of one or more components represented by expressions of type 1, and adjusting parameters within these expressions 1 using a regression fitting programme, for example, that of Levenberg and Marquardt, to minimize the error between the theoretically calculated photorefiectance spectrum and the measured photorefiectance spectrum. The parameters required in Expression 1 for the minimized error are accepted as the material parameters, and specifically in this case, the values of the transition energy Eg for each component can be determined by this fitting procedure. One or more of the parameters in expression 1 may be fixed for one or more of the photorefiectance lineshapes.
In one example, the photorefiectance spectrum of a strained silicon layer grown pseudomoiphically on a silicon-germanium alloy of Ge alloy mole fraction 20.4% was measured over the spectral range 2.8 eV to 3.6 eV at intervals of 0.005 eV. This was fitted to a summation of two low field photorefiectance lineshapes, and was found to have an E1 + transition energy of 3.287 eV, shifted 105 meV from the E1 transition energy of 3.392 eV of unstrained bulk silicon on the substrate of the same wafer. Converted to in-plane strain, a value of 0.78% was determined for the in-plane strain of the top strained silicon layer, consistent with its pseudomorphic epitaxial growth on a fully relaxed silicon-germanium alloy of Ge alloy mole fraction 20.4%.
The sample may be a semiconductor, a semiconductor crystal structure, or a semiconductor wafer comprising one or more semiconductor devices and structures, and the pump beam is provided by a laser or other light source whose photon energy is at least greater than the fundamental bandgap energy of one of the semiconductors. At least one photoreflectance lineshape is measured which corresponds to a direct interband transition (also referred to as a direct bandgap or direct optical bandgap) in the semiconductor layer which has generated it. This photoreflectance lineshape is analysed to yield the energy of this direct interband transition.
At least two photoreflectance lineshapes may be measured which correspond to at least two direct interband transitions also referred to as a direct bandgap or direct optical bandgap in either the same or different semiconductor layers which have generated them. These photoreflectance lineshapes are analysed to yield the energy of these direct interband transitions.
The method may include the further steps of analysing the energy of one or more of the interband transitions, measured by photoreflectance spectroscopy, to measure the strain in the semiconductor layer to which it is associated. The method may include the further steps of analysing the energy of one or more of the direct interband transitions, measured by photoreflectance spectroscopy, to measure the alloy mole fraction in the semiconductor layer to which it is associated.
One or more phase shifts may be introduced into the modulated reflected probe beam intensity component electrical signal from the photodetector, such that the signal may be measured under several different phase conditions and a phase analysis may be performed. The lock-in amplifϊer may contain the necessary electronic devices to perform this phase shifting. Phase shifting may be used to maximise the photoreflectance signal as well as minimising or even rejecting background signals. Phase shifting may also be used, with subsequent analysis, to determine the relative phase of two different photoreflectance lineshapes in a photoreflectance spectrum.
The sample may be one of the following semiconductor wafer types: silicon; germanium; silicon-germanium alloy; silicon-germanium-carbon alloy; silicon-germanium alloy whether strained or not on silicon; silicon-germanium-carbon alloy whether strained or not on silicon; dielectric layer on silicon; dielectric layer on germanium; dielectric layer on silicon- germanium alloy; dielectric layer on silicon-germanium-carbon alloy; silicon on insulating layer including silicon oxide layers on silicon; strained silicon on silicon-germanium-carbon alloy on silicon; strained silicon on insulating layer including silicon oxide layers on silicon; strained silicon on silicon-germanium alloy on insulating layer including silicon oxide layers on silicon; silicon-germanium alloy whether strained or not on insulating layer including silicon oxide layers on silicon; germanium whether strained or not on silicon-germanium alloy; germanium whether strained or not on silicon; silicon whether strained or not on germanium whether strained or not.
In many cases the photoreflectance signal is obtained from the top semiconductor layer. In some cases, the semiconductor may have been processed by one or more of sawing, lapping, grinding, polishing or etching processes. In some of these cases, it may be desired to measure on the edge, for example the sawn edge, of the semiconductor.
Measurement of biaxial strain in silicon
The invention finds particular application to the measurement of strain in silicon, and especially anisotropic forms of strain including biaxial strain. Strain in silicon can be of types other than hydrostatic, and can be deliberately induced by means of crystallographic epitaxial growth and the manipulation of crystal lattice constant parameters. There are good reasons for inducing certain types of directional strain in silicon, which are related to the consequential changes in electronic band structure which result in either or both types of charge carrier in the semiconductor, electrons and/or holes, having enhanced carrier mobility resulting in faster electronic devices for a given device geometry. This is of high industrial importance, and has created a requirement to measure certain types of directional crystallographic strain in various silicon and silicon-germanium alloy semiconductor structures. The most useful types of strain to induce in silicon are biaxial and uniaxial.
Biaxial strain in silicon is usually induced by epitaxial growth of a series of crystallographic layers on a silicon substrate, terminating with a thin silicon overlayer which is strained. Fig. 5 shows a typical structure, in which a graded composition layer of Si1-xGex alloy is grown such that the Ge alloy mole fraction x increases upward from 0% at the silicon substrate to some value, typically 20%, at which point the Ge% is maintained constant and a further layer of Si1- xGex alloy is grown at fixed composition. Many such structures are designed such that the fixed composition Si1-xGex alloy layer is fully relaxed unstrained, and adopts a lattice constant which is determined by the Ge alloy mole fraction x, and which is larger than the lattice constant of unstrained silicon. Finally, a thin silicon layer, which it is usually intended to strain, is grown on top of the fixed composition Si1-xGex alloy layer.
Fig. 6 shows in more detail the thin top silicon layer and the fixed composition Si1-xGeχ alloy layer, and in particular, their lattice constants denoted a in different directions. The lattice constant of the fixed composition Sii-xGeχ alloy layer is generally the same in all principal directions and is denoted as csπ-xGex- The top silicon layer is formed pseudomorphically, meaning that its in-plane lattice constant α&| |x is the same as that of the fixed composition Si1- xGex alloy layer αsπ-xGex- The Bir-Pikus Hamiltonian for a Fi type band has the form 2 given by S. Richard, F. Aniel, G. Fishman and N. Cavassilas in J. Appl. Phys. 94 no. 3 (2003) pages 1795-1799 and allows the calculation of the lattice constant of the fixed composition Si1-xGeχ alloy layer αsπ-xGex from the well-known values of the lattice constants of unstrained bulk silicon asi and germanium QGe5 and a knowledge of the Ge alloy mole fraction x:
a(βix_xGex ) = a(Si) + 0.200326x(l - x) + [a(Ge) - a(Si)]x2 (2)
Therefore, the in-plane lattice constant of the strained top silicon layer is known to be larger than in unstrained bulk silicon. Poisson behaviour predicts that the out-of-plane lattice constant of the strained top silicon layer must be smaller than in unstrained bulk silicon, and by a predictable proportion given by the elastic constants C11 and C12 of the silicon. Thus the top silicon is biaxially strained.
We define the following parameters. εx is strain along [001] direction perpendicular to growth, and 5H is in-plane strain. The 001 strain tensor elements take the form given by G.L. Bir and G.E. Pikus, "Symmetry and Strain-Induced Effects in Semiconductors" Wiley, New York, 1974
Figure imgf000017_0001
Figure imgf000017_0002
where C11 and C12 are the elastic constants of silicon.
The biaxial strain may be considered as a combination of tensile hydrostatic strain and compressive uniaxial strain along the growth axis the out-of-plane normal axis. These two deformations each have a different effect on the direct optical transition energy E1 which is the quantity measured by the photoreflectance spectroscopy method for this application. The in- plane tensile hydrostatic strain narrows this bandgap, reducing the direct optical transition energy E1. The compressive uniaxial strain along the growth axis causes the valence band to split at the relevant part of the Brillouin zone where the direct optical transition energy E1 is located. The effect of this is to split the transition into two branches, which can be called E1 + and Ef. The Ef branch shifts back to higher energy, and compared to the value of E1 in unstrained silicon, unexpectedly is found to be almost invariant, as a fortuitous result of the behaviour of biaxially strained silicon. By contrast, the E1 + branch is found to exhibit a narrowing which is linearly proportional to the strain in the silicon.
An aspect of measuring biaxial strain in silicon using photoreflectance, is a knowledge of the relationship between the strain tensor elements ε± and l! and the direct interband electronic transition (also sometimes called the optical bandgap) energy E1 measured by the photoreflectance spectroscopy method. While in theory, there are in fact a pair of direct interband electronic transitions E1 and E1H-A1, their PR lineshapes overlap closely in unstrained silicon, and in effect they appear as a single direct interband electronic transition. There is also a lower energy transition E0 but its PR signal is extremely weak and difficult to practically measure. This invention focuses on the practical application of the E1 transition and its strain- related splitting, which gives rise to a relatively strong PR signal. The material parameters relating these two quantities are known as deformation potentials, D. D is a tensor quantity, many of whose relevant elements have been determined for silicon by means of destructively making electrical contacts to a silicon single crystal wafer and using electrical modulation to measure D by electro-modulated reflectance. For biaxial strain in silicon, the relevant deformation potential elements are D i1 , the hydrostatic deformation potential whose best
known value is -9.8eV and D 33 , the intraband strain deformation parameter along [001] whose best known value is +4.7eV. The shifts AE in each branch of the split E1 transition energy and their relationship to the in-plane and out-of-plane strain elements may therefore be written in the form 5, or when the deformation potential values are inserted, 7:
AE = -JmDl (sx + 2S|| ) ± VJ-TlD3 3± - ^ ) (6) ΔE = -3.267(/J1 + Ie1 ) ± 1.567O1 - et ) (7)
where the "±" sign is applied as "+" to obtain the shift in the E1 + branch and as "-" to obtain the shift in the Ef branch, from the Ei transition energy of unstrained silicon.
One consequence of the existence of two branches of the E1 transition energy in the case of biaxial strain in silicon, one of which is approximately invariant with biaxial strain, is that a standard reference wafer of unstrained silicon is not required for the measurement of strain. The E1 transition energy is very well known for unstrained silicon, and also, inherent in the photoreflectance spectroscopy measurement is a measure of photoreflectance lineshapes yielding the energies of each of the two branches of the E1 transition energy.
The measurement of strain in biaxially strained silicon by means of photoreflectance spectroscopy therefore involves capturing a photoreflectance spectrum, the fitting the spectrum to one or more superimposed derivative Lorentzian lineshape functions of the type developed by Aspnes in order to determine at least the shift in the E1 + transition energy branch, from the Ei transition energy of unstrained silicon, and the determination of the in- plane strain tensor element l] from the expression (7) above which incorporates the deformation potentials for biaxially strained silicon.
Fig. 7 shows the shift in the direct interband electronic transition energy of the Ei+ and Ei- branches of equation (7), with increasing in-plane tensile strain, for the case of biaxially strained silicon. The Ei- transition energy is approximately invariant for these levels of strain, which are representative of the range of strain found in biaxially strained silicon wafers. The E1+ transition energy, in contrast, varies strongly in a linear relationship with the in-plane strain. Fig. 8 shows the splitting in the direct interband electronic transition energy E1 of equation (7), with increasing in-plane tensile strain, for the case of biaxially strained silicon.
Measurement of uniaxial strain in silicon Unixial strain in silicon can be deliberately induced by means of crystallographic epitaxial growth and the manipulation of crystal lattice constant parameters, but is more commonly induced by means of a combination of structural features in a transistor or a test structure of similar geometry and one or more overlayers, typically of silicon nitride or silicon oxynitride. Compressive uniaxial strain in the silicon channel of a transistor or similarly dimensioned test structure can be induced by epitaxial growth of a pair of adjacent regions of Si1-xGex alloy, which has a larger lattice constant and acts to locally compress the silicon in the channel. A silicon nitride film may also be deposited over such a structure, which in the case of a fully functional transistor will include a gate stack and other layers. Tensile or compressive uniaxial strain in the silicon channel of a transistor or similarly dimensioned test structure can be induced by means of the deposition of an overlayer, typically of silicon nitride or silicon oxynitride, and often of variable thickness.
Uniaxial strain has the splitting effect on the direct optical transition energy E1 which causes the valence band to split at the relevant part of the Brillouin zone where the direct optical transition energy E1 is located. The effect of this is to split the transition into two branches, which can be called E1 + and Ef. In the case of uniaxial strain, without a hydrostatic component, the first term of expressions 6 and 7 goes to zero, and the splitting is about the unstrained energy OfE1, so:
Figure imgf000020_0001
AE = ±1.567(εx - εl{) (9)
where the "±" sign is applied as "+" to obtain the shift in the E1 + branch and as "-" to obtain the shift in the Ef branch, from the E1 transition energy of unstrained silicon.
Fig. 9 shows the splitting in the direct interband electronic transition energy E1 of equation (9), with increasing compressive uniaxially strain. The measurement of strain in uniaxially strained silicon by means of photoreflectance spectroscopy therefore comprises the construction of an apparatus as described in this invention, the making of a photoreflectance spectrum, the fitting of this spectrum to one or more superimposed derivative Lorentzian lineshape functions of the type developed by Aspnes in order to determine at least the shift in the Ei+ transition energy branch, from the E1 transition energy of unstrained silicon, and the determination of the in-plane strain tensor element " from the expression 9 above which incorporates the deformation potential for uniaxially strained silicon.
Measurement of the alloy mole fraction of silicon-germanium alloy
The invention can also be used in a similar manner to determine the alloy mole fraction of silicon-germanium alloys. The known variance of the direct interband transition energies E1 and Ei+Δi in the region of 3.4 eV, valid for xθ.255, is given in (10) and (11)
Ei(x) = 3.395 - 1.42Ix - 0.005x2 (10)
Ei+Δi(x) = 3.424 - 0.848x + 0.214x2 (11)
For the case of x=0, these relationships reduce to the direct interband transition energies of bulk silicon. These E1 transitions appear as a single photoreflectance lineshape which gradually splits into a doublet lineshape both components of which are at lower transition energies with increasing germanium content in the silicon-germanium alloy.
Photoreflectance spectroscopy may be applied to measure and analyse the photoreflectance spectrum of a silicon-germanium alloy layer in. a similar manner as described in the previous example, but with the conversion of the E1 transition energies to the Ge alloy mole fraction x using the relationships (10) and (11) above. The foregoing examples illustrate how photoreflectance spectroscopy may be applied to measure strain and alloy mole fraction. Many other models of the behaviour of direct interband transition energies with parameters such as composition and strain of these and other semiconductors may be developed, and similar examples of the method of this invention carried out.
Characterisation of the surface quality of a semiconductor such as silicon which has been exposed to a surface treatment process
Surface treatments processes such as etching, sawing, lapping, grinding and polishing can affect the phenomenological appearance of the photoreflectance lineshape, due to changes in one or more of the parameters in equation 1, caused by the surface treatment process.
In one example, a 1018 cm"3 boron-doped silicon wafer was subjected to Argon ion plasma etching at ion acceleration voltages of 150 V and 400 V. Both etch treatments caused the photoreflectance lineshapes to broaden, increase in amplitude, and shift to a different transition energy. However, while the phase of the lineshape changed in both cases, the phase angles were very different, the PR lineshape in the 400 V case being almost inverted from that for the 150 V case. In a similar study, an increase in the oxidation thickness on a similar silicon wafer, from a native oxide to a 20 nm thick oxide, to a 51.2 run thick oxide, was found to produce both phase and transition energy changes, although with significant changes in the broadening parameter. The characterisation of processes on semiconductor wafers can therefore be performed by observing the empirical trends in selected photoreflectance lineshape parameters.
The invention overcomes a number of difficulties with the prior art in the measurement of strain in semiconductors by other means and significantly advances the methods of modulation spectroscopy by disclosing a new method for modulation spectroscopy measurement of biaxial strain in silicon and alloy mole fraction in silicon-germanium and other alloys. An advantageous feature is that it is inherently specific to an ultrathin film of either silicon or silicon-germanium alloy in its information because of its interfacial (surface) electric field modulation, and by means of the strong optical absorption of the low power probe beam, rather than the high power pump laser beam. Moreover, a pump laser wavelength can be employed which is absorbed over a much larger depth than the ultrathin film which is to be measured, because it need only exceed in photon energy the lower indirect bandgap energy of the semiconductor. Thin film specificity is obtained and maintained, because the transition energy measured, the E1 transition or related doublet or splittings of this transition, is the determinant of the spectral position of the onset of optical absorption in these indirect fundamental bandgap semiconductors. Even if these transitions shift to lower energy with alloy mole fraction or strain, the optical absorption onset energy spectrally shifts in the same way, so the method is always strongly thin-fihn specific. Therefore the method is thin film specific, overcoming the difficulties of x-ray diffractometry and conventional visible Raman spectroscopy, while avoiding the heating problems associated with ultraviolet excited Raman spectroscopy.
The invention therefore provides improved methods of strain and alloy composition measurement in technologically important forms of strained silicon and silicon-germanium alloy. Advantageous aspects which result in the improvements recited above are:
(a) use of a weakly absorbed pump laser beam combined with a strongly absorbed probe light beam in a photoreflectance spectrometer to measure the E1 transition energy and thereby the strain in silicon thin films avoiding heating effects or long measurement times
(b) use of the same method in related applications to measure the alloy mole fraction of silicon-germanium and other alloy films
The development of a method of strain measurement in silicon and silicon-germanium alloys, especially biaxial and uniaxial strain, based on photoreflectance spectroscopy, which is both sensitive to ultrathin films of semiconductor while avoiding pump laser heating issues, represents an important improvement in this field.
The invention finds general application in the following technical fields, among others: - - Characterisation of semiconductor surfaces and interfaces
Characterisation of chemical, ion, electron, or plasma induced damage or modification effects in semiconductor layers and wafers or at their surfaces and interfaces
Characterisation of semiconductor heterostructures and related devices.
Characterisation of strain effects in semiconductor layers and wafers - Measurement of surface and interfacial electric fields in semiconductor layers and wafers in certain types of semiconductor which exhibit Franz-Keldysh effects.
Measurement of the bandgap energy or interband transition energies of semiconductor layers
Determination of strain from the bandgap energy or interband transition energies of semiconductor layers
Measurement of the alloy mole fraction in compound semiconductor layers and wafers
The invention finds specific application in the following technical fields, among others:-
Measurement of the bandgap energy or interband transition energies of semiconductor layers composed of silicon, germanium, or alloys of silicon,germanium and carbon, and including insulating layers, and especially in ultrathin layer of silicon and silicon germanium-alloy where this invention enjoys special advantages over other methods of strain measurement. Determination of strain and/or alloy mole fraction from the bandgap energy or interband transition energies of these semiconductor layers, and especially in ultrathin layer of silicon and silicon germanium-alloy where this invention enjoys special advantages over other methods of strain measurement.
Characterisation of process-induced damage to semiconductor surfaces and edges, due to plasma etch processes, lapping, sawing, grinding and polishing of the semiconductor wafer. Any of the measurements or characterisation applications listed above when performed as a function of the application of an external stress to the sample, such as a mechanical or thermal stress, or the stress due to a deposited thin film or lapping, sawing, grinding or polishing processes.
It will be appreciated that the invention provides an improved method and apparatus for the measurement of semiconductor strain by modulation spectroscopy. The method improves upon the prior art in providing a method of measuring strain in ultra-thin silicon and silicon- germanium alloy layers, which is characterised both by a dominance of the signal by that from the ultra-thin layer which it is desired to measure, and avoiding heating effects due to intense, pump laser radiation being strongly absorbed near the semiconductor surface. The invention also discloses a related method for characterising the damage to a semiconductor surface due to plasma etching.
The invention is not limited to the embodiments described but may be varied in construction and detail. For example, there may be spatial or intensity modulation. In embodiments where the probe beam is monochromated prior to incidence on the sample, there may be an auxiliary monochromator for dispersing the wavelengths of light from one or more light beams within an assembly such that only a narrow range of wavelengths of the light are selected and transmitted, and optical components for shaping said light beam and coupling it to other subsystems. Such an auxiliary monochromator subsystem may be interposed between the output probe beam subsystem and the detector subsystem, and optically coupled to the subsystems, said optical coupling in preferred embodiments of the invention being by means of a suitable optical fibre or optical fibre bundle.
Also, there may be a probe beam normalisation detector subsystem, which may form part of the input probe beam subsystem, for detecting a portion of the light derived from the probe beam, together with coupling optics. The apparatus may comprise a microscopic optical means for reducing the diameter of the incidence spot of the light steered to the sample to the minimum size possible having regard to the limitations introduced by diffraction effects and the aberrations inherent in practical lens systems.
There may be a polarising means for polarising the light steered to the sample, at different angles of polarisation relative to the plane of incidence on the sample. There may be probe beam optical intensity modulation.
The variable angle mechanical system may be used to exploit the dependence between the electro-optic function (i.e. the effective band mass and incidence angle) in order to obtain optimum measurement conditions to obtain the maximum signal from certain types of semiconductor sample. There may be a wafer manipulation subsystem for selecting a semiconductor wafer from one or more cassettes or and placing the semiconductor wafer on the sample mounting subsystem such that a selected point on the wafer is at the point of incidence of the light beam from the input probe beam subsystem.
In some embodiments of the invention, the input probe beam subsystem and the principal monochromator subsystem may be replaced by a light source array subsystem comprising an array of monochromatic light sources of different peak wavelengths, together with wavelength-selective optical filters, and/or optical components for shaping one or more light beams from these sources.

Claims

Claims
1. A modulation spectroscopy method comprising the steps of directing a probe beam and a pump beam at a sample and modulating the pump beam, and the probe beam is reflected from the sample into a detector,
wherein the sample includes a strained semiconductor,
wherein the detector produces as output an electrical signal which comprises a large d.c. signal proportional to reflectance R of the probe beam and a small a.c. modulated signal at the modulation frequency proportional to the modulation of the reflectance ΔR of the probe beam,
wherein both the reflectance R of the probe beam and the modulation of the reflectance ΔR of the probe beam are measured at a multiplicity of probe beam photon energies arising from different wavelengths of the probe beam, to provide a photoreflectance spectrum comprising at least one photoreflectance lineshape, and
wherein the photoreflectance spectrum is analysed to measure energy differences between interband electronic transitions of the strained semiconductor, and the strain of the strained semiconductor is determined according to said energy differences.
2. A method as claimed in claim 1, wherein the probe beam is incident at a plurality of locations on the sample either simultaneously or in sequence, and the photoreflectance ratio ΔR/R of each is calculated or measured at a plurality of beam photon energies to provide the photoreflectance spectrum.
3. A method as claimed in any preceding claim, wherein the photoreflectance spectrum is analysed to yield one or more of the energy, the broadening parameter, the amplitude and the phase of one or more interband electronic transitions.
4. A method as claimed in any preceding claim, wherein the photoreflectance spectrum comprises at least two photoreflectance lineshapes, each of which corresponds to an interband electronic transition, and the photoreflectance lineshapes are analysed to yield the energy of the direct interband electronic transitions.
5. A method as claimed in any preceding claim, wherein the strained semiconductor is uniaxially strained.
6. A method as claimed in any preceding claim, wherein the energy difference is represented by a shift in the energy of one of the transitions.
7. A method as claimed in any of claims 1 to 4, wherein the strained semiconductor is biaxially strained.
8. A method as claimed in any preceding claim, comprising the further steps of measuring the photoreflectance spectrum at one or more different phase shifts with respect to a modulation cycle, and analysing the photoreflectance spectrum to determine the relative phase of more than one photoreflectance lineshape.
9. A modulation spectroscopy method comprising the steps of directing a probe beam and a pump beam co-incidentally at a sample and modulating the pump beam, and the probe beam is reflected from the sample into a detector,
wherein the detector produces as output an electrical signal which comprises a large d.c. signal proportional to reflectance R of the probe beam and a small a.c. modulated signal at the modulation frequency proportional to the modulation of the reflectance ΔR of the probe beam, and wherein both the reflectance R of the probe beam and the modulation of the reflectance ΔR of the probe beam are measured at a multiplicity of probe beam photon energies arising from different wavelengths of the probe beam, to provide a photoreflectance spectrum comprising at least one photoreflectance lineshape, and
wherein the photoreflectance spectrum is analysed to yield one or more of the energy, the broadening parameter, the amplitude and the phase of one or more interband electronic transitions.
10. A method as claimed in claim 9, comprising the step of measuring parameters characteristic of the crystallinity, disorder, or damage on a surface of the sample, due to processes such as sawing, lapping, grinding, back-grinding, polishing or die singulation.
11. A method as claimed in claim 9, comprising the further steps of analysing the energy of one or more of the direct interband electronic transitions, measured by photoreflectance spectroscopy, to measure the alloy mole fraction in the semiconductor layer to which it is associated.
12. A modulation spectroscopy apparatus for performing a method as claimed in any preceding claim.
PCT/IE2006/000027 2005-04-18 2006-04-10 An optical inspection apparatus and method WO2006111942A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US67205205P 2005-04-18 2005-04-18
US60/672,052 2005-04-18

Publications (1)

Publication Number Publication Date
WO2006111942A1 true WO2006111942A1 (en) 2006-10-26

Family

ID=36577579

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IE2006/000027 WO2006111942A1 (en) 2005-04-18 2006-04-10 An optical inspection apparatus and method

Country Status (1)

Country Link
WO (1) WO2006111942A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7760364B1 (en) 2008-10-22 2010-07-20 Kla-Tencor Corporation Systems and methods for near-field heterodyne spectroscopy
US9640449B2 (en) 2014-04-21 2017-05-02 Kla-Tencor Corporation Automated inline inspection of wafer edge strain profiles using rapid photoreflectance spectroscopy

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0409271A2 (en) * 1989-07-20 1991-01-23 Fred H. Pollak Method and apparatus for determining a material's characteristics by photoreflectance using improved computer control
US5959735A (en) * 1996-01-23 1999-09-28 Brown University Research Foundation Optical stress generator and detector
US6608689B1 (en) * 1998-08-31 2003-08-19 Therma-Wave, Inc. Combination thin-film stress and thickness measurement device
WO2004048943A1 (en) * 2002-11-27 2004-06-10 Optical Metrology Patents Limited An optical spectrometer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0409271A2 (en) * 1989-07-20 1991-01-23 Fred H. Pollak Method and apparatus for determining a material's characteristics by photoreflectance using improved computer control
US5959735A (en) * 1996-01-23 1999-09-28 Brown University Research Foundation Optical stress generator and detector
US6608689B1 (en) * 1998-08-31 2003-08-19 Therma-Wave, Inc. Combination thin-film stress and thickness measurement device
WO2004048943A1 (en) * 2002-11-27 2004-06-10 Optical Metrology Patents Limited An optical spectrometer

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
POLLAK F H ET AL: "MODULATION SPECTROSCOPY IN SUPERLATTICES", SUPERLATTICES AND MICROSTRUCTURES, ACADEMIC PRESS, LONDON, GB, vol. 6, no. 2, January 1989 (1989-01-01), pages 203 - 212, XP000147825, ISSN: 0749-6036 *
SELA I ET AL: "MODULATED PHOTOABSORPTION IN STRAINED GA1-XINXAS/GAAS MULTIPLE QUANTUM WELLS", PHYSICAL REVIEW, B. CONDENSED MATTER, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, vol. 43, no. 14, 15 May 1991 (1991-05-15), pages 11884 - 11892, XP000337026, ISSN: 0163-1829 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7760364B1 (en) 2008-10-22 2010-07-20 Kla-Tencor Corporation Systems and methods for near-field heterodyne spectroscopy
US9640449B2 (en) 2014-04-21 2017-05-02 Kla-Tencor Corporation Automated inline inspection of wafer edge strain profiles using rapid photoreflectance spectroscopy

Similar Documents

Publication Publication Date Title
US7659979B2 (en) Optical inspection apparatus and method
US7616308B2 (en) Optical measurement apparatus and method
EP2270470A1 (en) Method for measuring circular dichroism spectra and measurement device
Horn et al. Infrared grey-field polariscope: A tool for rapid stress analysis in microelectronic materials and devices
US6362881B1 (en) In-situ monitoring of electrical properties by ellipsometry
JPH07105424B2 (en) Method for evaluating bonding state and impurities on surface of silicon wafer
WO2006111942A1 (en) An optical inspection apparatus and method
US7495823B2 (en) Optical method and system for analyzing or inspecting patterned materials
JP2001124711A (en) Fluorescence x-ray analysis method and evaluation method of sample structure
US7123358B2 (en) Method for Raman imaging of semiconductor materials
JP4031712B2 (en) Spectroscopic measurement method and spectroscopic measurement apparatus for semiconductor multilayer film
Perkowitz et al. Optical characterization in microelectronics manufacturing
IE20060274A1 (en) An optical inspection apparatus and method
IE84681B1 (en) An optical inspection apparatus and method
Yoshikawa Advanced Optical Spectroscopy Techniques for Semiconductors: Raman, Infrared, and Cathodoluminescence Spectroscopy
Hopkins et al. Raman microprobe determination of local crystal orientation in laser annealed silicon
Maslar et al. Raman spectroscopic determination of hole concentration in p-type GaSb
Lastras-Martínez et al. Microreflectance difference spectrometer based on a charge coupled device camera: surface distribution of polishing-related linear defect density in GaAs (001)
US20070008525A1 (en) Method for Raman imaging of semiconductor materials
Rodríguez-Salas et al. Data reduction for spatially resolved reflectance anisotropy spectrometer
Yoo et al. Nondestructive Characterization of Ge Content and Ge Depth Profile Variations in Si1-xGex/Si by Multiwavelength Raman Spectroscopy
US20080219409A1 (en) Inspection method for thin film stack
JP2004101235A (en) Apparatus and method for measuring amount of distortion and distribution of amount of distortion of semiconductor and apparatus and method for manufacturing semiconductor
Sendra et al. Scanning Reflectance Anisotropy Microscopy for Multi-Material Strain Mapping
Sendra et al. Multi‐Material Strain Mapping with Scanning Reflectance Anisotropy Microscopy

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

WWW Wipo information: withdrawn in national office

Country of ref document: RU

32PN Ep: public notification in the ep bulletin as address of the adressee cannot be established

Free format text: NOTING OF LOSS OF RIGHTS PURSUANT TO RULE 112(1) EPC - FORM 1205A (25.03.2008)

122 Ep: pct application non-entry in european phase

Ref document number: 06728133

Country of ref document: EP

Kind code of ref document: A1