WO2006105295A2 - Mixed superscalar and vliw instruction issuing and processing method and system - Google Patents
Mixed superscalar and vliw instruction issuing and processing method and system Download PDFInfo
- Publication number
- WO2006105295A2 WO2006105295A2 PCT/US2006/011646 US2006011646W WO2006105295A2 WO 2006105295 A2 WO2006105295 A2 WO 2006105295A2 US 2006011646 W US2006011646 W US 2006011646W WO 2006105295 A2 WO2006105295 A2 WO 2006105295A2
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- instructions
- executable instructions
- executing
- parallel
- digital signal
- Prior art date
Links
- 238000003672 processing method Methods 0.000 title description 2
- 238000000034 method Methods 0.000 claims abstract description 41
- 238000012545 processing Methods 0.000 claims abstract description 24
- 230000008569 process Effects 0.000 claims description 14
- 230000006854 communication Effects 0.000 abstract description 17
- 238000004891 communication Methods 0.000 abstract description 17
- 230000005540 biological transmission Effects 0.000 abstract description 3
- 230000008901 benefit Effects 0.000 description 10
- 238000010586 diagram Methods 0.000 description 7
- 238000013459 approach Methods 0.000 description 4
- 238000001514 detection method Methods 0.000 description 4
- 230000006870 function Effects 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 3
- 230000001413 cellular effect Effects 0.000 description 2
- 238000001228 spectrum Methods 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000007175 bidirectional communication Effects 0.000 description 1
- 230000001427 coherent effect Effects 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 230000001627 detrimental effect Effects 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000005265 energy consumption Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 238000013519 translation Methods 0.000 description 1
- 230000014616 translation Effects 0.000 description 1
Classifications
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
- G06F9/3838—Dependency mechanisms, e.g. register scoreboarding
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3836—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
- G06F9/3853—Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution of compound instructions
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3854—Instruction completion, e.g. retiring, committing or graduating
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F9/00—Arrangements for program control, e.g. control units
- G06F9/06—Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
- G06F9/30—Arrangements for executing machine instructions, e.g. instruction decode
- G06F9/38—Concurrent instruction execution, e.g. pipeline or look ahead
- G06F9/3854—Instruction completion, e.g. retiring, committing or graduating
- G06F9/3858—Result writeback, i.e. updating the architectural state or memory
Definitions
- the disclosed subject matter relates to data communications. More particularly, this disclosure relates to a novel and improved method and system for mixed superscalar and VLIW instruction issuing and processing in a digital signal processor.
- CDMA code division multiple access
- U.S. Pat. No. 4,901,307 entitled “SPREAD SPECTRUM MULTIPLE ACCESS COMMUNICATION SYSTEM USING SATELLITE OR TERRESTRIAL REPEATERS”
- U.S. Pat. No. 5,103,459 entitled “SYSTEM AND METHOD FOR GENERATING WAVEFORMS IN A CDMA CELLULAR TELEHANDSET SYSTEM,” both assigned to the assignee of the claimed subject matter.
- a CDMA system is typically designed to conform to one or more standards.
- One such first generation standard is the "TIA/EIA/IS-95 Terminal-Base Station Compatibility Standard for Dual-Mode Wideband Spread Spectrum Cellular System," hereinafter referred to as the IS-95 standard.
- the IS-95 CDMA systems are able to transmit voice data and packet data.
- a newer generation standard that can more efficiently transmit packet data is offered by a consortium named "3 rd Generation Partnership Project" (3GPP) and embodied in a set of documents including Document Nos. 3G TS 25.211, 3G TS 25.162, 3G TS 25.213, and 3G TS 25.114, which are readily available to the public.
- the 3GPP standard is hereinafter referred to as the W-CDMA standard.
- DSPs Digital signal processors
- wireless handsets are requiring and increasingly will require that the DSP execute instructions from user- orientation, scientific, and multimedia applications, as well as many other types of applications.
- the processor resource requirements may vary widely and dynamically for applications such as television broadcasts, streaming message tickers, electronic mail (including messages with attached documents), as well as resident applications, such as photography and PDA applications, all from the same DSP.
- VLIW Very Long Instruction Word
- a superscalar architecture uses dynamic scheduling, e.g., the hardware controls the issue of instruction dynamically.
- the VLIW architecture depends on a compiler to schedule concurrent instructions and rearranges them into a long instruction word. Such a compiler performs issue scheduling for parallel execution of the VLIW instructions.
- the superscalar architecture improves performance by concurrent execution of scalar instructions in more than one instruction per cycle. That is, a scalar processor executes one instruction at a time. In contrast, the superscalar architecture allows concurrent execution of instructions in the same pipeline stage.
- the superscalar architecture improves the performance of the execution of scalar instructions, but not without certain limitations. For example, the performance limitations of the superscalar architecture include dealing with data dependencies, procedural dependencies, and resource conflicts.
- VLIW architecture demonstrates both advantages and disadvantages.
- the main advantage is the saving in processor hardware.
- the compiler decides what can be executed in parallel, and the hardware simply executes the instructions. There is no need to check for dependencies or decide on scheduling.
- the compiler has already resolved these issues. This means that much more processor hardware may be devoted to useful computation, larger caches, and other resources. As a result, higher processor performance becomes possible.
- a method and a system for issuing and executing mixed architecture instructions in a multiple-issue digital signal processor receives, in a mixed instruction listing, a plurality of digital signal processor instructions.
- the plurality of digital signal processor instructions includes a plurality of parallel executable instructions (e.g., VLIW instructions or instruction packets) mixed among a plurality of series executable instructions (e.g., superscalar instructions).
- the series executable instructions are associated by various instruction dependencies.
- the method and system further identify in the mixed instruction listing the plurality of parallel executable instructions. Once identified, the parallel executable instructions are first executed in parallel irrespective of any such instruction's relative order in the mixed instruction listing. Then, the series executable instructions are executed serially according to said various instruction dependencies.
- FIGURE 1 is a simplified block diagram of a communications system that can implement the present embodiment
- FIGURE 2 illustrates a DSP architecture for carrying forth the teachings of the present embodiment
- FIGURE 3 presents a top level diagram of a control unit for disclosed embodiment
- FIGURE 4 presents certain aspects of the slot allocation process for slot collision avoidance in an illustrative embodiment
- FIGURE 5 conceptually relates certain aspects of read after write hazard processing for one embodiment of the disclosed subject matter;
- FIGURE 6 provides a steering logic diagram for an illustration of the disclosed subject matter;
- FIGURE 7 is a data flow diagram for the superscalar issue process of the present disclosure.
- FIGURE 8 portrays write after write hazard processing for the general purpose register of the illustrated embodiment.
- FIGURE 1 is a simplified block diagram of a communications system
- a transmitter unit 12 data is sent, typically in blocks, from a data source 14 to a transmit (TX) data processor 16 that formats, codes, and processes the data to generate one or more analog signals.
- TX transmit
- the analog signals are then provided to a transmitter (TMTR) 18 that modulates, filters, amplifies, and up converts the baseband signals to generate a modulated signal.
- TMTR transmitter
- the modulated signal is then transmitted via an antenna 20 to one or more receiver units.
- the transmitted signal is received by an antenna
- receiver 24 and provided to a receiver (RCVR) 26.
- the received signal is amplified, filtered, down converted, demodulated, and digitized to generate in phase (T) and (Q) samples.
- the samples are then decoded and processed by a receive (RX) data processor 28 to recover the transmitted data.
- the decoding and processing at receiver unit 22 are performed in a manner complementary to the coding and processing performed at transmitter unit 12.
- the recovered data is then provided to a data sink 30.
- the signal processing described above supports transmissions of voice, video, packet data, messaging, and other types of communication in one direction.
- a bidirectional communications system supports two-way data transmission. However, the signal processing for the other direction is not shown in FIGURE 1 for simplicity.
- Communications system 10 can be a code division multiple access (CDMA) system, a time division multiple access (TDMA) communications system (e.g., a GSM system), a frequency division multiple access (FDMA) communications system, or other multiple access communications system that supports voice and data communication between users over a terrestrial link.
- CDMA code division multiple access
- TDMA time division multiple access
- FDMA frequency division multiple access
- communications system 10 is a CDMA system that conforms to the W-CDMA standard.
- FIGURE 2 illustrates DSP 40 architecture that may serve as the transmit data processor 16 and receive data processor 28 of FIGURE 1. Recognize that DSP 40 only represents one embodiment among a great many of possible digital signal processor embodiments that may effectively use the teachings and concepts here presented.
- Instruction unit (IU) 42 fetches instructions for threads T0:T5.
- IU 42 queues instructions 10 through 13 ("10:13") into instruction queue (IQ) 44.
- IQ 44 issues instructions 10:13 into processor pipeline 46.
- Processor pipeline 46 includes control circuitry as well as a data path. From IQ 44, a single thread, e.g., thread TO, maybe selected by decode and issue circuit 48.
- Pipeline logic control unit (PLC) 51 provides logic control to decode and issue circuitry 48 and IU 42.
- IQ 44 in IU 42 keeps a sliding buffer of the instruction stream.
- Each of the six threads T0:T5 that DSP 40 supports has a separate eight-entry IQ 44, where each entry may store one VLIW packet or up to four individual instructions.
- Decode and issue circuitry 48 logic is shared by all threads for decoding and issuing a VLIW packet or up to two superscalar instructions at a time, as well as for generating control buses and operands for each pipeline SLOTO :SLOT3. In addition, decode and issue circuitry 48 does slot assignment and dependency check between the two oldest valid instructions in IQ 44 entry for instruction issue using, for example, using superscalar issuing techniques.
- PLC 51 logic is shared by all threads for resolving exceptions and detecting pipeline stall conditions such as thread enable/disable, replay conditions, maintains program flow etc.
- the present embodiment may employ a hybrid of a heterogeneous element processor (HEP) system using a single microprocessor with up to six threads, T0:T5.
- Processor pipeline 46 has six stages, matching the minimum number of processor cycles necessary to fetch a data item from IU 42.
- DSP 40 concurrently executes instructions of different threads T0:T5 within a processor pipeline 46. That is, DSP 40 provides six independent program counters, an internal tagging mechanism to distinguish instructions of threads T0:T5 within processor pipeline 46, and a mechanism that triggers a thread switch. Thread-switch overhead varies from zero to only a few cycles.
- DSP 40 therefore, provides a general-purpose digital signal processor with high-performance and low-power across a wide variety of signal, image, and video processing applications.
- FIGURE 3 provides a brief overview of the DSP 40 micro- architecture for one manifestation of the disclosed subject matter. Implementations of the DSP 40 micro-architecture support interleaved multithreading QMT). In this execution model, the hardware supports concurrent execution of multiple hardware threads T0:T5 by interleaving instructions from different threads in the pipeline. This feature allows DSP 40 to include an aggressive clock frequency while still maintaining high core and memory utilization. IMT provides high throughput without the need for expensive compensation mechanisms such as out-of-order execution, extensive forwarding networks, and so on.
- the DSP 40 may include variations of EVIT, such as those variations and novel approaches disclosed in the commonly-assigned U.S. Patent Applications by M. Ahmed, et al, and entitled “Variable Interleaved Multithreaded Processor Method and System” and “Method and System for Variable Thread Allocation and Switching in a Multithreaded Processor "such disclosures are here referred to as the "BVIT Variations.”
- the subject matter here disclosed deals with the execution model of a single thread.
- the software model of IMT can be thought of as a shared memory multiprocessor.
- a single thread sees a complete uni-processor DSP 40 with all registers and instructions available.
- this thread is able to communicate and synchronize with other threads. Whether these other threads are running on the same processor or another processor is largely transparent to user- level software.
- the present micro-architecture 60 for DSP 40 includes control unit (CU) 62, which performs many of the control functions for processor pipeline 46.
- CU 62 schedules threads and requests mixed 16-bit and 32-bit instructions from IU 42.
- CU 62 furthermore, schedules and issues instructions to three execution units, shift-type unit(SU) 64, multiply-type unit (MU) 66, and load/store unit (DU) 68.
- CU 62 also performs superscalar dependency checks.
- Bus interface unit (BIU) 70 interfaces IU 42 and DU 68 to a system bus (not shown).
- BIU Bus interface unit
- SLOT3 is in SU 64.
- CU 62 provides source operands and control buses to pipelines SLOT0:SLOT3 and handles GRF 52 and CRF 54 file updates.
- CU 62 accepts external inputs such as interrupts and reset, and supports emulation unit (EU) 72.
- EU emulation unit
- CU 62 also handles exceptions due to protection violations occurring during address translations.
- Mixed 16- and 32-bit instructions can be issued in parallel, up to four at a time, in one embodiment of micro-architecture 60. However, many different variations of 16-bit, 32- bit, and other length instructions may be implemented in other embodiment, all within the scope of the disclosed subject matter.
- Micro-architecture 60 furthermore, may also support moving two 64-bit double words from CU 62 for each cycle.
- GRF 52 holds thirty-two 32-bit registers which can be accessed as single registers, or as aligned 64-bit pairs. GRF 52 also holds all pointer, scalar, vector, and accumulator data and provides flexibility for service as a compiler target. CRF 54 holds special-purpose registers such as program counter, status register. [ 0035 ] Micro-architecture 60 features a hybrid execution model that mixes the advantages of superscalar and VLIW execution. Superscalar issue has the advantage that no software information is needed to find independent instructions. There is no added code size or encoding inefficiencies to provide multi-issue execution. Additionally, superscalar issue can find parallel instructions over branch boundaries which can be difficult for a compiler.
- VLIW execution is that the software may identify many more than two instructions for parallel execution, especially in software pipelined loops which are typical of DSP code.
- Micro-architecture 60 therefore, combines the strengths of both execution models by creating a hybrid model.
- the execution model of micro-architecture 60 communicates VLIW packet information for packets of three or more instructions.
- micro-architecture 60 is responsible for multi-instruction execution via dual superscalar issue. In this way, micro-architecture 60 can deliver wide issue parallelism with a minimal code size penalty. Parallelism over branch boundaries in control code may also be exploited.
- instructions are encoded using either 16-bit instructions or 32-bit instructions.
- the two instruction sizes can be freely mixed in a program. These mixed size instructions can be packetized in groups for parallel execution. The packets maybe of variable size.
- the 16-bit instruction set is chosen to carefully match the needs of a compiler generating dense control code.
- DSP 40 using micro-architecture 60, specifically supports the following classes of applications: (1) communications signal-processing (e.g., modems); (2) video processing (e.g., H.264 format); (3) image processing; (4) audio processing; (5) 3-D graphics front-end software; and (6) supporting control code, protocol stacks, RTOS, etc.
- DSP 40 issues both VLIW instruction packets, as well as and individual superscalar issue instructions. Issue width for individual instructions can range from one instruction per issue slot to maximum VLIW packet plus one. As an example, DSP 40 may issue as many as four instructions in a VLIW packet per issue slot. Also, DSP 40 may issue one or two instructions using superscalar issue.
- DSP 40 constantly looks at the instruction stream to find a
- VLIW instruction packet that may be inserted into IQ 44. If such an instruction packet exists, then IU 42 inserts the VLIW instruction packet into IQ 44. Similarly, DSP 40 looks for an individual instruction in the instruction stream and loads the instruction into IQ 44. These instructions are issued in superscalar mode provided that the two such instructions do not possess registry dependencies and no hardware hazard exists (described in more detail below).
- CU 62 therefore, operates at the center of the DSP 40 core, in terms of both connectivity and physical placement to support interleaved multi-threading (IMT).
- IMT interleaved multi-threading
- the DSP 40 switches to a different thread after each instruction fetch. Since IMT eliminates control and data dependencies between instructions in the pipeline, pipeline hazards cannot arise and the processor pipeline can be easily build without the necessity of complex forwarding paths. This leads to processor pipeline 46 demonstrating no hardware interlocking. Moreover, the context-switching overhead is zero cycles. IMT requires at least as many threads as pipeline stages in the processor.
- Two execution stages support instruction execution, while a third execution stage provides both instruction execution and register file update. Moreover, a fourth stage provides for registry file updating. During the execution and write back stages IU 42 builds the next IQ 44 entry to be executed. Finally, a write back stage performs register update. The staggered write to register file operation is possible due to EVIT micro-architecture and saves the number of write ports per thread. Because the pipelines have six stages, CU 52 may issue up to six different threads.
- Decode and issue circuitry 48 decodes the instructions provided by IU 42 and issues the opcode and immediate operands to the execution units, SU 64, MU 66, and DU 68. If decode and issue circuitry 48 receives a VLIW packet, the instructions align with the slots and no steering is required. Because VLIW instructions within the packet do not have any register dependencies, no dependency check occurs. IQ 44 may provide up to four superscalar instructions to decode and issue circuitry 48 at a time. And, DSP 40 can issue maximum of two instructions if there are no resource collisions or register dependencies.
- Decode and issue circuitry 48 performs slot allocation for assigning an instruction to a slot. At such slot the instruction may be executed. Decode and issue circuitry 48 also performs registry dependency checking to ensure that younger instruction (II) does not use results generated by older instructions (10). If the dependency checks pass, 10:11 are issued. In the case of a dependency between 10 and II, only 10 is issued.
- Decode requirements of superscalar instructions and VLIW packets are slightly different. Not much decoding is required for VLIW packet. This is because with VLIW instructions the compiler assures that that there are no register dependencies within the packet.
- VLIW packets require no instruction steering or execution slot allocation, because the compiler also aligns instructions with the execution slots.
- the only decode performed with VLIW instructions is for detecting whether the instructions have certain Rs or Rt fields (described below) and whether operand sizes are 32 bits or 64 bits. DSP 40 uses this information to turn on the enables for GRF 52 for 32- or 64-bit reads, so as to reduce energy consumption.
- One embodiment of the disclosed subj ect matter includes a slot collision avoidance algorithm to resolve a slot collision or resource hazard between 10 and II.
- II the younger instruction
- Il is issued once the 10 instruction is committed.
- the optimal slot allocation solution for 10 and Il includes IO slot allocation being dependent on Il slot allocation and vice-versa.
- FIGURE 4 shows one embodiment of table 82 for depicting the result of slot allocation algorithm for instructions 10 and II.
- each entry indicates the slot allocated to 10 and slot allocated to II.
- the term, NG(no-go) indicates that II, (the older) instruction, will not be issued.
- Those cells with the "X" indicate that such a situation cannot happen.
- 1/0 indicates 10 is allocated to SLOTl and Il is allocated to SLOT0.
- 0/NG indicates 10 is allocated to slot 0 and Il is not issued.
- the cells with horizontal hash shading indicate cases where Il slot allocation depends on 10.
- cell with vertical shading marks indicate cases where 10 slot allocation depends on II.
- DSP 40 operates as a single issue superscalar machine due to structural hazards.
- Register dependency can make DSP 40 a single issue machine.
- WAW write after write
- a read after write (RAW) hazard occurs when a younger instruction is reading the target of the older instruction. However, if the older and younger instructions issue together, the read receives the old data. In the present embodiment, a WAW hazard is not common. Accordingly, one embodiment of DSP 40 does not detect this type of register hazard. So, the older and younger instructions are issued even if they have a WAW hazard. Then, the younger instruction may be discarded in the decode stage. The RAW hazard is detected in the decode stage and, in this case, the younger instruction is not even issued. It will be issued in the future thread cycle.
- FIGURE 5 conceptually relates certain aspects of read after write hazard processing for one embodiment of the disclosed subject matter.
- the RAW hazard detection process 90 includes detecting collisions between younger instruction 92 and older instruction 94. Comparisons 96 occur between younger instruction 92 operands Rt 98, Rs 100 and Rx 102 and older instruction 94 operands Rx 104 and Rd/Rx 106. Detection of the RAW hazard, therefore, uses six comparators 96 per thread to detect RAW hazard. If there is RAW hazard, then older instruction 94 is issued by itself and the younger instruction is not issued in the present cycle.
- FIGURE 6 provides a steering logic diagram 110 for an illustration of the disclosed subject matter.
- pipeline instructions 10:13 are steered to the execution slots SLOT0:SLOT3 and decode-based GRF 52 read access begins.
- Enables and register indices for the four read ports to be read in the register file stage 114 are also generated in decode stage 112.
- Data required by the slots for execution, such as opcode, immediate values, predicate registers and control registers are sent to the corresponding execution slots in at the beginning of register file stage 114.
- GRF 52 provides the data for the reads started in decode stage 112 during the middle of the register file stage 114.
- Dependency checks and slot allocation for superscalar instructions are also done during decode stage 112.
- VLIW packet instructions are aligned to their corresponding slots and do not require steering.
- DSP 40 can issue two superscalar instructions in one cycle and they could go to any of the four execution slots SLOT0:SLOT3 if no resource collision occurs.
- FIGURE 7 shows dataflow diagram 120 of a superscalar issue algorithm for performing slot allocation (SA) and dependency checks (Dep) in either the decode stage.
- SA slot allocation
- Dep dependency checks
- Path 136 depicts 12 being replayed to node 130. Path shows 12 being committed and 13 not being valid for flow back to node 122. Alternatively, in path 140 13 collides with 12, and 12 is committed to move to node 142.
- FIGURE 8 portrays write after write (WAW) hazard process 160 for the GRF 52 of the illustrated embodiment.
- WAW hazard detection process 160 uses comparisons 162 to compare, for example, operands Rx 164 and Rd/Rx 116 of younger instruction 168 with operands Rx 170 and Rd/Rx 172, for example, of older instruction 174.
- DSP 40 always tries to issue two instructions per cycle to maximize performance.
- the present embodiment provides an efficient process for the mixed issuing and processing of superscalar and VLIW instructions. The helps to establish a robust digital signal processing environment for wireless handset operations.
- the disclosed subject matter provides a method and a system for issuing and executing mixed architecture instructions in a multiple- issue digital signal processor receives in a mixed instruction listing a plurality of digital signal processor instructions.
- the plurality of digital signal processor instructions includes a plurality of parallel executable instructions (e.g., VLIW instructions or instruction packets) mixed among a plurality of series executable instructions (e.g., superscalar instructions).
- the series executable instructions are associated by various instruction dependencies.
- the method and system further identify in the mixed instruction listing the plurality of parallel executable instructions. Once identified, the parallel executable instructions are first executed in parallel irrespective of any such instruction's relative order in the mixed instruction listing. Then, the series executable instructions are executed serially according to said various instruction dependencies.
- the approach of the disclosed subject matter may be used in operating systems for combining the advantages of both VLIW and superscalar instruction processing. In an operating system, VLIW instruction issuance may occur frequently. This is because operating system instructions are frequently of the form requiring (1) the checking of a certain condition, and (2) executing a responsive instruction. This creates minimal dependencies and allows for the rapid identification and issuance of VLIW instructions.
- DSP 40 performs the above-described operations
- present embodiments may be implemented in an application specific integrated circuit (ASIC), a microcontroller, a microprocessor, or other electronic circuits designed to perform the functions described herein.
- ASIC application specific integrated circuit
Landscapes
- Engineering & Computer Science (AREA)
- Software Systems (AREA)
- Theoretical Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Advance Control (AREA)
- Multi Processors (AREA)
- Image Processing (AREA)
Abstract
Description
Claims
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020077024739A KR101253155B1 (en) | 2005-03-29 | 2006-03-28 | Mixed superscalar and vliw instruction issuing and processing method and system |
BRPI0608750-7A BRPI0608750B1 (en) | 2005-03-29 | 2006-03-28 | "METHOD AND SYSTEM FOR ISSUING AND PROCESSING MIXED SUPERSCALE AND VLIW INSTRUCTIONS" |
IL186137A IL186137A0 (en) | 2005-03-29 | 2007-09-20 | Mixed superscalar and vliw instruction issuing and processing method and system |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/093,375 US7590824B2 (en) | 2005-03-29 | 2005-03-29 | Mixed superscalar and VLIW instruction issuing and processing method and system |
US11/093,375 | 2005-03-29 |
Publications (2)
Publication Number | Publication Date |
---|---|
WO2006105295A2 true WO2006105295A2 (en) | 2006-10-05 |
WO2006105295A3 WO2006105295A3 (en) | 2007-02-08 |
Family
ID=36607594
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2006/011646 WO2006105295A2 (en) | 2005-03-29 | 2006-03-28 | Mixed superscalar and vliw instruction issuing and processing method and system |
Country Status (5)
Country | Link |
---|---|
US (1) | US7590824B2 (en) |
KR (2) | KR101253155B1 (en) |
BR (1) | BRPI0608750B1 (en) |
IL (1) | IL186137A0 (en) |
WO (1) | WO2006105295A2 (en) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2008100551A1 (en) * | 2007-02-13 | 2008-08-21 | Advanced Micro Devices, Inc. | Distributed dispatch with concurrent, out-of-order dispatch |
Families Citing this family (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8713286B2 (en) | 2005-04-26 | 2014-04-29 | Qualcomm Incorporated | Register files for a digital signal processor operating in an interleaved multi-threaded environment |
US7370176B2 (en) * | 2005-08-16 | 2008-05-06 | International Business Machines Corporation | System and method for high frequency stall design |
GB2430773A (en) * | 2005-10-03 | 2007-04-04 | Advanced Risc Mach Ltd | Alignment of variable length program instructions |
US8578387B1 (en) | 2007-07-31 | 2013-11-05 | Nvidia Corporation | Dynamic load balancing of instructions for execution by heterogeneous processing engines |
US9304775B1 (en) * | 2007-11-05 | 2016-04-05 | Nvidia Corporation | Dispatching of instructions for execution by heterogeneous processing engines |
WO2010026485A1 (en) | 2008-09-08 | 2010-03-11 | Bridgeco Ag | Very long instruction word architecture with multiple data queues |
US20110022821A1 (en) * | 2009-03-09 | 2011-01-27 | Yunsi Fei | System and Methods to Improve Efficiency of VLIW Processors |
JP2010257199A (en) * | 2009-04-24 | 2010-11-11 | Renesas Electronics Corp | Processor, and method of controlling instruction issue in processor |
JP2011138308A (en) * | 2009-12-28 | 2011-07-14 | Sony Corp | Processor, coprocessor, information processing system, and control method in them |
US9678754B2 (en) * | 2010-03-03 | 2017-06-13 | Qualcomm Incorporated | System and method of processing hierarchical very long instruction packets |
US8804764B2 (en) | 2010-12-21 | 2014-08-12 | International Business Machines Corporation | Data path for data extraction from streaming data |
US20120198213A1 (en) * | 2011-01-31 | 2012-08-02 | International Business Machines Corporation | Packet handler including plurality of parallel action machines |
KR102210997B1 (en) | 2014-03-12 | 2021-02-02 | 삼성전자주식회사 | Method and apparatus for processing VLIW instruction and method and apparatus for generating instruction for processing VLIW instruction |
EP4243374A4 (en) | 2020-12-04 | 2024-04-10 | Samsung Electronics Co., Ltd. | Method and apparatus for performing radio access network function |
US11855831B1 (en) | 2022-06-10 | 2023-12-26 | T-Mobile Usa, Inc. | Enabling an operator to resolve an issue associated with a 5G wireless telecommunication network using AR glasses |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5502826A (en) * | 1990-05-04 | 1996-03-26 | International Business Machines Corporation | System and method for obtaining parallel existing instructions in a particular data processing configuration by compounding instructions |
EP0855648A2 (en) * | 1997-01-24 | 1998-07-29 | Texas Instruments Inc. | Data processing with parallel or sequential execution of program instructions |
Family Cites Families (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4901307A (en) | 1986-10-17 | 1990-02-13 | Qualcomm, Inc. | Spread spectrum multiple access communication system using satellite or terrestrial repeaters |
US5295249A (en) * | 1990-05-04 | 1994-03-15 | International Business Machines Corporation | Compounding preprocessor for cache for identifying multiple instructions which may be executed in parallel |
US5103459B1 (en) | 1990-06-25 | 1999-07-06 | Qualcomm Inc | System and method for generating signal waveforms in a cdma cellular telephone system |
US6453407B1 (en) * | 1999-02-10 | 2002-09-17 | Infineon Technologies Ag | Configurable long instruction word architecture and instruction set |
JP2004355845A (en) * | 2003-05-27 | 2004-12-16 | Mitsuba Corp | Electromagnetic relay |
US20060206902A1 (en) | 2005-03-14 | 2006-09-14 | Sujat Jamil | Variable interleaved multithreaded processor method and system |
US7526633B2 (en) | 2005-03-23 | 2009-04-28 | Qualcomm Incorporated | Method and system for encoding variable length packets with variable instruction sizes |
US7917907B2 (en) | 2005-03-23 | 2011-03-29 | Qualcomm Incorporated | Method and system for variable thread allocation and switching in a multithreaded processor |
-
2005
- 2005-03-29 US US11/093,375 patent/US7590824B2/en active Active
-
2006
- 2006-03-28 KR KR1020077024739A patent/KR101253155B1/en not_active IP Right Cessation
- 2006-03-28 WO PCT/US2006/011646 patent/WO2006105295A2/en active Application Filing
- 2006-03-28 BR BRPI0608750-7A patent/BRPI0608750B1/en active IP Right Grant
- 2006-03-28 KR KR1020107015860A patent/KR20100087409A/en not_active Application Discontinuation
-
2007
- 2007-09-20 IL IL186137A patent/IL186137A0/en unknown
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5502826A (en) * | 1990-05-04 | 1996-03-26 | International Business Machines Corporation | System and method for obtaining parallel existing instructions in a particular data processing configuration by compounding instructions |
EP0855648A2 (en) * | 1997-01-24 | 1998-07-29 | Texas Instruments Inc. | Data processing with parallel or sequential execution of program instructions |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2008100551A1 (en) * | 2007-02-13 | 2008-08-21 | Advanced Micro Devices, Inc. | Distributed dispatch with concurrent, out-of-order dispatch |
US7725690B2 (en) | 2007-02-13 | 2010-05-25 | Advanced Micro Devices, Inc. | Distributed dispatch with concurrent, out-of-order dispatch |
EP2221718A3 (en) * | 2007-02-13 | 2010-11-10 | Advanced Micro Devices, Inc. | Distributed dispatch with concurrent, out-of-order dispatch |
TWI457827B (en) * | 2007-02-13 | 2014-10-21 | Advanced Micro Devices Inc | Distributed dispatch with concurrent, out-of-order dispatch |
KR101466934B1 (en) * | 2007-02-13 | 2014-12-01 | 어드밴스드 마이크로 디바이시즈, 인코포레이티드 | Distributed dispatch with concurrent, out-of-order dispatch |
Also Published As
Publication number | Publication date |
---|---|
US20060224862A1 (en) | 2006-10-05 |
BRPI0608750B1 (en) | 2018-01-16 |
KR20100087409A (en) | 2010-08-04 |
WO2006105295A3 (en) | 2007-02-08 |
KR101253155B1 (en) | 2013-04-10 |
IL186137A0 (en) | 2008-01-20 |
US7590824B2 (en) | 2009-09-15 |
BRPI0608750A2 (en) | 2010-01-26 |
KR20070116924A (en) | 2007-12-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7590824B2 (en) | Mixed superscalar and VLIW instruction issuing and processing method and system | |
US7526633B2 (en) | Method and system for encoding variable length packets with variable instruction sizes | |
US10042641B2 (en) | Method and apparatus for asynchronous processor with auxiliary asynchronous vector processor | |
KR101607549B1 (en) | Tracking control flow of instructions | |
US8380966B2 (en) | Method and system for instruction stuffing operations during non-intrusive digital signal processor debugging | |
US7752426B2 (en) | Processes, circuits, devices, and systems for branch prediction and other processor improvements | |
US7328332B2 (en) | Branch prediction and other processor improvements using FIFO for bypassing certain processor pipeline stages | |
US20070094478A1 (en) | Pointer computation method and system for a scalable, programmable circular buffer | |
US7581082B2 (en) | Software source transfer selects instruction word sizes | |
EP2095240A2 (en) | Method and system for trusted/untrusted digital signal processor debugging operations | |
US7657791B2 (en) | Method and system for a digital signal processor debugging during power transitions | |
EP1941352B1 (en) | Shared interrupt control method and system for a digital signal processor | |
US20120284489A1 (en) | Methods and Apparatus for Constant Extension in a Processor | |
WO1997035244A2 (en) | Central processing unit including a preprocessor which scans instruction sequences for dsp functions | |
CN113934455A (en) | Instruction conversion method and device | |
CN111095887A (en) | Phase locked loop switching in a communication system | |
US20150046689A1 (en) | Arithmetic processing unit and method for controlling arithmetic processing unit | |
US20030105946A1 (en) | Broadcast state renaming in a microprocessor | |
US20040199748A1 (en) | Micro-operation un-lamination |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
WWE | Wipo information: entry into national phase |
Ref document number: 186137 Country of ref document: IL |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
WWE | Wipo information: entry into national phase |
Ref document number: 1669/MUMNP/2007 Country of ref document: IN |
|
WWE | Wipo information: entry into national phase |
Ref document number: 1020077024739 Country of ref document: KR |
|
NENP | Non-entry into the national phase |
Ref country code: RU |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 06740046 Country of ref document: EP Kind code of ref document: A2 |
|
ENP | Entry into the national phase |
Ref document number: PI0608750 Country of ref document: BR Kind code of ref document: A2 |
|
WWE | Wipo information: entry into national phase |
Ref document number: 1020107015860 Country of ref document: KR |