WO2004085706A1 - Solution for etching copper surfaces and method of depositing metal on copper surfaces - Google Patents

Solution for etching copper surfaces and method of depositing metal on copper surfaces Download PDF

Info

Publication number
WO2004085706A1
WO2004085706A1 PCT/EP2004/002702 EP2004002702W WO2004085706A1 WO 2004085706 A1 WO2004085706 A1 WO 2004085706A1 EP 2004002702 W EP2004002702 W EP 2004002702W WO 2004085706 A1 WO2004085706 A1 WO 2004085706A1
Authority
WO
WIPO (PCT)
Prior art keywords
solution
copper
group
sulfonic acid
solution according
Prior art date
Application number
PCT/EP2004/002702
Other languages
French (fr)
Inventor
Hartmut Mahlkow
Christian Sparing
Original Assignee
Atotech Deutschland Gmbh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Atotech Deutschland Gmbh filed Critical Atotech Deutschland Gmbh
Priority to US10/550,829 priority Critical patent/US20060189141A1/en
Priority to EP04720872A priority patent/EP1606431B1/en
Priority to JP2006504699A priority patent/JP4445960B2/en
Priority to DE602004015748T priority patent/DE602004015748D1/en
Priority to KR1020057018001A priority patent/KR101059707B1/en
Publication of WO2004085706A1 publication Critical patent/WO2004085706A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/18Acidic compositions for etching copper or alloys thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1803Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces
    • C23C18/1824Pretreatment of the material to be coated of metallic material surfaces or of a non-specific material surfaces by chemical pretreatment
    • C23C18/1837Multistep pretreatment
    • C23C18/1844Multistep pretreatment with use of organic or inorganic compounds other than metals, first
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/48Coating with alloys
    • C23C18/50Coating with alloys with alloys based on iron, cobalt or nickel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/24Reinforcing the conductive pattern
    • H05K3/244Finish plating of conductors, especially of copper conductors, e.g. for pads or lands
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/38Improvement of the adhesion between the insulating substrate and the metal
    • H05K3/382Improvement of the adhesion between the insulating substrate and the metal by special treatment of the metal
    • H05K3/383Improvement of the adhesion between the insulating substrate and the metal by special treatment of the metal by microetching

Definitions

  • the invention relates to a solution for etching copper or of a copper alloy and to a method of depositing metal onto the surface of copper or a copper alloy, said surface having been previously etched using said solution.
  • Both the solution and the method preferably serve to produce circuit carriers, more specifically printed circuit boards, or are suitable for the semiconductor technique, as well as to produce leadframes and contacts such as e.g., multipoint connectors and contacts in switches, plug and socket connectors, sockets and plugs.
  • copper surfaces are treated, inter alia, with an etch solution to remove contaminants on the surface or to prepare them for the treatment that is to follow.
  • an etch solution to remove contaminants on the surface or to prepare them for the treatment that is to follow.
  • organic or metal layers are then applied. These layers may perform different functions. Metal layers for example can form solderable or bondable regions or serve as an etch resist. Depending on the purpose they serve, these layers may remain either permanently or temporarily on the copper surface.
  • etch solutions for slightly etching copper surfaces in view of a metallization to follow often consist of aqueous solutions of alkali peroxodisulfate or of hydrogen peroxide, each combined with sulfuric acid. These etchants permit to achieve etch textures with residual roughness of 1 - 2 ⁇ m which results in a size increase of the surface of up to 50 % as compared to the geometrical surface. This surface increase is due to the coarse copper texture obtained.
  • copper etchants are iron(III) salts, hypochlorite and copper(II) salts in an aqueous acidic or alkaline solution; however, these are hardly considered for the application described herein above because their etch effect is too strong, which makes them more suited for use for differential etching or for completely removing copper on base material.
  • the undercuts (steepness of the slope of the conductor lines for example) must be considered, whereas in these cases the etch textures are not important.
  • the etch resist must be prevented from being attacked and it must be possible to regenerate the etch solution (copper recovery) without any problem.
  • the composition of the etch solution depends, inter alia, on the type of layer to be applied thereon.
  • Metallic and organic layers for example pose different demands on the structure and quality of the copper surface.
  • Metallic bright copper surfaces are not considered to be suited for being subsequently coated with organic coatings such as photoresists or solder resist masks nor are they suited for press-laminating multilayers. For this reason, modified etchants are used to roughen the copper and to simultaneously form a brown-black copper(I)/copper(II) oxide film.
  • the adhering layers of the photoresists and the solder resist masks or of epoxy resin can become anchored in said oxide film during press-laminating (U.S. Patent No. 6,036,758; EP 0 442 197 A2; EP 0 926 265 A1 ).
  • Said etchants contain mineral acids, alkane sulfonic acids, mixtures of the acids mentioned, inhibitors and known oxidizing agents and are intended to oxidize and roughen smooth copper areas. They are not suited for subsequent metallization though.
  • the composition and effect of these etch (polishing) solutions considerably differ from the etch solutions mentioned herein above.
  • abrasive substances silicon dioxide - aluminum dioxide - ceroxide - zirconium dioxide
  • the solutions are disclosed to contain viscosity increasing agents (polyalcohols, polyethylene glycols, etc.), organic acids (amino acetic acid, amido sulfuric acid, oxalic acid, citric acid, gluconic acid), inorganic acids and inhibitors (N-methyl formamide, benzotriazole, imidazole, phenacetine, thiourea, mercaptobenzothiazole).
  • the pH of the solutions varies from slightly acid to strongly alkaline (9 - 14, 3 - 10, 5 - 8) thus clearly exceeding that of current etchants.
  • the solutions mentioned also serve to etch, slightly etch or level copper surfaces, with only low removal rates of from 0.03 to 0.1 ⁇ m being expected for polishing in accordance with the intended purpose. Higher removal rates may for example be achieved by increasing the temperatures and by adding abrasive substances.
  • the amount of added complexing agents has to be increased in order to keep the oxidized copper in solution as oxidized copper, because of the high pH of the solution, can only be kept in solution using complexing agents such as EDTA or NTA for example. If the etch solution contains too much copper, it cannot be used any longer.
  • DE-OS 21 49 196 discloses an aqueous solution for etching copper or a copper alloy, the solution comprising peroxodisulfate and at least one heterocyclic azol compound. Further this solution may also contain one or more halides and sulfuric acid or phosphoric acid. This solution is intended to be used for etching copper on printed circuit board material.
  • DE 100 34 022 A1 discloses an acid treatment solution for copper surfaces, the solution comprising hydrogen peroxide, at least one five-membered heterocyclic compound, such as a tetrazol, and at least one microstructuring agent, this agent being selected from the group comprising organic thioles, sulfides, disulfides and thioureas.
  • the solution may further contain an acid, sulfuric acid for example.
  • the copper surfaces produced by a treatment with this solution have a microstructure having two roughness values, the first one being in the range of from 1 to 10 ⁇ m and the second one being in the range of from 50 to 500 nm.
  • the solution is intended to be used for the manufacture of printed circuit boards.
  • U.S. Patent No. 6,036,758 describes an etch solution for the surface treatment of copper that comprises hydrogen peroxide and an aromatic sulfonic acid or a salt thereof.
  • this etch solution includes, inter alia, an inorganic acid, with sulfuric acid comprised in a range between 2 and 20 % (w/v) being preferred, a concentration between 5 and 10 % (w/v) being particularly preferred.
  • EP 1 167482 A2 discloses an etch (polishing) solution comprising an N- heterocyclic compound, hydrogen peroxide and a salt of the dodecyl benzene sulfonic acid.
  • the solution is suited for manufacturing integrated circuits, the excess wiring material being intended to be etched away after the metal plating step.
  • abrasive substances and additives such as sulfuric acid for example, may be added to the etch (polishing) solution.
  • the removal rate is a function of the pH, the temperature and the kind and strength of the acids used.
  • the solution operates at a pH of between 5 and 12.
  • miniaturization poses new demands on the surfaces, more specifically on the copper surfaces, which may for example form the basis for end layers such as electroless nickel-gold, chemical tin, silver, palladium and combinations of the metals mentioned.
  • the surfaces produced must provide good bonding for subsequent metallization.
  • Bonding strength is determined, inter alia, by the surface structure of the etched copper, whereby it is assumed that the achieved bonding strength will be the greater the coarser the surface structure of the copper surface is. As a result, it is expected that bright copper surfaces will have a reduced bonding strength.
  • defect bondings of the metal layers to be applied do not allow to dispense with slightly etching the copper.
  • the etched surfaces on the leadframes are intended to additionally minimize the formation of resin smear as a result of the observed epoxy bleed out or to largely prevent said resin smear from contaminating the external contacts. Furthermore, the etchants are intended to be affordable and easy to handle.
  • the basic object of the present invention is to meet the requirements mentioned in order to overcome the drawbacks of the known solutions and methods. More specifically, it is intended to produce the brightest possible copper surface.
  • the solution in accordance with the invention serves to etch copper or a copper alloy on substrates, preferably on electric circuit carriers, more specifically on printed circuit boards or in the semiconductor technique, as well as to produce leadframes and contacts such as e.g., multipoint connectors and contacts in switches, plug and socket connectors, sockets and plugs.
  • the etch solution preferably serves to produce a copper surface that is suited for being subsequently coated with metals.
  • the solution in accordance with the invention is a solution having a pH of about 4 and lower. It does not contain sulfate ions. It comprises:
  • At least one oxidizing agent selected from the group comprising hydrogen peroxide and peracids
  • at least one substance selected from the group comprising aromatic sulfonic acids and salts of the aromatic sulfonic acids.
  • aromatic sulfonic acids Due to their manufacturing method, organic and especially aromatic sulfonic acids have residual concentrations in sulfate ions. This is due to the fact that the sulfonic acids are subject to hydrolysis thus forming the respective non- sulfonated aromatic compounds and sulfate ion. This reaction is the reversal of the sulfonation reaction. Therefore aromatic sulfonic acids are always accompanied by sulfate ions (Ullmanns Encyclopadie der ischen Chemie [Ullmann's encyclopedia of technical chemistry], 4 th edition, volume 8, pages 412-416).
  • aromatic sulfonic acids that contain a group, such as a nitro radical, in a mefa-position at the aromatic ring are preferably subject to such hydrolyzation.
  • a group such as a nitro radical
  • m-nitrobenzenesulfonate which is expressis verhis mentioned in U.S. Patent No. 6,036,758 for its use as an additive to a composition useful for the surface treatment of copper. Therefore it is considered that the solutions disclosed in this document are not free of sulfate as required according to the present invention.
  • sulfate ion free solution in accordance with the invention a solution is meant that has a sulfate ion concentration of less than 0.2 % (w/v).
  • sulfate ion in the solution, which concentration corresponds to that concentration of sulfate ion in the solution established if sulfate ion is brought into the solution by adding sulfate containing sulfonic acid or the salt thereof to be used in accordance with this invention to the solution wherein the sulfate ion concentration in the sulfonic acid or the salt thereof is less than 0.2 % (w/v).
  • sulfate free solution sulfate ion may originate from sulfonic acid, the salt thereof and/or from any other source.
  • sulfate ion in a sulfonic acid or in the salt thereof or in the solution according to the present invention known methods may be utilized such as ion chromatography or the method for gravimetric determination of sulfate ion as the barium sulfate. If the concentration of sulfate ion in the solution is higher than the value given above, its concentration must be reduced to less than this value, for example by precipitating sulfate ion as barium sulfate by using barium chloride.
  • the aromatic sulfonic acids themselves do not form hardly soluble barium salts. Therefore the aromatic sulfonic acids do not precipitate and sulfate can be easily separated from the solution.
  • the solution may be regenerated with a barium salt solution, e.g. a solution of barium carbonate.
  • a barium salt solution e.g. a solution of barium carbonate.
  • Such regeneration may be performed by subjecting the solution to a barium salt solution in a batch operation.
  • regeneration can also be performed continuously by adding the barium salt in a small excess to the solution according to the invention in order to continuously remove sulfate thereof by precipitation.
  • the method in accordance with the invention is simple, easy to perform and cheap. It serves to prepare the copper or copper alloy surface to thereafter deposit metal to this surface, especially of a copper or copper alloy layer applied to a substrate. It involves the following method steps: a) contacting the surface with the solution in accordance with the invention and thereafter b) coating the surface with at least one metal.
  • the substrates comprising copper surfaces more specifically include electric circuit carriers, leadframes and contacts such as e.g., multipoint connectors and contacts in switches, plug and socket connectors, sockets and plugs.
  • the solution in accordance with the invention has a micro-etching effect. At 23°C, the etch rate is on the order of 1 - 2 ⁇ m per minute.
  • the solution in accordance with the invention permits to obtain an excellent quality, oxide free, smooth, salmon-pink copper surface with a satin to bright finish. This appearance is due to the obtained very small copper crystals that form a uniform microstructure on the surface of the metal, thus being responsible for the superior optical appearance.
  • the microstructure of metal surfaces may for example be analyzed using Atomic Force Microscopy (AFM), which concurrently permits to determine the residual roughness of the surface. AFM measurements rely on measuring the strength and contributions of interparticular interactions such as Van der Waals interactions or electrostatic interactions and permit to image surface structures at the atomic level.
  • AFM Atomic Force Microscopy
  • the residual roughness depends on the acidic strength of the solution in accordance with the invention. It can be noted that higher acidic strength leads to greater residual roughness and, as a result thereof, to a greater increase of the surface and vice versa.
  • the acidic strength may also influence the etch rate which in turn affects the residual roughness with higher etch rates usually resulting in comparatively greater residual roughness.
  • the etch rate is not the sole factor responsible for the formation of surfaces having the brightest possible finish. It was clearly to be seen that the use of the more specifically sulfate free solution in accordance with the invention produced, even at the same etch rate, a significantly enhanced appearance i.e., a surface with a brighter finish.
  • the solution and the method in accordance with the invention permit to eliminate the problems arising using the known means.
  • the bright surfaces required as a result of the ever-increasing miniaturization can be produced with the solution in accordance with the invention without the trade-off of a simultaneous reduction of bonding strength of the metallization to follow.
  • resin smear which may form on the areas of contact of leadframes as a result of "epoxy bleed out" as they are being cast in plastic, may be minimized using the solution in accordance with the invention prior to electrolytically coating with solder metal.
  • Another advantage of a metallic bright surface showing no stains is that one avoids the problems stemming from a matte and/or stained surface during adjustment of a photomask on the conductive pattern. Adverse effects during the following metal plating step, for example during electroless deposition of bismuth, copper, tin, silver, nickel, gold or palladium are not observed.
  • the solution in accordance with the invention also permits to overcome the problem arising using known solutions, the problem being that in some cases there is no metal deposit at all though the surface has previously been etched with the etch solution or that the deposit obtained is non-uniform.
  • These problems arising using the known etch solutions are due to the fact that the copper surfaces produced have too coarse a structure i.e., too high roughness depth values. If one assumes for example that the copper surfaces have a roughness depth of 1 - 2 ⁇ m, the subsequent layers to be formed thereon, which usually have a thickness of 0.2 - 5 ⁇ m, will adapt to the given texture.
  • the epitaxial effects resulting from the structure can be demonstrated. They negatively affect corrosion behavior of chemically deposited nickel for example. For the reasons mentioned, surfaces that are etched in this manner are not suited for producing fine circuit structures.
  • the resulting copper removal is low so that after etching the thickness of the copper layer varies within but a narrow range.
  • Another advantage is the low pH of the solution, which is 4 or less, as such a pH permits, in contrast to many prior art etch (polishing) solutions, to utilize the solution while using alkaline soluble solder resists.
  • the service life i.e., the copper absorption of such an etchant without the trade-off of a reduction of the brightness of the copper surfaces, is on the order of 20 g/l and more, which is also due to the low pH without complexing agents having to be added to the solution.
  • the operation of the bath, the analyses and the necessary replenishments are easy to perform.
  • the solution in accordance with the invention has a pH on the order of 4 and less, preferably of 3 and less. A pH ranging from 2.2 to 1.8 is particularly preferred.
  • the aromatic part of the aromatic sulfonic acids and of the salts of the aromatic sulfonic acids preferably comprises at least one phenyl group, which may be substituted by one or more radicals selected from the group comprising nitro, amino, hydroxy, halogen, Ci - C 5 -alkyl radicals and Ci - C 5 - alkoxy radicals.
  • the alkyl and alkoxy radicals may also be substituted, preferably by amino, hydroxy and/or halogen. If the phenyl group is substituted by a plurality of radicals, these may be selected independently from one another.
  • Compounds which are selected from the group comprising benzene sulfonic acid, phenol sulfonic acid, toluene sulfonic acid and amino benzene sulfonic acid are particularly preferred.
  • Naphthalene sulfonic acid is another preferred substance.
  • the aromatic sulfonic acids of particular preference are such having a comparatively low acidic strength.
  • the solution may further preferably contain at least one N-heterocyclic compound.
  • the N-heterocyclic compounds are preferably selected from the group comprising mono-N, di-N, tri-N and tetra-N heterocyclic compounds.
  • the compounds may thereby have 5 or 6 members.
  • N-heterocyclic compounds contained in the solution in accordance with the invention do not substantially influence the etch rate of the solution.
  • the preferred concentration ranges of the solution constituents are as follows:
  • aromatic sulfonic acids and the salts of the aromatic sulfonic acids preferably from 2 to 250 g/l, more preferably from about 20 to about 60 g/l
  • N-heterocyclic compounds preferably from 0.1 to 300 g/l, more preferably from about 10 to about 80 g/l
  • hydrogen peroxide 35 % (w/v): preferably from about 60 to about 110 g/l, more preferably from about 80 to about 100 g/l, most preferably about 100 g/l.
  • the etch solution also operates outside of the concentration ranges indicated. Accordingly, the concentration ranges indicated are mere standard values.
  • the peracids used are selected from the group comprising organic and inorganic peracids, said peracids being preferably selected from the group comprising perboric acid and perbenzoic acid.
  • the solution in accordance with the invention may comprise at least one adjuvant selected from the group comprising polyethylene glycol, polypropylene glycol and the derivatives thereof. It could be observed that, by adding this adjuvant, the copper crystals became even smaller, which additionally minimizes the size increase of the surface, thus further reinforcing the bright finish of the surface treated.
  • Said adjuvants preferably have a degree of polymerization in the range of from about 100 to about 1000.
  • the copper surfaces are preferably cleaned prior to being treated with the solution in accordance with the invention in order to remove from the copper surface contaminations that would interfere with the treatment.
  • Conventional acidic cleaning fluids may be utilized.
  • surfactants and, if need be, complexing agents such as triethanolamine are added to the aqueous cleaning fluids in order to improve the cleaning effect.
  • a rinse step with deionized water for example may be provided for after cleaning.
  • the copper surfaces are treated with the solution in accordance with the invention, said solution being preferably operated in the method at a temperature of from about 20°C to about 60 °C.
  • the processing time preferably amounts to from about 10 sec to about 400 sec. The higher the temperature of the solution during etching, the faster the etch reaction will proceed. Accordingly, a shorter processing time is needed in this case to obtain a certain etch result.
  • an etch temperature ranging from about 20 to about 25°C is preferred to keep easy control of the method, with the preferred processing time being about 120 sec.
  • the copper surfaces can be contacted with sulfuric acid, more preferably with 1 % (w/v) sulfuric acid.
  • sulfuric acid more preferably with 1 % (w/v) sulfuric acid.
  • the surfaces Prior to metal plating, the surfaces can preferably be rinsed, more specifically using deionized water.
  • the metal deposited is preferably selected from the group comprising bismuth, copper, tin, gold, silver, palladium and nickel, with the metal being more preferably formed as electroless nickel-gold or as chemical tin.
  • the metal layers applied may for example serve as bondable and solderable contact areas or as electrical contact layers for push buttons or plug contacts.
  • the metal layers may for example be deposited by electrochemical, electroless or chemical plating. Chemical deposition by metal to metal charge transfer is preferred, one metal (here copper or a copper alloy) dissolving partially while the dissolved metal, chemical tin for example, is deposited. Electroless plating e.g., electroless nickel-gold is also preferred.
  • the copper surface is first treated with a bath by means of which palladium nuclei are deposited onto the surface.
  • metal plating may be performed in another bath comprising nickel ions, for example in the form of a sulfate salt, and a reducing agent.
  • the reducing agent utilized is a hypophosphite salt, for example the sodium salt thereof, or the corresponding acid thereof.
  • a nickel-phosphorus layer forms.
  • the reducing agent utilized will be a borane, for example dimethylamine borane or a boranate such as sodium boron hydride.
  • the reducing agent used will preferably be hydrazine or a derivative thereof.
  • These baths additionally comprise complexing agents, more specifically organic carboxylic acids, pH adjusting agents such as ammonium hydroxide or acetate, as well as stabilizers such as sulfur compounds or lead salts.
  • the gold layer is applied to the electroless plated nickel layer, for example by a charge transfer method or by electroless plating, i.e., using a reducing agent.
  • the copper surface is contacted with a solution comprising tin(II) ions, for example tin(II) sulfate, an acid such as sulfuric acid, and a thiourea derivative.
  • tin(II) ions for example tin(II) sulfate, an acid such as sulfuric acid, and a thiourea derivative.
  • the tin layer is formed on the copper surface- via a charge transfer reaction, with copper dissolving to the benefit of tin.
  • the substrates comprising the copper surfaces can be processed in current dip plants.
  • conveyorized plating lines in which the printed circuit boards are conveyed through the plant on a horizontal conveying direction (path) while being contacted with the processing fluids via suited nozzles such as spray or flow nozzles is particularly advantageous.
  • the printed circuit boards can be held horizontally or vertically or in any other orientation.
  • substrates comprising copper surfaces such as leadframes can be processed in reel-to-reel (RTR) systems.
  • RTR reel-to-reel
  • the concentration of the sulfate ions in all of the etch solutions of the examples described was reduced to a value that corresponds to a concentration of sulfate ion in the sulfonic acid of less than 0.2 % (w/v) which is added to the solution. This was achieved by means of barium sulfate precipitation. The barium sulfate thus obtained was filtered away.
  • the pH in the solutions according to the present invention was always about 4 or less.
  • Example 1a Example in accordance with the invention:
  • An aqueous solution was prepared by mixing the following constituents:
  • Deionized water was added to bring the volume to 1 L.
  • the solution was heated to 23°C. Then, two copper foils (printed circuit board quality) were each treated according to the aforementioned method scheme by dipping them for 120 sec into the solution. After treatment with warm deionized water, they were dried. One foil was used to determine the residual roughness while the other foil was metal plated in compliance with the method scheme.
  • Example 1a was repeated using a solution with the following composition:
  • toluene-4-sulfonic acid (sulfate free) 25 g hydrogen peroxide 35 % (w/v) 100 g
  • Deionized water was added to bring the volume to 1 L.
  • the copper layer had a satin finish and a residual roughness of 63 nm. No stains formed while it was taken to the rinse tank. The etch rate was 2.0 /m/min. After silver plating, a sufficiently adherent bond between the copper surface and the applied silver layer could be observed.
  • Phenol- 4-sulfonic acid has a lower acidity and accordingly had a much lower etch rate than toluene-4-sulfonic acid. This, together with the formation of smaller copper crystals, resulted in a reduced residual roughness in Example 1a.
  • Example 2 Example in accordance with the invention:
  • Example 1 b was repeated using a solution with the following composition:
  • toluene-4-sulfonic acid (sulfate free) 25 g hydrogen peroxide 35 % (w/v) 100 g polyethylene glycol
  • Deionized water was added to bring the volume to 1 L.
  • the copper layer had a satin to bright finish and had a residual roughness of 43 nm. No stains formed while it was taken to the rinse tank. The etch rate was 1.8 m/min. After nickel plating, an adherent bond between the copper surface and the applied nickel layer could be observed.
  • Example 2 By adding polyethylene glycol in Example 2, one obtained a reduced etch rate and, as a result thereof, a reduced residual roughness when directly compared with Example 1b.
  • Example 3 Example in accordance with the invention:
  • Example 1 b was repeated using a solution with the following composition:
  • toluene-4-sulfonic acid (sulfate free) 25 g hydrogen peroxide 35 % (w/v) 100 g pyridine 30 ml
  • Deionized water was added to bring the volume to 1 L.
  • the copper layer was bright and had a residual roughness of 31 nm. No stains formed while it was taken to the rinse tank. The etch rate was 1.5 ⁇ m/min. After electroless palladium plating, an adherent bond between the copper surface and the applied palladium layer could be observed.
  • the solution in accordance with the invention was prepared, using the same sulfonic acid as in Example 1 b and adding an N-heterocyclic compound. As compared to Example 1 b, the etch rate diminished and the size of the copper crystals was strongly reduced. As a result, the residual roughness dropped significantly and the surface accordingly had a uniform bright appearance.
  • Example 1 a was repeated using a solution with the following composition:
  • Deionized water was added to bring the volume to 1 L.
  • the copper layer was matte and had a residual roughness of 88 nm. No stains formed while it was taken to the rinse tank.
  • the etch rate was 1.2 //m/min at a temperature of 25°C. After bismuth plating, an adherent bond between the copper surface and the applied bismuth layer could be observed.
  • Example 4b Example in accordance with the invention:
  • Deionized water was added to bring the volume to 1 L.
  • the copper layer had a satin to bright finish and had a residual roughness of 45 nm. No stains formed while it was taken to the rinse tank. The etch rate was 1.3 m/min. After chemical gold plating, an adherent bond between the copper surface and the applied gold layer could be observed.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • ing And Chemical Polishing (AREA)
  • Weting (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)
  • Manufacturing Of Printed Wiring (AREA)
  • Lead Frames For Integrated Circuits (AREA)
  • Chemically Coating (AREA)
  • Chemical Treatment Of Metals (AREA)

Abstract

A solution for etching copper or a copper alloy for producing a copper surface having the brightest possible finish for a metallization that is to follow is described. The solution has a pH on the order of 4 or less and is free of sulfate ions. It comprises: a) at least one oxidizing agent selected from the group comprising hydrogen peroxide and peracids, b) at least one substance selected from the group comprising aromatic sulfonic acids and salts of the aromatic sulfonic acids and optionally c) at least one N-heterocyclic compound. Further a method for depositing metal onto the surface of copper or a copper alloy is described. Said method comprises the following method steps: a) contacting the surface with the solution in accordance with the invention and b) coating the surface with at least one metal. The solution and the method are especially suited in the production of electric circuit carriers, more specifically for semiconductor manufacturing.

Description

Solution for Etching Copper Surfaces and Method of Depositing Metal on Copper Surfaces
Specification:
The invention relates to a solution for etching copper or of a copper alloy and to a method of depositing metal onto the surface of copper or a copper alloy, said surface having been previously etched using said solution. Both the solution and the method preferably serve to produce circuit carriers, more specifically printed circuit boards, or are suitable for the semiconductor technique, as well as to produce leadframes and contacts such as e.g., multipoint connectors and contacts in switches, plug and socket connectors, sockets and plugs.
During the manufacturing of circuit carriers, copper surfaces are treated, inter alia, with an etch solution to remove contaminants on the surface or to prepare them for the treatment that is to follow. One thereby aims at removing from 1 - 2 μm copper. In the following treatments, organic or metal layers are then applied. These layers may perform different functions. Metal layers for example can form solderable or bondable regions or serve as an etch resist. Depending on the purpose they serve, these layers may remain either permanently or temporarily on the copper surface.
In any event, in order to prevent parts of the surface layer from stripping or chipping off, it is necessary that a strong adherent bond forms between the treated copper surface and the layer to be applied.
In the manufacturing of semiconductors, the phenomenon of epoxy bleed out could be observed at the surface of leadframes, said phenomenon occurring for example after the chip has been resin coated, when the excess epoxy resin leaks ("bleeds out") onto the external contacts of the leadframe. These sites are then lost to electroplating.
In practice, known etch solutions for slightly etching copper surfaces in view of a metallization to follow often consist of aqueous solutions of alkali peroxodisulfate or of hydrogen peroxide, each combined with sulfuric acid. These etchants permit to achieve etch textures with residual roughness of 1 - 2 μm which results in a size increase of the surface of up to 50 % as compared to the geometrical surface. This surface increase is due to the coarse copper texture obtained.
Further known copper etchants are iron(III) salts, hypochlorite and copper(II) salts in an aqueous acidic or alkaline solution; however, these are hardly considered for the application described herein above because their etch effect is too strong, which makes them more suited for use for differential etching or for completely removing copper on base material. In these applications, the undercuts (steepness of the slope of the conductor lines for example) must be considered, whereas in these cases the etch textures are not important. The etch resist must be prevented from being attacked and it must be possible to regenerate the etch solution (copper recovery) without any problem.
The composition of the etch solution depends, inter alia, on the type of layer to be applied thereon. Metallic and organic layers for example pose different demands on the structure and quality of the copper surface.
Metallic bright copper surfaces are not considered to be suited for being subsequently coated with organic coatings such as photoresists or solder resist masks nor are they suited for press-laminating multilayers. For this reason, modified etchants are used to roughen the copper and to simultaneously form a brown-black copper(I)/copper(II) oxide film. The adhering layers of the photoresists and the solder resist masks or of epoxy resin can become anchored in said oxide film during press-laminating (U.S. Patent No. 6,036,758; EP 0 442 197 A2; EP 0 926 265 A1 ). Said etchants contain mineral acids, alkane sulfonic acids, mixtures of the acids mentioned, inhibitors and known oxidizing agents and are intended to oxidize and roughen smooth copper areas. They are not suited for subsequent metallization though.
The increasing miniaturization of circuit carriers, and more specifically miniaturization in semiconductor processing, calls for new solutions. Wet processes, more specifically electroplating processes, such as copper plating and the manufacturing of copper conductors on microchips are finding increasing acceptance in this technical field. In this field, the surfaces are required to be polished and bright, an essential prerequisite for obtaining fine circuit structures. These polished surfaces can be produced using what are termed etch (pojishing) solutions. Such type solutions are known from EP 1 167 585 A2, U.S. Patent Application No. 2002/0022370 and US RE 37,786 E and result in high polish copper surfaces.
Apart from the oxidizing agent, hydrogen peroxide or alkali peroxodisulfate, the composition and effect of these etch (polishing) solutions considerably differ from the etch solutions mentioned herein above. In addition to considerable amounts of abrasive substances (silicon dioxide - aluminum dioxide - ceroxide - zirconium dioxide) included for increasing the removal rates, the solutions are disclosed to contain viscosity increasing agents (polyalcohols, polyethylene glycols, etc.), organic acids (amino acetic acid, amido sulfuric acid, oxalic acid, citric acid, gluconic acid), inorganic acids and inhibitors (N-methyl formamide, benzotriazole, imidazole, phenacetine, thiourea, mercaptobenzothiazole).
Moreover, the pH of the solutions varies from slightly acid to strongly alkaline (9 - 14, 3 - 10, 5 - 8) thus clearly exceeding that of current etchants. The solutions mentioned also serve to etch, slightly etch or level copper surfaces, with only low removal rates of from 0.03 to 0.1 μm being expected for polishing in accordance with the intended purpose. Higher removal rates may for example be achieved by increasing the temperatures and by adding abrasive substances. In this case however, the amount of added complexing agents has to be increased in order to keep the oxidized copper in solution as oxidized copper, because of the high pH of the solution, can only be kept in solution using complexing agents such as EDTA or NTA for example. If the etch solution contains too much copper, it cannot be used any longer.
DE-OS 21 49 196 discloses an aqueous solution for etching copper or a copper alloy, the solution comprising peroxodisulfate and at least one heterocyclic azol compound. Further this solution may also contain one or more halides and sulfuric acid or phosphoric acid. This solution is intended to be used for etching copper on printed circuit board material.
DE 100 34 022 A1 discloses an acid treatment solution for copper surfaces, the solution comprising hydrogen peroxide, at least one five-membered heterocyclic compound, such as a tetrazol, and at least one microstructuring agent, this agent being selected from the group comprising organic thioles, sulfides, disulfides and thioureas. The solution may further contain an acid, sulfuric acid for example. The copper surfaces produced by a treatment with this solution have a microstructure having two roughness values, the first one being in the range of from 1 to 10 μm and the second one being in the range of from 50 to 500 nm. The solution is intended to be used for the manufacture of printed circuit boards.
U.S. Patent No. 6,036,758 describes an etch solution for the surface treatment of copper that comprises hydrogen peroxide and an aromatic sulfonic acid or a salt thereof. In addition, this etch solution includes, inter alia, an inorganic acid, with sulfuric acid comprised in a range between 2 and 20 % (w/v) being preferred, a concentration between 5 and 10 % (w/v) being particularly preferred.
EP 1 167482 A2 discloses an etch (polishing) solution comprising an N- heterocyclic compound, hydrogen peroxide and a salt of the dodecyl benzene sulfonic acid. The solution is suited for manufacturing integrated circuits, the excess wiring material being intended to be etched away after the metal plating step. In addition to the constituents mentioned, abrasive substances and additives such as sulfuric acid for example, may be added to the etch (polishing) solution. The removal rate is a function of the pH, the temperature and the kind and strength of the acids used. The solution operates at a pH of between 5 and 12.
In the printed circuit board technique, miniaturization poses new demands on the surfaces, more specifically on the copper surfaces, which may for example form the basis for end layers such as electroless nickel-gold, chemical tin, silver, palladium and combinations of the metals mentioned. For forming fine circuit structures, the surfaces produced must provide good bonding for subsequent metallization.
Bonding strength is determined, inter alia, by the surface structure of the etched copper, whereby it is assumed that the achieved bonding strength will be the greater the coarser the surface structure of the copper surface is. As a result, it is expected that bright copper surfaces will have a reduced bonding strength.
It partially appeared that the use of the etchants mentioned herein above tends to cause problems during metal deposition, for example during electroless deposition of copper, tin, silver, nickel, gold, palladium and bismuth. These problems are that in some cases the metals mentioned could not deposit at all and that in some other cases but a very non-uniform metallization could be obtained.
However, defect bondings of the metal layers to be applied do not allow to dispense with slightly etching the copper.
In the course of the tests performed with etchants that produce bright copper surfaces, it has been found out that these suffer from considerable shortcomings. After etching, dark brown stains form on the substrate being taken to the rinse tank, said stains being dull once they have been dipped in sulfuric acid. The operating range of the etchants is very narrow, adding tremendous expense to the analysis of the bath to be operated.
Using the known methods and processing solutions, it is therefore not possible to concurrently meet the following requirements: i) Providing metal layers with sufficient bonding strength, even on very narrow copper conductors that are applied to substrates, such as printed circuit board material, leadframes or various contacts. This requirement is intended to prevent the metal deposits from chipping off or from being otherwise stripped off.
ii) Providing a copper surface as bright as possible for the metallization to follow, in particular in order to permit formation of very fine circuit structures.
iii) Ensuring sufficient process safety as the substrate is being taken to the rinse tank so that dark brown stains are prevented from forming on the copper surface.
Besides these requirements, the etched surfaces on the leadframes are intended to additionally minimize the formation of resin smear as a result of the observed epoxy bleed out or to largely prevent said resin smear from contaminating the external contacts. Furthermore, the etchants are intended to be affordable and easy to handle.
Therefore the basic object of the present invention is to meet the requirements mentioned in order to overcome the drawbacks of the known solutions and methods. More specifically, it is intended to produce the brightest possible copper surface.
The solution to these problems is achieved by the solution for etching copper or a copper alloy in accordance with claim 1 , the use of the solution in accordance with claim 12 and the method for depositing metal in accordance with claim 13. Preferred embodiments of the invention are recited in the subordinate claims.
The solution in accordance with the invention serves to etch copper or a copper alloy on substrates, preferably on electric circuit carriers, more specifically on printed circuit boards or in the semiconductor technique, as well as to produce leadframes and contacts such as e.g., multipoint connectors and contacts in switches, plug and socket connectors, sockets and plugs. The etch solution preferably serves to produce a copper surface that is suited for being subsequently coated with metals. The solution in accordance with the invention is a solution having a pH of about 4 and lower. It does not contain sulfate ions. It comprises:
a) at least one oxidizing agent, selected from the group comprising hydrogen peroxide and peracids, and b) at least one substance, selected from the group comprising aromatic sulfonic acids and salts of the aromatic sulfonic acids.
Due to their manufacturing method, organic and especially aromatic sulfonic acids have residual concentrations in sulfate ions. This is due to the fact that the sulfonic acids are subject to hydrolysis thus forming the respective non- sulfonated aromatic compounds and sulfate ion. This reaction is the reversal of the sulfonation reaction. Therefore aromatic sulfonic acids are always accompanied by sulfate ions (Ullmanns Encyclopadie der technischen Chemie [Ullmann's encyclopedia of technical chemistry], 4th edition, volume 8, pages 412-416). Especially aromatic sulfonic acids that contain a group, such as a nitro radical, in a mefa-position at the aromatic ring are preferably subject to such hydrolyzation. In particular this applies to m-nitrobenzenesulfonate which is expressis verhis mentioned in U.S. Patent No. 6,036,758 for its use as an additive to a composition useful for the surface treatment of copper. Therefore it is considered that the solutions disclosed in this document are not free of sulfate as required according to the present invention.
Hereto before, the circumstance that aromatic sulfonic acids contain sulfate ions has generally been disregarded because, for etching, sulfonic acids are often used precisely together with sulfuric acid. It has however been found out that bright copper layers can only be obtained with sulfate free sulfonic acid in combination with the other constituents of the solution in accordance with the invention. By the sulfate ion free solution in accordance with the invention a solution is meant that has a sulfate ion concentration of less than 0.2 % (w/v). It is more preferred to have an even lower concentration of sulfate ion in the solution, which concentration corresponds to that concentration of sulfate ion in the solution established if sulfate ion is brought into the solution by adding sulfate containing sulfonic acid or the salt thereof to be used in accordance with this invention to the solution wherein the sulfate ion concentration in the sulfonic acid or the salt thereof is less than 0.2 % (w/v). In both definitions of sulfate free solution sulfate ion may originate from sulfonic acid, the salt thereof and/or from any other source.
For quantification of the concentration of sulfate ion in a sulfonic acid or in the salt thereof or in the solution according to the present invention, known methods may be utilized such as ion chromatography or the method for gravimetric determination of sulfate ion as the barium sulfate. If the concentration of sulfate ion in the solution is higher than the value given above, its concentration must be reduced to less than this value, for example by precipitating sulfate ion as barium sulfate by using barium chloride. The aromatic sulfonic acids themselves do not form hardly soluble barium salts. Therefore the aromatic sulfonic acids do not precipitate and sulfate can be easily separated from the solution. During the use of such solution, for maintaining the solution free of sulfate, the solution may be regenerated with a barium salt solution, e.g. a solution of barium carbonate. Such regeneration may be performed by subjecting the solution to a barium salt solution in a batch operation. Alternatively regeneration can also be performed continuously by adding the barium salt in a small excess to the solution according to the invention in order to continuously remove sulfate thereof by precipitation.
The method in accordance with the invention is simple, easy to perform and cheap. It serves to prepare the copper or copper alloy surface to thereafter deposit metal to this surface, especially of a copper or copper alloy layer applied to a substrate. It involves the following method steps: a) contacting the surface with the solution in accordance with the invention and thereafter b) coating the surface with at least one metal.
The substrates comprising copper surfaces more specifically include electric circuit carriers, leadframes and contacts such as e.g., multipoint connectors and contacts in switches, plug and socket connectors, sockets and plugs.
The solution in accordance with the invention has a micro-etching effect. At 23°C, the etch rate is on the order of 1 - 2 μm per minute. The solution in accordance with the invention permits to obtain an excellent quality, oxide free, smooth, salmon-pink copper surface with a satin to bright finish. This appearance is due to the obtained very small copper crystals that form a uniform microstructure on the surface of the metal, thus being responsible for the superior optical appearance. The microstructure of metal surfaces may for example be analyzed using Atomic Force Microscopy (AFM), which concurrently permits to determine the residual roughness of the surface. AFM measurements rely on measuring the strength and contributions of interparticular interactions such as Van der Waals interactions or electrostatic interactions and permit to image surface structures at the atomic level. They show that, after using the solution in accordance with the invention, a residual roughness Rmax of 26 nm was achieved. As compared to the geometrical area, the surface only increased by 3.6 % (measured on 10 μm by 10 μm areas).
It has been found out that the values obtained for the residual roughness are well correlated with the optical appearance of the metal surface. Table 1 opposes the values obtained for the residual roughness and the optical appearance. Table 1 :
Figure imgf000011_0001
Moreover, it has been found out that the residual roughness depends on the acidic strength of the solution in accordance with the invention. It can be noted that higher acidic strength leads to greater residual roughness and, as a result thereof, to a greater increase of the surface and vice versa. The acidic strength may also influence the etch rate which in turn affects the residual roughness with higher etch rates usually resulting in comparatively greater residual roughness. In view thereof, it has also been found out that the etch rate is not the sole factor responsible for the formation of surfaces having the brightest possible finish. It was clearly to be seen that the use of the more specifically sulfate free solution in accordance with the invention produced, even at the same etch rate, a significantly enhanced appearance i.e., a surface with a brighter finish.
The solution and the method in accordance with the invention permit to eliminate the problems arising using the known means. The bright surfaces required as a result of the ever-increasing miniaturization can be produced with the solution in accordance with the invention without the trade-off of a simultaneous reduction of bonding strength of the metallization to follow. There is no formation of brown stains on the bright surface while the substrate is being taken to the rinse tank, which additionally further improves the bonding strength.
Surprisingly, resin smear, which may form on the areas of contact of leadframes as a result of "epoxy bleed out" as they are being cast in plastic, may be minimized using the solution in accordance with the invention prior to electrolytically coating with solder metal.
Another advantage of a metallic bright surface showing no stains is that one avoids the problems stemming from a matte and/or stained surface during adjustment of a photomask on the conductive pattern. Adverse effects during the following metal plating step, for example during electroless deposition of bismuth, copper, tin, silver, nickel, gold or palladium are not observed.
The solution in accordance with the invention also permits to overcome the problem arising using known solutions, the problem being that in some cases there is no metal deposit at all though the surface has previously been etched with the etch solution or that the deposit obtained is non-uniform. These problems arising using the known etch solutions are due to the fact that the copper surfaces produced have too coarse a structure i.e., too high roughness depth values. If one assumes for example that the copper surfaces have a roughness depth of 1 - 2 μm, the subsequent layers to be formed thereon, which usually have a thickness of 0.2 - 5 μm, will adapt to the given texture. The epitaxial effects resulting from the structure can be demonstrated. They negatively affect corrosion behavior of chemically deposited nickel for example. For the reasons mentioned, surfaces that are etched in this manner are not suited for producing fine circuit structures.
The resulting copper removal is low so that after etching the thickness of the copper layer varies within but a narrow range. Another advantage is the low pH of the solution, which is 4 or less, as such a pH permits, in contrast to many prior art etch (polishing) solutions, to utilize the solution while using alkaline soluble solder resists. The service life i.e., the copper absorption of such an etchant without the trade-off of a reduction of the brightness of the copper surfaces, is on the order of 20 g/l and more, which is also due to the low pH without complexing agents having to be added to the solution. The operation of the bath, the analyses and the necessary replenishments are easy to perform. The solution in accordance with the invention has a pH on the order of 4 and less, preferably of 3 and less. A pH ranging from 2.2 to 1.8 is particularly preferred.
In order to achieve the etching effect of the solution of the invention as it has been described, the aromatic part of the aromatic sulfonic acids and of the salts of the aromatic sulfonic acids preferably comprises at least one phenyl group, which may be substituted by one or more radicals selected from the group comprising nitro, amino, hydroxy, halogen, Ci - C5-alkyl radicals and Ci - C5- alkoxy radicals. The alkyl and alkoxy radicals may also be substituted, preferably by amino, hydroxy and/or halogen. If the phenyl group is substituted by a plurality of radicals, these may be selected independently from one another. Compounds which are selected from the group comprising benzene sulfonic acid, phenol sulfonic acid, toluene sulfonic acid and amino benzene sulfonic acid are particularly preferred. Naphthalene sulfonic acid is another preferred substance. The aromatic sulfonic acids of particular preference are such having a comparatively low acidic strength.
The solution may further preferably contain at least one N-heterocyclic compound. The N-heterocyclic compounds are preferably selected from the group comprising mono-N, di-N, tri-N and tetra-N heterocyclic compounds.
More specifically, the compounds may thereby have 5 or 6 members.
Compounds of the group comprising pyridine, N-methyl pyrrolidone, adenine, guanine, uric acid, imidazole, pyrazole, piperazine, pyrrolidone, pyrroline, triazole, tetrazole and the derivatives thereof are preferably suited.
The N-heterocyclic compounds contained in the solution in accordance with the invention do not substantially influence the etch rate of the solution.
The preferred concentration ranges of the solution constituents are as follows:
aromatic sulfonic acids and the salts of the aromatic sulfonic acids: preferably from 2 to 250 g/l, more preferably from about 20 to about 60 g/l, N-heterocyclic compounds: preferably from 0.1 to 300 g/l, more preferably from about 10 to about 80 g/l,
hydrogen peroxide (35 % (w/v)): preferably from about 60 to about 110 g/l, more preferably from about 80 to about 100 g/l, most preferably about 100 g/l.
It is to be understood that the etch solution also operates outside of the concentration ranges indicated. Accordingly, the concentration ranges indicated are mere standard values.
The peracids used are selected from the group comprising organic and inorganic peracids, said peracids being preferably selected from the group comprising perboric acid and perbenzoic acid.
In addition to the constituents mentioned, the solution in accordance with the invention may comprise at least one adjuvant selected from the group comprising polyethylene glycol, polypropylene glycol and the derivatives thereof. It could be observed that, by adding this adjuvant, the copper crystals became even smaller, which additionally minimizes the size increase of the surface, thus further reinforcing the bright finish of the surface treated. Said adjuvants preferably have a degree of polymerization in the range of from about 100 to about 1000.
According to the method of the invention, the copper surfaces are preferably cleaned prior to being treated with the solution in accordance with the invention in order to remove from the copper surface contaminations that would interfere with the treatment. Conventional acidic cleaning fluids may be utilized. Usually, surfactants and, if need be, complexing agents such as triethanolamine are added to the aqueous cleaning fluids in order to improve the cleaning effect. Preferably, a rinse step with deionized water for example may be provided for after cleaning.
Then, the copper surfaces are treated with the solution in accordance with the invention, said solution being preferably operated in the method at a temperature of from about 20°C to about 60 °C. The processing time preferably amounts to from about 10 sec to about 400 sec. The higher the temperature of the solution during etching, the faster the etch reaction will proceed. Accordingly, a shorter processing time is needed in this case to obtain a certain etch result. For technical reasons, an etch temperature ranging from about 20 to about 25°C is preferred to keep easy control of the method, with the preferred processing time being about 120 sec.
Next, the copper surfaces can be contacted with sulfuric acid, more preferably with 1 % (w/v) sulfuric acid. Prior to metal plating, the surfaces can preferably be rinsed, more specifically using deionized water. The metal deposited is preferably selected from the group comprising bismuth, copper, tin, gold, silver, palladium and nickel, with the metal being more preferably formed as electroless nickel-gold or as chemical tin.
The metal layers applied may for example serve as bondable and solderable contact areas or as electrical contact layers for push buttons or plug contacts. The metal layers may for example be deposited by electrochemical, electroless or chemical plating. Chemical deposition by metal to metal charge transfer is preferred, one metal (here copper or a copper alloy) dissolving partially while the dissolved metal, chemical tin for example, is deposited. Electroless plating e.g., electroless nickel-gold is also preferred.
For forming an electroless nickel-gold layer, the copper surface is first treated with a bath by means of which palladium nuclei are deposited onto the surface. Next, metal plating may be performed in another bath comprising nickel ions, for example in the form of a sulfate salt, and a reducing agent. Usually, the reducing agent utilized is a hypophosphite salt, for example the sodium salt thereof, or the corresponding acid thereof. In this case, a nickel-phosphorus layer forms. If a nickel-boron coating is to be generated, the reducing agent utilized will be a borane, for example dimethylamine borane or a boranate such as sodium boron hydride. If pure nickel layers are to be deposited, the reducing agent used will preferably be hydrazine or a derivative thereof. These baths additionally comprise complexing agents, more specifically organic carboxylic acids, pH adjusting agents such as ammonium hydroxide or acetate, as well as stabilizers such as sulfur compounds or lead salts. The gold layer is applied to the electroless plated nickel layer, for example by a charge transfer method or by electroless plating, i.e., using a reducing agent.
For forming a chemical tin layer, the copper surface is contacted with a solution comprising tin(II) ions, for example tin(II) sulfate, an acid such as sulfuric acid, and a thiourea derivative. The tin layer is formed on the copper surface- via a charge transfer reaction, with copper dissolving to the benefit of tin.
The substrates comprising the copper surfaces can be processed in current dip plants. For the processing of printed circuit boards, it has been found out that the utilization of what are termed conveyorized plating lines in which the printed circuit boards are conveyed through the plant on a horizontal conveying direction (path) while being contacted with the processing fluids via suited nozzles such as spray or flow nozzles is particularly advantageous. For this purpose, the printed circuit boards can be held horizontally or vertically or in any other orientation.
In a similar fashion, substrates comprising copper surfaces such as leadframes can be processed in reel-to-reel (RTR) systems.
The following examples serve to further explain the invention:
Except for Comparative Example 4a, the concentration of the sulfate ions in all of the etch solutions of the examples described was reduced to a value that corresponds to a concentration of sulfate ion in the sulfonic acid of less than 0.2 % (w/v) which is added to the solution. This was achieved by means of barium sulfate precipitation. The barium sulfate thus obtained was filtered away. The pH in the solutions according to the present invention was always about 4 or less.
In the examples, printed circuit boards that were electrolytically reinforced with copper cladding were processed in the following manner:
1. Cleaning in an acidic, conventional cleaning fluid;
2. Rinsing in water;
3. Processing the printed circuit boards for 2 minutes each (see examples);
4. Dipping into a 1 % (w/v) sulfuric acid;
5. Rinsing in water;
6. Metal plating with electroless Ni/P and then with chemical gold using current metal plating solutions.
Example 1a - Example in accordance with the invention:
An aqueous solution was prepared by mixing the following constituents:
phenol-4-sulfonic acid (sulfate free) 50 g hydrogen peroxide 35 % (w/v) 100 g
Deionized water was added to bring the volume to 1 L.
The solution was heated to 23°C. Then, two copper foils (printed circuit board quality) were each treated according to the aforementioned method scheme by dipping them for 120 sec into the solution. After treatment with warm deionized water, they were dried. One foil was used to determine the residual roughness while the other foil was metal plated in compliance with the method scheme.
The copper layer of the analyzed foil had a satin to bright finish and had a residual roughness of 44 nm. No stains formed while it was taken to the rinse tank. The etch rate was 0.66 μm/min. After tin plating, an adherent bond between the copper surface and the applied tin layer could be observed. Example 1 b - Example in accordance with the invention:
Example 1a was repeated using a solution with the following composition:
toluene-4-sulfonic acid (sulfate free) 25 g hydrogen peroxide 35 % (w/v) 100 g
Deionized water was added to bring the volume to 1 L.
The copper layer had a satin finish and a residual roughness of 63 nm. No stains formed while it was taken to the rinse tank. The etch rate was 2.0 /m/min. After silver plating, a sufficiently adherent bond between the copper surface and the applied silver layer could be observed.
As mentioned herein above, it appeared that the etch rate is a function of the acidity of the acid used as can be seen from the Examples 1a and 1b. Phenol- 4-sulfonic acid has a lower acidity and accordingly had a much lower etch rate than toluene-4-sulfonic acid. This, together with the formation of smaller copper crystals, resulted in a reduced residual roughness in Example 1a.
Example 2 - Example in accordance with the invention:
Example 1 b was repeated using a solution with the following composition:
toluene-4-sulfonic acid (sulfate free) 25 g hydrogen peroxide 35 % (w/v) 100 g polyethylene glycol
(degree of polymerization: 100 - 400) 25 ml
Deionized water was added to bring the volume to 1 L.
The copper layer had a satin to bright finish and had a residual roughness of 43 nm. No stains formed while it was taken to the rinse tank. The etch rate was 1.8 m/min. After nickel plating, an adherent bond between the copper surface and the applied nickel layer could be observed.
By adding polyethylene glycol in Example 2, one obtained a reduced etch rate and, as a result thereof, a reduced residual roughness when directly compared with Example 1b.
Example 3 - Example in accordance with the invention:
Example 1 b was repeated using a solution with the following composition:
toluene-4-sulfonic acid (sulfate free) 25 g hydrogen peroxide 35 % (w/v) 100 g pyridine 30 ml
Deionized water was added to bring the volume to 1 L.
The copper layer was bright and had a residual roughness of 31 nm. No stains formed while it was taken to the rinse tank. The etch rate was 1.5 μm/min. After electroless palladium plating, an adherent bond between the copper surface and the applied palladium layer could be observed.
The solution in accordance with the invention was prepared, using the same sulfonic acid as in Example 1 b and adding an N-heterocyclic compound. As compared to Example 1 b, the etch rate diminished and the size of the copper crystals was strongly reduced. As a result, the residual roughness dropped significantly and the surface accordingly had a uniform bright appearance.
Comparative Example 4a:
Example 1 a was repeated using a solution with the following composition:
benzene sulfonic acid (containing about 2 % (w/v) H2S0 ) 25 g hydrogen peroxide 35 % (w/v) 100 g pyridine 30 ml
Deionized water was added to bring the volume to 1 L.
The copper layer was matte and had a residual roughness of 88 nm. No stains formed while it was taken to the rinse tank. The etch rate was 1.2 //m/min at a temperature of 25°C. After bismuth plating, an adherent bond between the copper surface and the applied bismuth layer could be observed.
Here it appeared that, although the etch rate was low, the surface that formed was not bright. The etch texture could not be improved by adding an N- heterocyclic compound. This example permitted to demonstrate the influence of a sulfonic acid comprising sulfate ions that was not in accordance with the present invention. The solution behaved like a sulfuric acid etch solution.
Example 4b - Example in accordance with the invention:
Comparative Example 4a was repeated using a solution with the following composition:
benzene sulfonic acid (sulfate free) 25 g hydrogen peroxide 35 % (w/v) 100 g pyridine 30 ml
Deionized water was added to bring the volume to 1 L.
The copper layer had a satin to bright finish and had a residual roughness of 45 nm. No stains formed while it was taken to the rinse tank. The etch rate was 1.3 m/min. After chemical gold plating, an adherent bond between the copper surface and the applied gold layer could be observed.
This example clearly shows the positive effect the solution in accordance with the invention comprising a sulfate free sulfonic acid has on the etch texture when directly compared with Comparative Example 4a. The etch rate was similar, but the residual roughness could be reduced by almost half which resulted in an optically significantly enhanced surface.
It is to be understood that various modifications and substitutions by technical means may be applied to what has been described by way of the examples hereinabove without departing from the scope of the invention as defined by the appended claims. It is further understood that combinations of features described in this application will be suggested to persons skilled in the art and are to be included within the purview of the described invention and within the scope of the appended claims. All publications, patents and patent applications cited herein are hereby incorporated by reference.

Claims

Patent Claims:
1. A solution for etching copper or a copper alloy, said solution having a pH on the order of 4 or less, comprising:
a) at least one oxidizing agent selected from the group comprising hydrogen peroxide and peracids and b) at least one substance selected from the group comprising aromatic sulfonic acids and salts of the aromatic sulfonic acids
characterized in that the solution is free of sulfate ions.
2. The solution according to claim 1 , characterized in that it further comprises at least one N-heterocyclic compound.
3. The solution according to claim 2, characterized in that the concentration of the N-heterocyclic compounds is in the range of from about 0.1 to about 300 g/l.
4. The solution according to any one of claims 2 and 3, characterized in that at least one N-heterocyciic compound is selected from the group comprising mono-N, di-N, tri-N and tetra-N heterocyclic compounds.
5. The solution according to any one of claims 2 - 4, characterized in that at least one N-heterocyclic compound is selected from the group comprising pyridine, N-methyl pyrrolidone, adenine, guanine, uric acid, imidazole, pyrazole, piperazine, pyrrolidone, pyrroline, triazole, tetrazole and the derivatives thereof.
6. The solution according to any one of the preceding claims, characterized in that the concentration of the substances is in the range of from about 5 to about 250 g/l.
7. The solution according to any one of the preceding claims, characterized in that at least one salt of the aromatic sulfonic acids is selected from the group comprising sodium and potassium salts.
8. The solution according to any one of the preceding claims, characterized in that the aromatic part of at least one aromatic sulfonic acid or of at least one salt of the aromatic sulfonic acids comprises at least one phenyl group.
9. The solution according to claim 8, characterized in that at least one phenyl group is substituted by one or more radicals selected from the group comprising nitro, amino, hydroxy, halogen, Ci - C5-alkyl radicals and Ci - C -alkoxy radicals.
10. The solution according to any one of the preceding claims, characterized in that at least one aromatic sulfonic acid is selected from the group comprising benzene sulfonic acid, phenol sulfonic acid, toluene sulfonic acid, amino benzene sulfonic acid and naphthalene sulfonic acid.
11. The solution according to any one of the preceding claims, characterized in that the solution comprises at least one adjuvant selected from the group comprising polyethylene glycol, polypropylene glycol and the derivatives thereof.
12. Use of the solution according to any one of claims 1 - 11 for producing electrical circuit carriers or for the semiconductor technique in vertical and/or horizontal lines or for producing leadframes in RTR systems or for producing multipoint connectors and contacts in switches, plug and socket connectors, sockets and plugs.
13. A method for depositing metal to the surface of copper or a copper alloy, said method comprising the following method steps: a) contacting the surface with the solution in accordance with any one of claims 1 - 11 and b) coating the surface with at least one metal.
14. The method according to claim 13, characterized in that the substrate is selected from the group comprising electrical circuit carriers, leadframes, multipoint connectors and contacts in switches, plug and socket connectors, sockets and plugs.
15. The method according to any one of claims 13 and 14, characterized in that the substrate is contacted with an acidic cleaning fluid prior to method step a).
16. The method according to any one of claims 13 - 15, characterized in that the substrate is contacted with sulfuric acid prior to method step b).
17. The method according to any one of claims 13 - 16, characterized in that the metal is selected from the group comprising copper, tin, gold, silver, palladium, bismuth and nickel.
18. The method according to claim 17, characterized in that the metal is electroless nickel-gold or chemical tin.
19. The method according to any one of claims 13 - 18 for producing electrical circuit carriers or for the semiconductor technique in vertical and/or horizontal lines or for producing leadframes in RTR-systems.
PCT/EP2004/002702 2003-03-25 2004-03-16 Solution for etching copper surfaces and method of depositing metal on copper surfaces WO2004085706A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US10/550,829 US20060189141A1 (en) 2003-03-25 2004-03-16 Solution for etching copper surfaces and method of depositing metal on copper surfaces
EP04720872A EP1606431B1 (en) 2003-03-25 2004-03-16 Solution for etching copper surfaces and method of depositing metal on copper surfaces
JP2006504699A JP4445960B2 (en) 2003-03-25 2004-03-16 Method for producing a solution for etching a copper surface and method for depositing a metal on a copper surface
DE602004015748T DE602004015748D1 (en) 2003-03-25 2004-03-16 SOLUTION FOR COATING COPPER SURFACES AND METHOD FOR DECOMPOSING ON COPPER SURFACES
KR1020057018001A KR101059707B1 (en) 2003-03-25 2004-03-16 Solution for etching copper surface and depositing metal on copper surface

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10313517A DE10313517B4 (en) 2003-03-25 2003-03-25 Solution for etching copper, method for pretreating a layer of copper and application of the method
DE10313517.0 2003-03-25

Publications (1)

Publication Number Publication Date
WO2004085706A1 true WO2004085706A1 (en) 2004-10-07

Family

ID=32980716

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2004/002702 WO2004085706A1 (en) 2003-03-25 2004-03-16 Solution for etching copper surfaces and method of depositing metal on copper surfaces

Country Status (10)

Country Link
US (1) US20060189141A1 (en)
EP (1) EP1606431B1 (en)
JP (1) JP4445960B2 (en)
KR (1) KR101059707B1 (en)
CN (1) CN100379898C (en)
AT (1) ATE404713T1 (en)
DE (2) DE10313517B4 (en)
MY (1) MY140151A (en)
TW (1) TW200502437A (en)
WO (1) WO2004085706A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100368598C (en) * 2005-08-09 2008-02-13 广东省石油化工研究院 Copper or copper alloy surface tiny-etching treatment fluid for smoothing
WO2013004624A1 (en) 2011-07-07 2013-01-10 Atotech Deutschland Gmbh Method for providing organic resist adhesion to a copper or copper alloy surface
EP2274460B2 (en) 2008-03-21 2016-08-31 Enthone, Inc. Adhesion promotion of metal to laminate with a multi-functional compound

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004014680B3 (en) * 2004-03-25 2005-07-28 Dr.-Ing. Max Schlötter GmbH & Co KG Demetallizing solution for removing tin-bismuth layers, e.g. from electronic or electrical components, containing acid (e.g. alkylsulfonic acid), nitroaromatic compound and aminopolycarboxylic acid
DE102006042032A1 (en) * 2006-09-07 2008-03-27 Infineon Technologies Ag Semiconductor component
JP5273710B2 (en) * 2007-11-27 2013-08-28 メック株式会社 Etching agent
PL2103717T3 (en) * 2008-02-29 2010-07-30 Atotech Deutschland Gmbh Pyrophosphate-based bath for depositing tin alloy layers
EP2241653B1 (en) * 2009-04-15 2017-09-06 ATOTECH Deutschland GmbH Composition and method for micro etching of copper and copper alloys
DE102010011269B4 (en) * 2009-11-10 2014-02-13 Ami Doduco Gmbh A method of depositing a palladium layer suitable for wire bonding onto circuit traces of a circuit board and using a palladium bath in the method
KR101829399B1 (en) * 2010-03-04 2018-03-30 삼성전자주식회사 photosensitive-resin remover composition and method of fabricating semiconductor device using the same
EP2537962A1 (en) 2011-06-22 2012-12-26 Atotech Deutschland GmbH Method for copper plating
US10109503B2 (en) * 2011-07-22 2018-10-23 Advanpack Solutions Pte Ltd. Method of manufacturing semiconductor package device
US8747643B2 (en) * 2011-08-22 2014-06-10 Rohm And Haas Electronic Materials Llc Plating bath and method
CN103060805B (en) * 2011-08-22 2014-12-17 东友精细化工有限公司 Method for forming metal wire harness
JP2013104104A (en) * 2011-11-14 2013-05-30 Mec Kk Etching solution, replenishment solution, and method for forming copper wiring
CN103137570B (en) 2011-11-29 2016-02-10 先进封装技术私人有限公司 The manufacture method of board structure, semiconductor encapsulated element and board structure
JP2015507692A (en) * 2011-12-20 2015-03-12 ソルベイ (チャイナ) カンパニー リミテッド Use of phenolic compounds as activators for corrosion of metal surfaces
KR101394133B1 (en) * 2012-08-22 2014-05-15 주식회사 이엔에프테크놀로지 Etchant composition for molybdenum alloy layer and indium oxide layer
KR101517013B1 (en) * 2013-10-02 2015-05-04 주식회사 이엔에프테크놀로지 Etching composition for copper and molibdenum containing film
JP6400897B2 (en) * 2013-11-06 2018-10-03 ニッタ・ハース株式会社 Polishing composition
CN106459850A (en) * 2014-05-13 2017-02-22 巴斯夫欧洲公司 TIN pull-back and cleaning composition
CN106207596A (en) * 2016-06-28 2016-12-07 杭州华锦电子有限公司 A kind of seal wiring seat injection process
CN109844910B (en) * 2016-10-21 2023-04-28 株式会社Adeka Etching liquid composition and etching method
CN109280919B (en) * 2017-07-20 2020-11-24 添鸿科技股份有限公司 Etchant composition for copper-containing metal
KR102579768B1 (en) * 2018-10-05 2023-09-19 솔브레인 주식회사 Etchant composition and method for methal layer etching method using the same
CN111945139B (en) * 2020-07-27 2022-07-12 江苏富乐华半导体科技股份有限公司 Nickel plating method for copper-clad ceramic substrate
CN115141629B (en) * 2022-06-15 2023-06-02 湖北兴福电子材料股份有限公司 TiN removing liquid
CN117144367B (en) * 2023-10-30 2023-12-26 深圳市板明科技股份有限公司 Circuit etching solution for IC packaging carrier plate and preparation method and application thereof

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2149196A1 (en) * 1971-04-26 1972-11-02 Tokai Electro Chemical Co Process and solution for etching copper and its alloys
FR2392100A1 (en) 1977-05-27 1978-12-22 Alfachimici Spa Selective etching of copper esp. in printed circuit mfr. - using soln. contg. phosphoric acid, peroxy cpd., and stabiliser
GB1546524A (en) 1976-01-05 1979-05-23 Shipley Co Etchants
JPS5873775A (en) * 1981-10-28 1983-05-04 Nippon Peroxide Co Ltd Soft etching agent for copper
WO1998015674A1 (en) * 1996-10-07 1998-04-16 Solvay Interox Limited Metal surface treatment solutions and process
EP0926265A1 (en) * 1997-12-19 1999-06-30 McGean-Rohco, Inc. Method and compositions for producing copper surfaces for improved bonding and articles made therefrom
US6036758A (en) 1998-08-10 2000-03-14 Pmd (U.K.) Limited Surface treatment of copper
EP1167585A2 (en) * 2000-06-29 2002-01-02 Ebara Corporation Method and apparatus for forming interconnects, and polishing liquid and polishing method
EP1167482A2 (en) * 2000-06-30 2002-01-02 Kabushiki Kaisha Toshiba Aqueous dispersion for chemical mechanical polishing used for polishing of copper

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0770767A (en) * 1993-09-01 1995-03-14 Mitsubishi Gas Chem Co Inc Surface treating liquid for copper-clad laminated plate and surface treating method
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
TW374802B (en) * 1996-07-29 1999-11-21 Ebara Densan Ltd Etching composition, method for roughening copper surface and method for producing printed wiring board
US6187169B1 (en) * 1996-09-16 2001-02-13 Atofina Chemicals, Inc. Generation of organosulfonic acid from its salts
JPH116083A (en) * 1997-06-13 1999-01-12 Hitachi Ltd Dissolving liquid for copper or copper alloy, its production, etching, chemical polishing and forming method of copper and copper alloy, and production of printed circuit board
JPH1129883A (en) * 1997-07-08 1999-02-02 Mec Kk Microetching agent for copper and copper alloy
JP2000282265A (en) * 1999-03-31 2000-10-10 Mec Kk Microetching agent for copper or copper alloy and surface treating method using the same
US7351353B1 (en) * 2000-01-07 2008-04-01 Electrochemicals, Inc. Method for roughening copper surfaces for bonding to substrates
US6451697B1 (en) * 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
DE10034022C2 (en) * 2000-07-07 2003-05-08 Atotech Deutschland Gmbh Acid treatment liquid and its use, as well as methods for treating copper surfaces
US6506314B1 (en) * 2000-07-27 2003-01-14 Atotech Deutschland Gmbh Adhesion of polymeric materials to metal surfaces
JP4033611B2 (en) * 2000-07-28 2008-01-16 メック株式会社 Copper or copper alloy microetching agent and microetching method using the same
JP3930732B2 (en) * 2000-12-27 2007-06-13 荏原ユージライト株式会社 MICRO ETCHING AGENT FOR COPPER AND COPPER ALLOY AND METHOD OF FINE Roughening of COPPER OR COPPER ALLOY USING THE SAME

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2149196A1 (en) * 1971-04-26 1972-11-02 Tokai Electro Chemical Co Process and solution for etching copper and its alloys
GB1546524A (en) 1976-01-05 1979-05-23 Shipley Co Etchants
FR2392100A1 (en) 1977-05-27 1978-12-22 Alfachimici Spa Selective etching of copper esp. in printed circuit mfr. - using soln. contg. phosphoric acid, peroxy cpd., and stabiliser
JPS5873775A (en) * 1981-10-28 1983-05-04 Nippon Peroxide Co Ltd Soft etching agent for copper
WO1998015674A1 (en) * 1996-10-07 1998-04-16 Solvay Interox Limited Metal surface treatment solutions and process
EP0926265A1 (en) * 1997-12-19 1999-06-30 McGean-Rohco, Inc. Method and compositions for producing copper surfaces for improved bonding and articles made therefrom
US6036758A (en) 1998-08-10 2000-03-14 Pmd (U.K.) Limited Surface treatment of copper
EP1167585A2 (en) * 2000-06-29 2002-01-02 Ebara Corporation Method and apparatus for forming interconnects, and polishing liquid and polishing method
EP1167482A2 (en) * 2000-06-30 2002-01-02 Kabushiki Kaisha Toshiba Aqueous dispersion for chemical mechanical polishing used for polishing of copper

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
"Ullmanns Encyclopädie der technischen Chemie", vol. 8, ULLMANN'S ENCYCLOPEDIA OF TECHNICAL CHEMISTRY, pages: 412 - 416
PATENT ABSTRACTS OF JAPAN vol. 0071, no. 67 (C - 177) 22 July 1983 (1983-07-22) *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100368598C (en) * 2005-08-09 2008-02-13 广东省石油化工研究院 Copper or copper alloy surface tiny-etching treatment fluid for smoothing
EP2274460B2 (en) 2008-03-21 2016-08-31 Enthone, Inc. Adhesion promotion of metal to laminate with a multi-functional compound
WO2013004624A1 (en) 2011-07-07 2013-01-10 Atotech Deutschland Gmbh Method for providing organic resist adhesion to a copper or copper alloy surface

Also Published As

Publication number Publication date
KR20050110699A (en) 2005-11-23
EP1606431A1 (en) 2005-12-21
CN1764739A (en) 2006-04-26
TW200502437A (en) 2005-01-16
US20060189141A1 (en) 2006-08-24
ATE404713T1 (en) 2008-08-15
MY140151A (en) 2009-11-30
JP4445960B2 (en) 2010-04-07
DE10313517B4 (en) 2006-03-30
DE602004015748D1 (en) 2008-09-25
DE10313517A1 (en) 2004-10-14
KR101059707B1 (en) 2011-08-29
CN100379898C (en) 2008-04-09
JP2006521464A (en) 2006-09-21
EP1606431B1 (en) 2008-08-13

Similar Documents

Publication Publication Date Title
EP1606431B1 (en) Solution for etching copper surfaces and method of depositing metal on copper surfaces
KR101234599B1 (en) Electroless Gold Plating Bath and Method
EP3108032B1 (en) Pre-treatment process for electroless plating
JP2004510885A (en) Baths and methods for electroless plating of silver on metal surfaces
KR102440121B1 (en) Method for manufacturing a printed wiring board
JP2015516509A (en) Method for promoting adhesion between a dielectric substrate and a metal layer
JP3314967B2 (en) How to extend the life of displacement plating baths
WO2011147448A1 (en) Composition and method for micro etching of copper and copper alloys
EP3257967B1 (en) Pretreatment agent for electroless plating, and pretreatment method and manufacturing method for printed wiring board in which pretreatment agent for electroless plating is used
EP1427869B1 (en) Regeneration method for a plating solution
EP2862959A1 (en) Method of selectively treating copper in the presence of further metal
JP2014534347A (en) Aqueous composition for etching copper and copper alloys
JP4616886B2 (en) Method for coating tin and tin alloys on substrates containing antimony compounds
WO2007025675A1 (en) Aqueous solution and method for removing ionic contaminants from the surface of a workpiece
KR20010042625A (en) Method for coating surfaces of copper or of a copper alloy with a tin or tin alloy layer
JPH03170680A (en) Direct metal covering of nonconductive supporting body
JP2005529241A (en) Acidic solution for silver deposition and method for depositing a silver layer on a metal surface
TWI614370B (en) Pre-treatment process for electroless plating
KR101107672B1 (en) Plating method for substrate
TW201326465A (en) Aqueous composition for etching of copper and copper alloys

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2004720872

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2006504699

Country of ref document: JP

Ref document number: 1-2005-501711

Country of ref document: PH

WWE Wipo information: entry into national phase

Ref document number: 2006189141

Country of ref document: US

Ref document number: 1200501336

Country of ref document: VN

Ref document number: 10550829

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1020057018001

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 20048082580

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020057018001

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2004720872

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 10550829

Country of ref document: US

WWG Wipo information: grant in national office

Ref document number: 2004720872

Country of ref document: EP