WO2003046970A1 - Low temperature compatible wide-pressure-range plasma flow device - Google Patents

Low temperature compatible wide-pressure-range plasma flow device Download PDF

Info

Publication number
WO2003046970A1
WO2003046970A1 PCT/US2002/039028 US0239028W WO03046970A1 WO 2003046970 A1 WO2003046970 A1 WO 2003046970A1 US 0239028 W US0239028 W US 0239028W WO 03046970 A1 WO03046970 A1 WO 03046970A1
Authority
WO
WIPO (PCT)
Prior art keywords
electrode
plasma
plasma source
electrodes
substrate
Prior art date
Application number
PCT/US2002/039028
Other languages
French (fr)
Inventor
Gary S. Selwyn
Blake P. Wood
Original Assignee
The Regents Of The University Of California
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by The Regents Of The University Of California filed Critical The Regents Of The University Of California
Priority to AU2002363972A priority Critical patent/AU2002363972A1/en
Publication of WO2003046970A1 publication Critical patent/WO2003046970A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Definitions

  • the invention is related to plasma devices or reactors chat: are used for cleaning, chemical and biological decontamination, surface activation, etching and. thin-film deposition, and in particular to a low-temperature compatible, ide-pressure-ra ⁇ ge plasma flow device - SUMMARY OF THE INVENTION
  • a plasma source of the present invention includes a housing- receiving a process gas flow and. first and second electrodes electrically isolated from each other.
  • a signal generator When a signal generator is coupled to the first electrode and. the second, electrode grounded, the signal generator excites ions in the gas flow to create a plasma between the first electrode and the second electrode.
  • the resulting plasma generates a substantially uniform flux of reactive species over an area larger than 1 cm 2 downstream of the first and second electrodes.
  • the signal generator excites ions in the gas flow to create the plasma at temperatures below 2S0 degrees centigrade.
  • one or more of the electrodes are perforated to allow pressure equalization between the electrodes and to enhance uniformity of the effluent plasma.
  • the present invention is applicable to plasma-enhanced etching and depositions of thin films, surface activation of a material, cleaning and decontamination of chemical and biological hazards .
  • FIG. 1 is a cross-sectional view of a plasma device ⁇ s ) accordance with the present invention.
  • FIGS. 2a-2h illustrate different electrodes that may be used with the plasma device described in FIG. 1.
  • FIG. 3 illustrates an electrode configured for the addition of a gaseous precursor reagent downstream of the plasma generated by the present invention.
  • FIG. 4 is a schematic of a plasma reactor for cleaning, chemical and biological decontamination, surface activation, etching, or deposition of material on disc-shaped substrates in accordance with the present invention.
  • FIG. 5a is a schematic of a plasma flow device for continuous processing of substrates in accordance with the present invention.
  • FIGS. 5b and 5c illustrate cross-sectional views of the device with two types of electrodes in accordance with the present invention.
  • FIGS. 6a and 6b illustrate axial and longitudinal cross-sections of a plasma flow device in accordance with the present invention where the reactive gas flows i wa d.
  • FIGS . 7a and 7b show axial and longitudinal cross-sections of a plasma flow device in accordance with the present invention where the reactive gas flaws outward.
  • FIG. 8 is a cross-sectional view or a plasma flow device in accordance with the present invention containing an array of alternating powered and grounded electrodes.
  • FIG. 9a is a plan view of plasma flow device 900 encased in housing 905.
  • FIG. sb is a cross section of plasma flow device 900 along line A-A of FIG. 9a.
  • FIG. 9c is a second cross section of plasma flow device 900 along line B-B of FIG. 9a.
  • FIG. loa is a plan view of plasma flow device 1000 encased in housing 905,
  • FIG. 10b is a cross section of plasma flow device 1000 along line A-A. of FIG. 10a.
  • FIG. 10c is a second cross section of plasma flow device 1000 along line B-B of FIG. 10a.
  • FIGs. 11a and lib show, respectively, axial cross section and end views of plasma Slow device 1100 of a cylindrical geometry, according to another embodiment of the present inven ion.
  • FIG. 12 shows an axial cross section view ⁇ f plasma flow device 1200, also of a cylindrical geometry, according to ;
  • FIG. 14b shows a variation of a perforation pattern in the stacked electrode structure of plasma flow device 1400.
  • FIGs. 15a and 15b show, respectively, axial cross section and end views ⁇ f plasma flow device 1500, including a stacked electrode structure in cylindrical geometry, according to one embodiment of the present invention.
  • FIGs. 15c and I5d show, respectively, axial cross section and end views of a variation of the gas outlet structure, illustrated by plasma flow device 1520.
  • FIG. 16 shows plasma flow device 1600, including grounded hollow cylindrical electrode 1601, provided as n insert into powered hollow cylindrical electrode 1603, in accordance with one embodiment of the present invention.
  • the present invention provides a plasma flow device or reactor housing conductive electrodes with openings to allow gas to flow through or around them. Some of the electrodes are powered by an RF source, while others are grounded. A substrate Or work piece is placed in the gas flow downstream of the electrodes, such that reactive gas from the plasma is substantially uniformly provided to a large surface area of the substrate or work piece.
  • the plasm flow device or reactor mixes in other chemical compounds to combine with the plasma stream such that the reactive ga ⁇ etA uniformly contacts the substrate or work piece.
  • the housing! of the plasma flow device or reactor can have a variety of ' different sizes and shapes, but generally has a cross-sectional area for flow adapted to the exposed area of the substrate being treated.
  • the electrodes may span the inside of the housing, being either along or perpendicular to the flow direction, with openings provided to allow the gas to flow through or around them, or to equ l ze pressure. .
  • the openings can be of many types, including perforations, slits, or small gaps, but preferably such that the gas contact with the electrodes, and passes by their surfaces at a high flow rate.
  • the electrodes are alternately grounded and biased with RF power, so as to maintain a plasma between them.
  • the plasma flow device or reactor can be used for cleaning, chemical and biological decontamination, surface activation, etching, plasma-enhanced chemical vapor deposition of thin films, or any other suitable material processing application.
  • the plasma flow source or reactor can operate at pressures ranging from 10 Torr to SOOO Torr.
  • the advantages of the present invention include providing high flames of reactive species for material processing, easily scaling up to treat larger areas, and confining the reactive gas primarily to the object being treated.
  • a reactor according to the-*, present invention can etch or deposit thin films uniformly and simultaneously over a large surface area (e.g., greater than 1 cm 2 ) and at high processing rates (e.g., 0.5 to 10.0 microns per minute). Since the invention confines the reactive gas flux to the object being treated, the equipment itself is subject to less damage and is easier to clean, making the plasma flow device less expensive, more reliable, and easier to operate than alternative low pressure plasmas. In one embodiment, the invention confines the plasma to between the powered and grounded electrodes, so that only substantially neutral reactive species come into contact with the substrate or work piece, thus preventing ion bombardment and any significant ion-induced damage to the substrate or workpiece .
  • FIG. 1 shows the basic design to a reactor according to the present invention. This design is applicable to substrate or work piece of various geometric shapes, including disc- shape, rectangular, cylindrical or any other suitable shape.
  • process gas enters through gas inlet 32 attached to housing 30.
  • Perforated partitions 26 and 28 mounted inside housing 30 provide a uniform gas flow down through the cavity.
  • Dielectric spacers 18a and 18b separate conductive electrode 16 from conductive electrode 14 and housing 30. Electrodes 14 and 16, and dielectric spacers 18a and 18b are held in place by clamp ring 20.
  • radio frequency (RF) power at 13.56 Megahertz (or any suitable frequency between 50 KHz and 500MHz) is applied to powered electrode 16, so as to strike and maintain a plasma between electrodes 14 and 16.
  • RF radio frequency
  • the plasma or plasma effluent can clean, sterilize, surface activate, etch, or deposit material on the substrate 24, depending on the composition ⁇ f the gas fed to the device. (To allow ion bombardment of substrate 24, electrode 14 can be powered and electrode 16 can be grounded.) As described above, more than one pair of electrodes 14 and 16 can be provided in housing 30.
  • the desired plasma flow can be created by varying the excitation voltages on the powered electrode, or by the geometry of the electrodes, whether at right angles or in a concentric manner (e.g., one pair of electrodes in the middle and another pair toroidally surrounding the first pair, or in other geometric fashions) . It is also possible to employ different phases of rf power between each individual, rf-powered electrode. In this configuration, the grounded electrodes may even be eliminated, if the rf phase on each electrode is sufficiently separated. This might be done, for example, to achieve a higher, instantaneous peak-to-peak voltage than would be achieved between an rf electrode and a ground electrode.
  • the RF power is usually delivered using an impedance matching network.
  • Suitable power generators for the present invention are commercially available and deliver 13.56 MHz power typically at 50 or 75 Ohm impedance. Microwave power operating at different frequencies from RF frequencies may also be employed.
  • the spacing of the electrodes is chosen to achieve a stable plasma. The width between electrodes depends on the electrode design, the operating pressure of the device, and the gas composition used, and is typically between 0.1 and 20 mm. For operation at atmospheric pressure and with most gas compositions, a narrower gap in the range of 0.5 to 3 mm
  • Electrodes 14 and 16 are designed to allow the effluent gas to mix, promoting pressure equalization and rapid heat and mass transfer, which is desirable for efficient device operation. Since the concentration ⁇ f reactive species in the plasma effluent rapidly decline with distance, a high linear velocity of the effluent gas out of electrode 14 is desirable. At 1.0 atmosphere pressure and 100 °C, e. linear velocity of 1.0 and ⁇ OO.O meters per second, and more preferably between 10.0 and 50 - 0 meters per second, is desirable. '
  • FIGs. 2a-2h illustrate typical designs for lower electrode 14 for use in processing disc-shaped substrates.
  • two slits of variable width provide a cross pattern for the plasma gas to exit from the device and impinge on the substrate 24.
  • Other configurations of slits that may be employed include three or more disposed in radial fashion, or parallel to each other to create a ribbed design.
  • the plasma flows through a series of holes chat are arranged in different radial patterns. The object ⁇ f all these designs is to give the desired flow velocity, while at the same time yielding uniform contact with the substrate 24.
  • Electrodes 14 and 16 can be of any shape (e.g., round, elliptical, 3cju , rectangular, hexagonal, curved or otherwise non-linear across the electrode) - Electrodes 14 and. 16 can also be concave, convex, pointed, conical, or other shapes, or combinations of concave, convex, pointed, jagged, peaked, conical, substantially flat areas, or other shapes to describe any external perimeter shape and any topographical surface. Further, electrodes 14 and 16 can have different shapes (e.g., electrode 14 an be substantially circular, while electrode 16 is elliptical. The holes and slits in the electrodes 14 and. 16 can be of any shape (e.g., square, oblong, or any other free form shape) .
  • Electrodes 14 and 16 may be made of any conductive material, including metals, graphite, metal alloys, aluminum, stainless steel, onel and silicon. The selection of each electrode 14 and 16 material depends on several factors: whether or not it enhances plasma stability, conducts heat and electricity effectively, and resists corrosion by the reactive gases in the plasma.
  • the electrodes are made of steel.
  • the steel electrodes are coated with a layer of dielectric material, such as a film of silicate glass or aluminum oxide 1-0 micron in thickness.
  • electrodes 14 and 16 can have a metal or conductive material embedded into a dieletric material .
  • a dielectric coating allows the plasma flow device or reactor to be operated at atmospheric pressure (typically, 760 Torr) with as much as 45% higher applied RF power than is achievable in the absence of a coating.
  • Each electrode 14 and 16 can also be made of different materials, or have different coatings (e.g., electrode 14 can be made of steel while electrode 16 is made of iron coated with a dielectric material .
  • FIGS. 9a- 9c show plasma flow device 900 in one embodiment in accordance with the present invention.
  • FIG. 9a is a plan view of plasma flow device 900 encased in housing 905.
  • FIG. 9b is a cross section of plasma flow device 900 along line A-A of FIG. 9a.
  • FIG. 9c is a second cross section of plasma flow device 900 along line B-B of FIG. 9a.
  • plasma flow device 900 includes (a) powered planar electrode 903, which is held in place by insulating supports 904 and electrically coupled through connector 902 (FIG.
  • powered planar electrode 903 is 4" (along line A-A) by 4" (along line B-B) by W .
  • a gas flow e.g., a He/ ⁇ j mixture providing 0.125 to 1.5 standard liters per minute ⁇ f 0 3 and 25 to 50 slpm of He enters chamber 907 to sustain a plasma struck between electrodes 901 and 903. openings can be provided on powered planar electrode 903 to allow equalization of pressure across the gaps between powered planar electrode 303 and each of grounded electrode 901.
  • a uniform plasma effluent is created at gas outlet 911.
  • the gas effluent typically has a temperature of less than 250°c at an RF power of 300-1000 watts or less. In fact, under a fast flow rate, a temperature near 100 ⁇ C can be maintained. In this configuration, an RF voltages of up to 350 volts can be applied.
  • Optional nozzle 912 can be provided to create a high outflow velocity.
  • Cooling jacket 910 with coolant inlets 913 and outlets 914 can be provided along the external walls of housing 905 to cool plasma flow device 900. in one embodiment, water is used as a coolan .
  • FIG- 10a is a plan view of plasma flow device 1000 encased in housing 905.
  • FIG. 10b is a cross section of plasma flow device IOOO along line A-A of FIG. 10a.
  • FIG. 10c is a second cross section of plasma flow device 1000 along line B-B of FIG. 10a.
  • Plasma flow device 1000 is substantially similar to plasma flow device 900 of FIGs. 9a- Sc, except that planar powered electrode 903 of plasma flow device 900 is replaced by a linear array of segmented rod electrodes 1002.
  • 17 segmented stainless steel rod electrodes of W diameter, and spaced apart 1/16" with each other, are mounted in housing 905, supported and held in place at their ends by slotted metal bars (not shown) .
  • gas enter plasma flow device 1000 through a fitting connected to a 0.5" diameter bora which expends the width of plasma flow device 1000.
  • This bore includes a thin slit that allows gas to flow uniformly into the region occupied by the linear array of segmented ' rod electrodes 1003.
  • FIGs. lla and lib show, respectively, axial cross section and end views of plasma flow device 1100 of a cylindrical geometry, according to another embodiment of the present invention. As shown in FIGs.
  • plasma flow device 1100 is housed in housing 1104 which includes a conductive portion serving as part of grounded electrodes 1101.
  • the ; other portion of grounded electrodes 1101 is a cylindrical electrode inside housing 1104 and concentric with housing 110-1.
  • the powered electrodes are formed by a number of segmented rod electrodes, shown a ⁇ segmented rod electrodes 1103, which are shown arranged in a circle in FIG. lib. Segmented rod electrodes 1103 are supported and electrically isolated from grounded electrodes 1101 and housing 1104 by insulator support structure 1102.
  • each of segmented rod electrodes 1103 is a cylindrical rod of W diameter, spaced apart from each other, and from each of grounded electrodes 1102 by a 1/16" gap.
  • An RF power source (not shown) is coupled to segmented rod electrodes 1103 through connector 902.
  • a cooling system circulates a coolant from coolant inlet 913 to coolant outlet 914.
  • gas enters through gas inlet 1106, and exits as a uniform plasma at the distal end through open end 1105.
  • FIG. 12 shows an axial cross section view of plasma flow device 1200, also of a cylindrical geometry, according to another embodiment of the present invention.
  • plasma flow device 1200 is housed in housing 1204 which includes a conductive portion serving as grounded electrode 1201.
  • Large cylindrical center electrodes 1203 is supported and electrically isolated from grounded electrodes 1201 and housing 1204 by insulator support structure 1202. in one implementation, cylindrical center electrode 1203 Is a cylindrical rod of 5 cm diameter, spaced apart from grounded electrode 1202 by a 1/16" gap.
  • An RF power source (not shown) is coupled to cylindrical center electrode 1203 through connector 902.
  • a cooling system circulates a coolant from coolant inlet 913 to coolant outlet 914- In plasma flow device 1200, gas enters through gas inlet 1206, and exits as a uniform plasma effluent at the distal end through open end 1205.
  • the present invention also provides a plasma flow device with an array of parallel electrodes as shown in FIG. 8
  • the advantage of this con iguration is a longer residence time of the gas within the plasma generation ⁇ one, which increases the concentration of reactive species for cleaning, chemical and biological decontamination, surface activation, etching, and deposition processes .
  • the stacking sequence alternates between grounded and powered electrodes.
  • the design presented in FIG. a is one example of an electrode array, other designs are possible.
  • gas enters housing 124 through tube 126, passes through perforated, partitions 122 and 120, and on through electrodes 110, 114, I08 r 112 and lOS.
  • the electrodes are held in place and electrically isolated from one another by dielectric spacers ll6a-116d.
  • the entire assembly, including electrodes 110, 114, 108, 112 and 106, and the dielectric spas ll ⁇ a-HGd, are mounted onto housing 124 with clamp ring 118.
  • RF power is applied to electrodes 114 and 112, whereas electrodes 110, 108 and 106 are grounded .
  • FIG. 14a shows plasma flow device 1400 with an array of parallel electrodes, m accordance with one embodiment of the present invention.
  • plasma flow device 1400 is housed in housing 1404, which provides connector 902 for connecting to a RF power source (not shown) , gas inlet 1406 for introducing feed gas, and a stacked electrode structure including alternating grounded electrodes 1401 and powered electrodes 1403 supported by insulator support structure 1402.
  • grounded electrodes 1401 and powered electrodes 1403 are each provided an array of pins and perforations ("holes") , which can be arranged in any suitable fashion (e.g., an array of pins in each of the electrodes matching to an array of holes in a neighboring electrode) .
  • each pin and holes can be arranged in any suitable density and con igu ation, e.g., circular or rectangular.
  • each pin can take on any suitable shape (e.g., rounded, conical or flat) .
  • the electrodes are
  • each hole has a diameter of 1/32".
  • the process gas is introduced into the plasma flow device 1400 through gas inlet 1406 and through the stacked electrode structure and exits as a uniform effluent gas into a reactor chamber 1405 where the work piece or substrate is located.
  • FIG. 14b shows a variation in the stacked electrode structure of plasma flow device 1400. in FIG. 14b, rather than having patterns of corresponding pins and holes in each electrode, each electrode includes simply a hole patter .
  • FIGs. 15a and 15b show, respectively, axial cross section and end views of plasma flow device 1500, according to one embodiment of the present invention.
  • housing 1504 which provides connector 90 for connecting to a RF power source (not shown) , gas inlet 1506 for introducing feed gas, and a stacked electrode structure including alternating cylindrical grounde -slactrcde ⁇ 1501 and cylindrical powered electrodes 1503 supported by insulator support structure 1502.
  • cylindrical grounded electrodes 1501 and powered electrodes 1503 are each provided an array of perforations for equalization of pressure and to ensure a uniform effluent gas flow.
  • the perforations can be arranged in any suitable density and con iguration.
  • the electrodes are metallic tubes each 1/8" between the inner and outer diameters, 4" long, and separated from each other by s 1/32" gap.
  • perforations in each electrode have a diameter of 1/32" and spaced from each other by 1/32".
  • FIGs. 15c and 15d show, respectively, axial cross section and end views of a variation of the gas outlet structure, illustrated by plasma flow device 1520.
  • perforated end plate 1507 is provided, which includes numerous openings 15OS to achieve a higher gas outflow velocity.
  • Another embodiment of the present invention can be used a ⁇ a device for the plasma-enhanced chemical vapor deposition
  • a thin film is deposited by combining a precursor to the film, such as tetraethoxysilane (Si (OCjHs) ⁇ ) with reactive gases generated in the plasma, such as oxygen atoms, causing them to react and deposit the desired materials (e.g., silicate glass (SiO z ) .
  • a preferred embodiment of the device for chemical vapor deositlon is to add the precursor (eg., tetraethoxysilane) in through a specially designed lower electrode.
  • the precursor eg., tetraethoxysilane
  • the plasma effluent and the precursor mix and react downstream as gas flow toward the substrate, leading to substantially uniform deposition of substantially all the film over a large area of the substrat .
  • Electrode 14 includes main body 38a, cover 34 ana gas inlet 36. Cover 34 is welded, onto the body 38a, creating cavity 38b. During operation, cover 34 faces the substrate 24. A chemical precursor is fed through gas inlet 36 into cavity 33b and out through smaller array of perforations in cover 34, while the plasma flows through body 38a and out cover 34 through a separate array of larger perforations.
  • the separation of the precursor and plasma streams allows for improved control over the addition of each reagent and over the linear velocities of each gas as they emerge from the plasma flow device, AS with the electrodes of FIGS. 2a-2h, electrodes 14 and 16 used for PECVD can assume any perimeter shape
  • One embodiment of the invention incorporates the plasma flow device shown ir. FIG. l into a process chamber with all the components needed for cleaning, chemical and. biological decontamination, surface activation, etching or deposition of thin films onto substrates, or for any other desired materials processing application.
  • a schematic of the entire reactor system is shown in FIG. A .
  • the process gas flows out of cylinders 42a, then tnrough mass flow controllers 46a, and into housing 30 through gas inlet 32.
  • the gas is ionized inside the plasma flow device, and it emerges at the bottom to impinge on substrate 2 .
  • gas may flow out of a cylinder 42b, through mass flow controller 46b, and into bubbler 44 containing a volatile chemical precursor.
  • Bubbler 44 is held in a temperature-controlled bath to give a known vapor pressure of the precursor.
  • the gas then becomes saturated with the precursor at the known vapor pressure, is carried into the reactor through gas inlet 36, and emerges into the plasma stream through electrode 14, using the design illustrated in FIG. 3.
  • Pedestal 22 may be rotated at any speed, but is typically rotated at 200 to 3000 rp to enhance the uniformity of gas contact with substrate 24. Housing 30, substrate 24 and pedestal 22 are sealed inside a reaction chamber 40, which is automated for mechanically loading and unloading substrates- The exhaust gas exits out through exhaust line 48. Pressure controller 50 and pump 52 control the pressure inside reaction chamber 40 to any desired value between 10.0 and 1000.0 Torr. in another embodiment, multiple reaction chambers can be interfaced to a robotic platform for handling large numbers of substrates, as is normally done in process equipment for the semiconductor industry.
  • FIG. 5a Shown in FIG. 5a is a rectangular plasma flow device with plasma flow source 58 of the present invention that can be used for continuous processing of square substrates 24.
  • the substrate 24 can have any shape, or a continuous film or sheet that is rolled past the plasma source during processing.
  • FIGs. 5b and 5c Two typical electrode configurations for this device are shown in FIGs. 5b and 5c.
  • the process gas enters through gas inlet 60 attached to rectangular housing 58.
  • Perforated partitions 56 and 54 provide a uniform gas flow in housing 58.
  • Electrodes 64 and 66 ⁇ f the device shown in FIG. 5a are similar to electrodes 14 and 16 of FIG.
  • Electrode 64 is finely perforated to enhance the stability of the plasma, and electrode 66 has fewer perforations to increase the linear velocity of the plasma effluent as discussed with respect to PIG. 2.
  • FIG. 5c illustrates another embodiment in which the gas flows around the left and right edges of electrode 70, then down through slit 72 in the center of electrode 74. A plasma is struck and maintained between these electrodes by applying RF power using RF generator 101 to one of tihe electrodes 70 or 74, using the switches 105 and 107.
  • the device is constructed to direct the plasma effluent toward a central cavity as shown in FIGs. 6a and 6b.
  • the process gas enters the device through gas inlet 76 and flows into hollow cavity 84.
  • Hollow cavity 84 distributes the process gas within an outer conductive electrode 78b.
  • Outer electrode 78b has openings to allow the process gas to flow into gap 82 between it and inner conductive electrode 73a.
  • Dielectric end caps 88 and 90 shown in FIG. 6b, contain the gas within gap 82 and hold together outer and inner electrodes 78a and 78b.
  • RF power is applied to inner electrode 78a while outer electrode 78b is grounded, causing a plasma to be stuck and maintained in gap 82.
  • the RF power may be applied to outer electrode 78b, while inner electrode 78a remains grounded. Electrodes 78a and 78b are designed to allow gas to flow through them in the same way as shown for the electrodes in FIG. 2.
  • the plasma or l .sma effluent passes out into a processing region 86 where a substrate or work piece is located.
  • the substrate or work piece can be any object that fits inside the processing region 86, such as a wire, cord, pipe, machined part, and it can be rotated within or translated through the processing region 86.
  • the plasma impinging on the substrate or work piece performs cleaning, chemical' and biological decontamination, surface activation, etching, or deposition.
  • FIG. 16 shows plasma flow device 1600, including ground hollow cylindrical electrode 1601 provided as an insert into powered hollow cylindrical electrode 1S03, in accordance with one embodiment of the present invention.
  • plasma flow device 1500 is housed in housing 1604, which provides connector S02 for connecting to a RF power source (not shown) , gas inlet 1S06 for introducing feed gas, and hollow cylindrical grounded electrodes 1601 and hollow cylindrical powered electrodes 1603 supported by insulator support structure 1602.
  • hollow cylindrical grounded electrodes 1S01 includes cavity 16B3 in which a work piece or substrate can be placed.
  • Hollow cylindrical grounded electrode 1601 is provided an array of perforations 1609 for equalization of pressure and to ensure ⁇ uniform effluent gas flow into cavity 1683.
  • the perforations can be arranged in any suitable density and configuration.
  • hollow cylindrical grounded electrode Is 8" long and has an inside diameter ⁇ f 6.125" with 50 alternatively offset 11.25" rows of 1/32" diameter perforations. Within each row, the neighboring perforations are 22-5" apart from each other.
  • Hollow cylindrical powered electrode 1603 is separated from hollow cylindrical grounded electrode 1601 by a 1/16" gap.
  • the process gas is introduced into the plasma flow device 1600 through gas inlet 1606 into the space between electrodes 1601 and 1603. The process gas is converted in a plasma effluent by electrodes 1601 and 1603.
  • Plasma flow device 1600 is particularly suitable for chemical or biological decontamination applications.
  • the invention is configured in a way that directs the reactive gas flow radially outward, a ⁇ shown in Figs. 7a and 7b.
  • the process gas enters the device through gas inlet 100 attached to dielectric end cap 102, and fills cavity 98.
  • the gas flows through inner conductive electrode 92 into gap 96 and out through outer conductive electrode 94.
  • Perforated partitions maybe inserted in the cavity to enhance uniformity of gas flow through inner electrode 92.
  • Dielectric end caps 102 and 104 contain the gas and hold in place the inner and outer electrodes 92 and 54.
  • the reactive gas exits through the openings in outer electrode 94 and impinges on a substrate or work piece that surrounds the device.
  • the substrate or work piece may be the interior of a pipe, duct, tank, and the plasma flow device may clean, sterilize or decontaminate, surface activate, etch, or deposit thin films onto it .
  • the invention in another aspect, provides certain methods of using the plasma flow devices illustrated in FIGs. 1-12 and 14-6.
  • a gas mixture is made to flow through the device and is converted into a plasma between the powered and grounded electrodes. This gas emerges from the device and impinges on a substrate where a desired cleaning, chemical and biological decontamination, surface activation, etching, deposition, or other materials process takes place.
  • These methods can be operated with a variety of di ferent gases at pressures ranging from 10.0 to 5000.0 Torr.
  • the temperature of the gas exiting u ' .ie device generally ranges from SO to 250 °C, although other temperatures may be attained depending on the particular embodiment of the invention.
  • the temperature of substrate 24 is important for the desired process, and this temperature can be independently adjusted by providing heating or cooling through the pedestal 22 that holds the substrate, or by other means.
  • the linear velocity of the gas through the last electrode prior to exiting the device, e.g., outer electrode 14, should be relatively high so that the reactive species impinge on the substrate before being consumed by gas-phase reactions.
  • the linear velocity measured at 1.0 atmosphere pressure and 100 °C, can be between 1.0 and 500.0 meters per second, and more preferably between 10.0 and 50.0 meters per second.
  • gases may pass through the plasma flow device, depending on the desired application, such as helium, argon, oscygen, nitrogen, hydrogen, chlorine, and carbon tetraflouride, and other gases.
  • the gas composition affects the stability and operation of the device, and must be accounted for in the design.
  • helium is sometimes added to help stabilize the plasma.
  • the amount of helium usually exceeds 50% by volume. Nevertheless, the helium concentration required depends on the other components in the gas and can be as little as 10% by volume when air is the second component.
  • a plasma flow device can be used to strip organic compounds and films from surfaces, useful for cleaning the substrate or work piece.
  • the plasma flow device of the present invention is also suited? for decontamination ⁇ £ chemical and biological hazards in a wide variety of products used by the medical, pharmaceutical. and food industries.
  • the reactive oxygen species produced in the oxygen plasma can be used for attacking and killing biological agents.
  • the design of the plasma flow device may vary depending on the size and shape of the substrate or wojrk piece, and the need to provide good contact to its surfaces.
  • the operation of the device would be basically the same as that suitable for use m the stripping and cleaning operations. For example, a batch of surgical instruments can be sterilized in a chamber of the plasma flow device.
  • Agitation can be provided during the cleaning process, so that all surfaces ⁇ f the surgical instruments are completely exposed to the plasma effluent.
  • the pressure in the plasma flow device could be lowered to 10 Torr if desired, and higher flow velocities can also be used.
  • a plasma flow device is also suited for etching materials, such as glass or metal.
  • gases can be used for this purpose, such a. ⁇ chlorine, nitrogen trifluoride, carbon trifluorochloride , boron trichloride, bromine, carbon tertrafluoride and ammonia.
  • inorganic material can be etched with the plasma flow device using halogen-containing feed gases, as long as the product of the reaction between the plasma with the inorganic material is a volatile halide (e.g., MP K , MCl y or NBr z ) , where M is a component of the inorganic material or when the hydride form of the species is volatile, such as Si ⁇ , or A1H 3 .
  • the inorganic materials that can be etched with this device or reactor include, metals, metal oxides, metal nitrides, metal carbides, silicate glass, silicon nitride, silicon carbide, silicon, gallium arsenide and other semiconductors.
  • a plasma flow source of the present invention can be used to deposit thin films under a plasma-enhanced chemical vapor deposition (PECVD) process.
  • PECVD plasma-enhanced chemical vapor deposition
  • a chemical precursor containing an element to be deposited or grown as a film on a substrate is mixed into the plasma- The plasma reacts with the precursor leading to the growth of a thin film on the substrate.
  • gas inlet 32 in FIG. 1 When the precursor is introduced through gas inlet 32 in FIG. 1, deposition occurs on the electrode surfaces as well aa on the substrate .
  • deposition on the electrode surfaces is generally considered undesirable, because the plasma flow device has to be cleaned of the deposits.
  • the precursor is introduced at the plasma effluent through a gas inlet tube near a lower electrode (e.g., gas inlet 36 in FIGS. 3 and 4), deposition occurs substantially only on the substrate and not inside the plasma source .
  • the plasma flow device may be used to deposit practically any organic or inorganic thin film in the manner described above, so long as the film can be fed into the reactor through a volatile chemical precursor as illustrated schematically is FIG. 4.
  • Materials that can be deposited with this device or reactor includes metals, metal oxides, metal nitrides, metal carbides, silica glass, silicon nitride, silicon carbide, silicon, gallium arsenide, gallium nitride, and other semiconductors and materials .
  • FIG. 13 is a flow chart illustrating the steps used is practicing the present invention: block 1300 illustrates the step of providing a gas flow, block 1302 illustrates the step of coupling a signal generator across electrically isolated first and second electrodes, block 1304 illustrates the step of exciting ions in the gas flow to create a plasma wherein the plasma generates a substantially uniform lux of a reactive specie over an area larger than 1 cm 2 .
  • a plasma flow device in addition to providing a substantially uniform flux of reactive species over large areas, a plasma flow device according to the present invention operates over wide temperature and pressure ranges to provide the ability to deposit, etch, surface activate, decontaminate or sterilize, and clean with substantial uniformity over a large area simultaneously.
  • the plasma flow device is similar to low-pressure plasmas in one respect, m that a plasma flow device of the present invention produces a high concentration of reactive species at temperatures below 250°C making it suitable for processing materials at relatively low temperatures.
  • the present invention offers several advantages relative to low-pressure plasma sources.
  • a plasma flow device of the present invention can be readily scaled to treat objects of almost any size and shape.
  • low pressure devices require complicated RF antennas or magnets to create a uniform plasma above a given substrate, and are not easily scaled up for areas larger than about one square foot.
  • the vacuum systems required to operate in the 0.01 Torr range are much more sophisticated than those needed in the 100 Torr range. These factors make low-pressure plasma reactors much more expensive than a plasma flow device of the present invention.
  • the plasma flow device of the present invention also restricts processing to the downstream portion of the process where the substrate is located.
  • Low-pressure plasmas on the other hand, completely fill the processing chamber, causing wear and tear on the components, and in the case of plasma-enhanced CVD, generating deposits all over the internal parts of' the vacuum system. Contamination is a serious problem that requires numerous periodic cleaning steps. leading to undesirable down time far the device.
  • the plasma flow device remains relatively clean and free of corrosion and deposits during operation, yielding significant cost savings .
  • a plasma flow device ⁇ f the present invention can ope ⁇ ate in a way that prevents nearly all ⁇ f the ions from contacting the substrate.
  • a plasma flow device of the present invention is readily scaled t ⁇ provide a uniform plasma flow onto large surface area substrate, or substrates or work pieces of any size and shape simultaneously.
  • a plasma flow device of the present invention is well suited for low temperature materials processing, between about 25 and 500°C.
  • plasma torches operate at neutral gas temperatures in excess of 4,000°C.
  • Low- emperature processing is required in many applications.
  • silicon integrated circuits must be processed at temperatures below 400 °C.
  • a plasma flow device of ' the present invention offers significant advantages for this application.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)

Abstract

A plasma source includes a housing (30) that provides a gas inlet (32) for receiving a gas flow. Inside the plasma source, first and second electrodes (14, 16) electrically insulated from each other are provided a radio frequency (RF) excitation to generate a plasma. The plasma is then provided as a uniform effluent gas flow for material processing over a large area. The plasma source is scalable to provide a uniform effluent gas flow and outflow velocity relative to the dimensions of the electrodes (14, 16). The plasma source can be used without requiring a high vacuum condition (e.g., it is possible to operate between 100 Torr to 760 Torr) and can operate in low temperature conditions (e.g., less than 250 °C).

Description

LOW TEMPERATURE COMPATIBLE WIDE-PRESSURE-RANGE PLASMA FLOW DEVICE
STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT
This invention was made with Government support under Grant No. DE-FG07-96ER 45621, awarded by the US. Department of Energy, Environmental Management Science Program. The Government has certain rights in this invention.
BACKGROUND OF THE INVENTION
1. Field of the Invention The invention is related to plasma devices or reactors chat: are used for cleaning, chemical and biological decontamination, surface activation, etching and. thin-film deposition, and in particular to a low-temperature compatible, ide-pressure-raπge plasma flow device - SUMMARY OF THE INVENTION
A plasma source of the present invention includes a housing- receiving a process gas flow and. first and second electrodes electrically isolated from each other. When a signal generator is coupled to the first electrode and. the second, electrode grounded, the signal generator excites ions in the gas flow to create a plasma between the first electrode and the second electrode. The resulting plasma generates a substantially uniform flux of reactive species over an area larger than 1 cm2 downstream of the first and second electrodes. The signal generator excites ions in the gas flow to create the plasma at temperatures below 2S0 degrees centigrade. In one embodiment, one or more of the electrodes are perforated to allow pressure equalization between the electrodes and to enhance uniformity of the effluent plasma. i
The present invention is applicable to plasma-enhanced etching and depositions of thin films, surface activation of a material, cleaning and decontamination of chemical and biological hazards .
The present invention is better understood upon consideration of the detailed description below and the accompanying drawings .
BRIEF DESCRIPTION OF THE DRAWINGS \
FIG. 1 is a cross-sectional view of a plasma device ±s ) accordance with the present invention.
FIGS. 2a-2h illustrate different electrodes that may be used with the plasma device described in FIG. 1.
FIG. 3 illustrates an electrode configured for the addition of a gaseous precursor reagent downstream of the plasma generated by the present invention.
FIG. 4 is a schematic of a plasma reactor for cleaning, chemical and biological decontamination, surface activation, etching, or deposition of material on disc-shaped substrates in accordance with the present invention.
FIG. 5a is a schematic of a plasma flow device for continuous processing of substrates in accordance with the present invention.
FIGS. 5b and 5c illustrate cross-sectional views of the device with two types of electrodes in accordance with the present invention. ! FIGS. 6a and 6b illustrate axial and longitudinal cross-sections of a plasma flow device in accordance with the present invention where the reactive gas flows i wa d.
FIGS . 7a and 7b show axial and longitudinal cross-sections of a plasma flow device in accordance with the present invention where the reactive gas flaws outward.
FIG. 8 is a cross-sectional view or a plasma flow device in accordance with the present invention containing an array of alternating powered and grounded electrodes.
FIG. 9a is a plan view of plasma flow device 900 encased in housing 905.
FIG. sb is a cross section of plasma flow device 900 along line A-A of FIG. 9a.
FIG. 9c is a second cross section of plasma flow device 900 along line B-B of FIG. 9a. FIG. loa is a plan view of plasma flow device 1000 encased in housing 905,
FIG. 10b is a cross section of plasma flow device 1000 along line A-A. of FIG. 10a.
FIG. 10c is a second cross section of plasma flow device 1000 along line B-B of FIG. 10a.
FIGs. 11a and lib show, respectively, axial cross section and end views of plasma Slow device 1100 of a cylindrical geometry, according to another embodiment of the present inven ion.
FIG. 12 shows an axial cross section view σf plasma flow device 1200, also of a cylindrical geometry, according to ;
Figure imgf000006_0001
present invention.
FIG. 14b shows a variation of a perforation pattern in the stacked electrode structure of plasma flow device 1400.
FIGs. 15a and 15b show, respectively, axial cross section and end views σf plasma flow device 1500, including a stacked electrode structure in cylindrical geometry, according to one embodiment of the present invention.
FIGs. 15c and I5d show, respectively, axial cross section and end views of a variation of the gas outlet structure, illustrated by plasma flow device 1520. FIG. 16 shows plasma flow device 1600, including grounded hollow cylindrical electrode 1601, provided as n insert into powered hollow cylindrical electrode 1603, in accordance with one embodiment of the present invention.
In the detailed description below, to facilitate cross - referencing among the figures, like elements in the drawings are assigned like reference numerals .
DETAILED DESCRIPTION CF THE PREFERRED EMBODIMENTS
The present invention provides a plasma flow device or reactor housing conductive electrodes with openings to allow gas to flow through or around them. Some of the electrodes are powered by an RF source, while others are grounded. A substrate Or work piece is placed in the gas flow downstream of the electrodes, such that reactive gas from the plasma is substantially uniformly provided to a large surface area of the substrate or work piece. In one embodiment, the plasm flow device or reactor mixes in other chemical compounds to combine with the plasma stream such that the reactive gaεetA uniformly contacts the substrate or work piece. The housing! of the plasma flow device or reactor can have a variety of ' different sizes and shapes, but generally has a cross-sectional area for flow adapted to the exposed area of the substrate being treated. The electrodes may span the inside of the housing, being either along or perpendicular to the flow direction, with openings provided to allow the gas to flow through or around them, or to equ l ze pressure. . The openings can be of many types, including perforations, slits, or small gaps, but preferably such that the gas contact with the electrodes, and passes by their surfaces at a high flow rate. The electrodes are alternately grounded and biased with RF power, so as to maintain a plasma between them. The plasma flow device or reactor can be used for cleaning, chemical and biological decontamination, surface activation, etching, plasma-enhanced chemical vapor deposition of thin films, or any other suitable material processing application.
In one embodiment, the plasma flow source or reactor can operate at pressures ranging from 10 Torr to SOOO Torr. The advantages of the present invention include providing high flames of reactive species for material processing, easily scaling up to treat larger areas, and confining the reactive gas primarily to the object being treated. A reactor according to the-*, present invention can etch or deposit thin films uniformly and simultaneously over a large surface area (e.g., greater than 1 cm2) and at high processing rates (e.g., 0.5 to 10.0 microns per minute). Since the invention confines the reactive gas flux to the object being treated, the equipment itself is subject to less damage and is easier to clean, making the plasma flow device less expensive, more reliable, and easier to operate than alternative low pressure plasmas. In one embodiment, the invention confines the plasma to between the powered and grounded electrodes, so that only substantially neutral reactive species come into contact with the substrate or work piece, thus preventing ion bombardment and any significant ion-induced damage to the substrate or workpiece .
FIG. 1 shows the basic design to a reactor according to the present invention. This design is applicable to substrate or work piece of various geometric shapes, including disc- shape, rectangular, cylindrical or any other suitable shape. Referring to FIG. 1. process gas enters through gas inlet 32 attached to housing 30. Perforated partitions 26 and 28 mounted inside housing 30 provide a uniform gas flow down through the cavity. Dielectric spacers 18a and 18b separate conductive electrode 16 from conductive electrode 14 and housing 30. Electrodes 14 and 16, and dielectric spacers 18a and 18b are held in place by clamp ring 20. in the drawing in FIG 1, radio frequency (RF) power at 13.56 Megahertz (or any suitable frequency between 50 KHz and 500MHz) is applied to powered electrode 16, so as to strike and maintain a plasma between electrodes 14 and 16. The plasma flows through openings in electrode 14 and passes out of housing 30 contacts substrate 24 on pedestal 22. This configuration prevents leakage of RF radiation out of the device. In most cases, multiple, stacks of the rf and ground electrodes are needed to enhance the residence time of the gas within the plasma volume and to improve the uniformity of the discharge. The plasma or plasma effluent can clean, sterilize, surface activate, etch, or deposit material on the substrate 24, depending on the composition σf the gas fed to the device. (To allow ion bombardment of substrate 24, electrode 14 can be powered and electrode 16 can be grounded.) As described above, more than one pair of electrodes 14 and 16 can be provided in housing 30. The desired plasma flow can be created by varying the excitation voltages on the powered electrode, or by the geometry of the electrodes, whether at right angles or in a concentric manner (e.g., one pair of electrodes in the middle and another pair toroidally surrounding the first pair, or in other geometric fashions) . It is also possible to employ different phases of rf power between each individual, rf-powered electrode. In this configuration, the grounded electrodes may even be eliminated, if the rf phase on each electrode is sufficiently separated. This might be done, for example, to achieve a higher, instantaneous peak-to-peak voltage than would be achieved between an rf electrode and a ground electrode.
Though not indicated in FIG. 1, the RF power is usually delivered using an impedance matching network. Suitable power generators for the present invention are commercially available and deliver 13.56 MHz power typically at 50 or 75 Ohm impedance. Microwave power operating at different frequencies from RF frequencies may also be employed. The spacing of the electrodes is chosen to achieve a stable plasma. The width between electrodes depends on the electrode design, the operating pressure of the device, and the gas composition used, and is typically between 0.1 and 20 mm. For operation at atmospheric pressure and with most gas compositions, a narrower gap in the range of 0.5 to 3 mm
(e.g., 1/16" or 1.6 mm) is preferred.
Many different designs for the conductive electrodes may be used with the present invention, such as those shown in FIG. 2. Electrodes 14 and 16 are designed to allow the effluent gas to mix, promoting pressure equalization and rapid heat and mass transfer, which is desirable for efficient device operation. Since the concentration σf reactive species in the plasma effluent rapidly decline with distance, a high linear velocity of the effluent gas out of electrode 14 is desirable. At 1.0 atmosphere pressure and 100 °C, e. linear velocity of 1.0 and ΞOO.O meters per second, and more preferably between 10.0 and 50 - 0 meters per second, is desirable. '
FIGs. 2a-2h illustrate typical designs for lower electrode 14 for use in processing disc-shaped substrates. For example, in FIG. 2d, two slits of variable width provide a cross pattern for the plasma gas to exit from the device and impinge on the substrate 24. Other configurations of slits that may be employed include three or more disposed in radial fashion, or parallel to each other to create a ribbed design. In Figs. 2e through 2h, the plasma flows through a series of holes chat are arranged in different radial patterns. The object σf all these designs is to give the desired flow velocity, while at the same time yielding uniform contact with the substrate 24.
Electrodes 14 and 16 can be of any shape (e.g., round, elliptical, 3cju , rectangular, hexagonal, curved or otherwise non-linear across the electrode) - Electrodes 14 and. 16 can also be concave, convex, pointed, conical, or other shapes, or combinations of concave, convex, pointed, jagged, peaked, conical, substantially flat areas, or other shapes to describe any external perimeter shape and any topographical surface. Further, electrodes 14 and 16 can have different shapes (e.g., electrode 14 an be substantially circular, while electrode 16 is elliptical. The holes and slits in the electrodes 14 and. 16 can be of any shape (e.g., square, oblong, or any other free form shape) . Electrodes 14 and 16 may be made of any conductive material, including metals, graphite, metal alloys, aluminum, stainless steel, onel and silicon. The selection of each electrode 14 and 16 material depends on several factors: whether or not it enhances plasma stability, conducts heat and electricity effectively, and resists corrosion by the reactive gases in the plasma. In one embodiment, the electrodes are made of steel. In another embodiment, the steel electrodes are coated with a layer of dielectric material, such as a film of silicate glass or aluminum oxide 1-0 micron in thickness. Further, electrodes 14 and 16 can have a metal or conductive material embedded into a dieletric material . A dielectric coating allows the plasma flow device or reactor to be operated at atmospheric pressure (typically, 760 Torr) with as much as 45% higher applied RF power than is achievable in the absence of a coating. Each electrode 14 and 16 can also be made of different materials, or have different coatings (e.g., electrode 14 can be made of steel while electrode 16 is made of iron coated with a dielectric material .
FIGS. 9a- 9c show plasma flow device 900 in one embodiment in accordance with the present invention. FIG. 9a is a plan view of plasma flow device 900 encased in housing 905. FIG. 9b is a cross section of plasma flow device 900 along line A-A of FIG. 9a. FIG. 9c is a second cross section of plasma flow device 900 along line B-B of FIG. 9a. As shown in FIGs. 9a- 9c, plasma flow device 900 includes (a) powered planar electrode 903, which is held in place by insulating supports 904 and electrically coupled through connector 902 (FIG. 9b) to an RF power source (not shown) , and (b) grounded electrodes 901, each spaced from powered planar electrode 903 by a 1/16" gap. In one embodiment, powered planar electrode 903 is 4" (along line A-A) by 4" (along line B-B) by W . During operation, a gas flow (e.g., a He/θj mixture providing 0.125 to 1.5 standard liters per minute σf 03 and 25 to 50 slpm of He) enters chamber 907 to sustain a plasma struck between electrodes 901 and 903. openings can be provided on powered planar electrode 903 to allow equalization of pressure across the gaps between powered planar electrode 303 and each of grounded electrode 901. A uniform plasma effluent is created at gas outlet 911. The gas effluent typically has a temperature of less than 250°c at an RF power of 300-1000 watts or less. In fact, under a fast flow rate, a temperature near 100 βC can be maintained. In this configuration, an RF voltages of up to 350 volts can be applied. Optional nozzle 912 can be provided to create a high outflow velocity. Cooling jacket 910 with coolant inlets 913 and outlets 914 can be provided along the external walls of housing 905 to cool plasma flow device 900. in one embodiment, water is used as a coolan .
An alternate embodiment of the present invention is illustrated by plasma flow device looo of FIGS. lOa-lOc. Plasma flow device 1000 takes advantage of the "hollow cathode" effect- FIG- 10a is a plan view of plasma flow device 1000 encased in housing 905. FIG. 10b is a cross section of plasma flow device IOOO along line A-A of FIG. 10a. FIG. 10c is a second cross section of plasma flow device 1000 along line B-B of FIG. 10a. Plasma flow device 1000 is substantially similar to plasma flow device 900 of FIGs. 9a- Sc, except that planar powered electrode 903 of plasma flow device 900 is replaced by a linear array of segmented rod electrodes 1002. In one implementation, 17 segmented stainless steel rod electrodes of W diameter, and spaced apart 1/16" with each other, are mounted in housing 905, supported and held in place at their ends by slotted metal bars (not shown) . In that implementation, gas enter plasma flow device 1000 through a fitting connected to a 0.5" diameter bora which expends the width of plasma flow device 1000. This bore includes a thin slit that allows gas to flow uniformly into the region occupied by the linear array of segmented' rod electrodes 1003. FIGs. lla and lib show, respectively, axial cross section and end views of plasma flow device 1100 of a cylindrical geometry, according to another embodiment of the present invention. As shown in FIGs. lla and lib, plasma flow device 1100 is housed in housing 1104 which includes a conductive portion serving as part of grounded electrodes 1101. The ; other portion of grounded electrodes 1101 is a cylindrical electrode inside housing 1104 and concentric with housing 110-1. As in plasma flow device 1000 above, to harness the hollow cathode effect, the powered electrodes are formed by a number of segmented rod electrodes, shown aε segmented rod electrodes 1103, which are shown arranged in a circle in FIG. lib. Segmented rod electrodes 1103 are supported and electrically isolated from grounded electrodes 1101 and housing 1104 by insulator support structure 1102. In one implementation, each of segmented rod electrodes 1103 is a cylindrical rod of W diameter, spaced apart from each other, and from each of grounded electrodes 1102 by a 1/16" gap. An RF power source (not shown) is coupled to segmented rod electrodes 1103 through connector 902. A cooling system circulates a coolant from coolant inlet 913 to coolant outlet 914. In plasma flow device 1100, gas enters through gas inlet 1106, and exits as a uniform plasma at the distal end through open end 1105.
FIG. 12 shows an axial cross section view of plasma flow device 1200, also of a cylindrical geometry, according to another embodiment of the present invention. As shown in FIG. 12, plasma flow device 1200 is housed in housing 1204 which includes a conductive portion serving as grounded electrode 1201. Large cylindrical center electrodes 1203 is supported and electrically isolated from grounded electrodes 1201 and housing 1204 by insulator support structure 1202. in one implementation, cylindrical center electrode 1203 Is a cylindrical rod of 5 cm diameter, spaced apart from grounded electrode 1202 by a 1/16" gap. An RF power source (not shown) is coupled to cylindrical center electrode 1203 through connector 902. A cooling system circulates a coolant from coolant inlet 913 to coolant outlet 914- In plasma flow device 1200, gas enters through gas inlet 1206, and exits as a uniform plasma effluent at the distal end through open end 1205.
The present invention also provides a plasma flow device with an array of parallel electrodes as shown in FIG. 8 The advantage of this con iguration is a longer residence time of the gas within the plasma generation ∑one, which increases the concentration of reactive species for cleaning, chemical and biological decontamination, surface activation, etching, and deposition processes . The stacking sequence alternates between grounded and powered electrodes. The design presented in FIG. a is one example of an electrode array, other designs are possible. As shown in FIG. a, gas enters housing 124 through tube 126, passes through perforated, partitions 122 and 120, and on through electrodes 110, 114, I08r 112 and lOS. The electrodes are held in place and electrically isolated from one another by dielectric spacers ll6a-116d. The entire assembly, including electrodes 110, 114, 108, 112 and 106, and the dielectric spas llβa-HGd, are mounted onto housing 124 with clamp ring 118. In FIG- 8, RF power is applied to electrodes 114 and 112, whereas electrodes 110, 108 and 106 are grounded .
FIG. 14a shows plasma flow device 1400 with an array of parallel electrodes, m accordance with one embodiment of the present invention. As shown in FIG- 14a, plasma flow device 1400 is housed in housing 1404, which provides connector 902 for connecting to a RF power source (not shown) , gas inlet 1406 for introducing feed gas, and a stacked electrode structure including alternating grounded electrodes 1401 and powered electrodes 1403 supported by insulator support structure 1402. In FIG. 14a, grounded electrodes 1401 and powered electrodes 1403 are each provided an array of pins and perforations ("holes") , which can be arranged in any suitable fashion (e.g., an array of pins in each of the electrodes matching to an array of holes in a neighboring electrode) . The pin and holes can be arranged in any suitable density and con igu ation, e.g., circular or rectangular. In addition, each pin can take on any suitable shape (e.g., rounded, conical or flat) . In one implementation, the electrodes are
^ thick 6 cm square or 6 cm diameter circular metallic plates separated from each other by a 1/16" gap. In addition, each hole has a diameter of 1/32". As shown in FIG. 14a, the process gas is introduced into the plasma flow device 1400 through gas inlet 1406 and through the stacked electrode structure and exits as a uniform effluent gas into a reactor chamber 1405 where the work piece or substrate is located. FIG. 14b shows a variation in the stacked electrode structure of plasma flow device 1400. in FIG. 14b, rather than having patterns of corresponding pins and holes in each electrode, each electrode includes simply a hole patter .
The stacked electrode structure can also be provided in cylindrical geomet y. FIGs. 15a and 15b show, respectively, axial cross section and end views of plasma flow device 1500, according to one embodiment of the present invention. Aε shown in FIGs. 15a and 15b, plasma flow device 1500 is housed in housing 1504, which provides connector 90 for connecting to a RF power source (not shown) , gas inlet 1506 for introducing feed gas, and a stacked electrode structure including alternating cylindrical grounde -slactrcdeε 1501 and cylindrical powered electrodes 1503 supported by insulator support structure 1502. In FIG. 15a, cylindrical grounded electrodes 1501 and powered electrodes 1503 are each provided an array of perforations for equalization of pressure and to ensure a uniform effluent gas flow. The perforations can be arranged in any suitable density and con iguration. In one implementation, the electrodes are metallic tubes each 1/8" between the inner and outer diameters, 4" long, and separated from each other by s 1/32" gap. In addition, perforations in each electrode have a diameter of 1/32" and spaced from each other by 1/32". As snown in FIG. 15a; the process gas is introduced into the plasma flow device 1500 through gas inlet 1S06 and through the stacked electrode structure and xis s as a uniform effluent gas through gas outlet 1505 opposite the work piece or substrate (not shown) .
FIGs. 15c and 15d show, respectively, axial cross section and end views of a variation of the gas outlet structure, illustrated by plasma flow device 1520. In FIGs. 15c and 15d, rather than having the plasma effluent exit through gas outlet 1505, perforated end plate 1507 is provided, which includes numerous openings 15OS to achieve a higher gas outflow velocity.
Another embodiment of the present invention can be used aε a device for the plasma-enhanced chemical vapor deposition
(PECVTJ) of thin films. A thin film is deposited by combining a precursor to the film, such as tetraethoxysilane (Si (OCjHs) Λ ) with reactive gases generated in the plasma, such as oxygen atoms, causing them to react and deposit the desired materials (e.g., silicate glass (SiOz) . The chemical precursor can be fed with the other gases through gas inlet 32, as shown in FIG. 1. This configuration may potentially lead to precursor decomposition and chemical vapor deposition between the upper and lower <=:le<-trcdes 15 a d 14. Consequently, a preferred embodiment of the device for chemical vapor deositlon is to add the precursor (eg., tetraethoxysilane) in through a specially designed lower electrode. In this way, the plasma effluent and the precursor mix and react downstream as gas flow toward the substrate, leading to substantially uniform deposition of substantially all the film over a large area of the substrat .
A design for lcwer electrode 14, modified for addition of a precursor, is shown m FIG. 3. Electrode 14 includes main body 38a, cover 34 ana gas inlet 36. Cover 34 is welded, onto the body 38a, creating cavity 38b. During operation, cover 34 faces the substrate 24. A chemical precursor is fed through gas inlet 36 into cavity 33b and out through smaller array of perforations in cover 34, while the plasma flows through body 38a and out cover 34 through a separate array of larger perforations. The separation of the precursor and plasma streams allows for improved control over the addition of each reagent and over the linear velocities of each gas as they emerge from the plasma flow device, AS with the electrodes of FIGS. 2a-2h, electrodes 14 and 16 used for PECVD can assume any perimeter shape
One embodiment of the invention incorporates the plasma flow device shown ir. FIG. l into a process chamber with all the components needed for cleaning, chemical and. biological decontamination, surface activation, etching or deposition of thin films onto substrates, or for any other desired materials processing application. A schematic of the entire reactor system is shown in FIG. A . The process gas flows out of cylinders 42a, then tnrough mass flow controllers 46a, and into housing 30 through gas inlet 32. The gas is ionized inside the plasma flow device, and it emerges at the bottom to impinge on substrate 2 . in addition, gas may flow out of a cylinder 42b, through mass flow controller 46b, and into bubbler 44 containing a volatile chemical precursor. Bubbler 44 is held in a temperature-controlled bath to give a known vapor pressure of the precursor. The gas then becomes saturated with the precursor at the known vapor pressure, is carried into the reactor through gas inlet 36, and emerges into the plasma stream through electrode 14, using the design illustrated in FIG. 3.
An RP generator IOI and match network supply power to the conducting electrodes needed to strike and maintain tire plasma. Pedestal 22 may be rotated at any speed, but is typically rotated at 200 to 3000 rp to enhance the uniformity of gas contact with substrate 24. Housing 30, substrate 24 and pedestal 22 are sealed inside a reaction chamber 40, which is automated for mechanically loading and unloading substrates- The exhaust gas exits out through exhaust line 48. Pressure controller 50 and pump 52 control the pressure inside reaction chamber 40 to any desired value between 10.0 and 1000.0 Torr. in another embodiment, multiple reaction chambers can be interfaced to a robotic platform for handling large numbers of substrates, as is normally done in process equipment for the semiconductor industry.
The invention described herein can be applied to a variety of con igurations for specific applications. Shown in FIG. 5a is a rectangular plasma flow device with plasma flow source 58 of the present invention that can be used for continuous processing of square substrates 24. The substrate 24 can have any shape, or a continuous film or sheet that is rolled past the plasma source during processing. Two typical electrode configurations for this device are shown in FIGs. 5b and 5c. In FIGs. 5b and 5c, the process gas enters through gas inlet 60 attached to rectangular housing 58. Perforated partitions 56 and 54 provide a uniform gas flow in housing 58. Electrodes 64 and 66 σf the device shown in FIG. 5a are similar to electrodes 14 and 16 of FIG. 1: electrode 6-4, dielectric spacer 68, and electrode 66 are held in place by rectangular clamp 6 . Electrode 64 is finely perforated to enhance the stability of the plasma, and electrode 66 has fewer perforations to increase the linear velocity of the plasma effluent as discussed with respect to PIG. 2.
FIG. 5c illustrates another embodiment in which the gas flows around the left and right edges of electrode 70, then down through slit 72 in the center of electrode 74. A plasma is struck and maintained between these electrodes by applying RF power using RF generator 101 to one of tihe electrodes 70 or 74, using the switches 105 and 107.
In an additional embodiment, the device is constructed to direct the plasma effluent toward a central cavity as shown in FIGs. 6a and 6b. The process gas enters the device through gas inlet 76 and flows into hollow cavity 84. Hollow cavity 84 distributes the process gas within an outer conductive electrode 78b. Outer electrode 78b has openings to allow the process gas to flow into gap 82 between it and inner conductive electrode 73a. Dielectric end caps 88 and 90, shown in FIG. 6b, contain the gas within gap 82 and hold together outer and inner electrodes 78a and 78b. In FIGS. 5a and 66, RF power is applied to inner electrode 78a while outer electrode 78b is grounded, causing a plasma to be stuck and maintained in gap 82. Alternatively, the RF power may be applied to outer electrode 78b, while inner electrode 78a remains grounded. Electrodes 78a and 78b are designed to allow gas to flow through them in the same way as shown for the electrodes in FIG. 2. The plasma or l .sma effluent passes out into a processing region 86 where a substrate or work piece is located. The substrate or work piece can be any object that fits inside the processing region 86, such as a wire, cord, pipe, machined part, and it can be rotated within or translated through the processing region 86. The plasma impinging on the substrate or work piece performs cleaning, chemical' and biological decontamination, surface activation, etching, or deposition.
FIG. 16 shows plasma flow device 1600, including ground hollow cylindrical electrode 1601 provided as an insert into powered hollow cylindrical electrode 1S03, in accordance with one embodiment of the present invention. As shown in FIG. 16, plasma flow device 1500 is housed in housing 1604, which provides connector S02 for connecting to a RF power source (not shown) , gas inlet 1S06 for introducing feed gas, and hollow cylindrical grounded electrodes 1601 and hollow cylindrical powered electrodes 1603 supported by insulator support structure 1602. In FIG. 16, hollow cylindrical grounded electrodes 1S01 includes cavity 16B3 in which a work piece or substrate can be placed. Hollow cylindrical grounded electrode 1601 is provided an array of perforations 1609 for equalization of pressure and to ensure α uniform effluent gas flow into cavity 1683. The perforations can be arranged in any suitable density and configuration. In one implementation, hollow cylindrical grounded electrode Is 8" long and has an inside diameter σf 6.125" with 50 alternatively offset 11.25" rows of 1/32" diameter perforations. Within each row, the neighboring perforations are 22-5" apart from each other. Hollow cylindrical powered electrode 1603 is separated from hollow cylindrical grounded electrode 1601 by a 1/16" gap. As shown in FIG. 16, the process gas is introduced into the plasma flow device 1600 through gas inlet 1606 into the space between electrodes 1601 and 1603. The process gas is converted in a plasma effluent by electrodes 1601 and 1603. The ef luent gas lows through perforations 1S09 into cavity 1683, vτre.τv> the uniform effluent gas bathes the work piece or substrate (not shown) in cavity 1683. Plasma flow device 1600 is particularly suitable for chemical or biological decontamination applications.
In an additional embodiment, the invention is configured in a way that directs the reactive gas flow radially outward, aε shown in Figs. 7a and 7b. The process gas enters the device through gas inlet 100 attached to dielectric end cap 102, and fills cavity 98. The gas flows through inner conductive electrode 92 into gap 96 and out through outer conductive electrode 94. Perforated partitions maybe inserted in the cavity to enhance uniformity of gas flow through inner electrode 92. Dielectric end caps 102 and 104 contain the gas and hold in place the inner and outer electrodes 92 and 54. Applying RF power from signal generator 101 to inner electrode 92, and grounding outer electrode 94, or alternatively, applying RF power from the signal generator 101 to the outer electrode 94 and grounding inner electrode 92, generates a plasma within gap 96. The reactive gas exits through the openings in outer electrode 94 and impinges on a substrate or work piece that surrounds the device. In this configura ion, the substrate or work piece may be the interior of a pipe, duct, tank, and the plasma flow device may clean, sterilize or decontaminate, surface activate, etch, or deposit thin films onto it .
The invention, in another aspect, provides certain methods of using the plasma flow devices illustrated in FIGs. 1-12 and 14-6. A gas mixture is made to flow through the device and is converted into a plasma between the powered and grounded electrodes. This gas emerges from the device and impinges on a substrate where a desired cleaning, chemical and biological decontamination, surface activation, etching, deposition, or other materials process takes place. These methods can be operated with a variety of di ferent gases at pressures ranging from 10.0 to 5000.0 Torr. The temperature of the gas exiting u'.ie device generally ranges from SO to 250 °C, although other temperatures may be attained depending on the particular embodiment of the invention. The temperature of substrate 24 is important for the desired process, and this temperature can be independently adjusted by providing heating or cooling through the pedestal 22 that holds the substrate, or by other means. Aε described earlier, the linear velocity of the gas through the last electrode prior to exiting the device, e.g., outer electrode 14, should be relatively high so that the reactive species impinge on the substrate before being consumed by gas-phase reactions. The linear velocity, measured at 1.0 atmosphere pressure and 100 °C, can be between 1.0 and 500.0 meters per second, and more preferably between 10.0 and 50.0 meters per second. A wide variety of gases may pass through the plasma flow device, depending on the desired application, such as helium, argon, oscygen, nitrogen, hydrogen, chlorine, and carbon tetraflouride, and other gases. The gas composition affects the stability and operation of the device, and must be accounted for in the design. At pressures above 100.0 Torr, helium is sometimes added to help stabilize the plasma. The amount of helium usually exceeds 50% by volume. Nevertheless, the helium concentration required depends on the other components in the gas and can be as little as 10% by volume when air is the second component. For operation at pressures below about 100 Torr, there is typically no advantage to adding helium to he gas stream, and any combination of gases may be selected for a given application.
One advantage of the present invention is a plasma with a substantially uniform fluc of a reactive specie which scales with increasing dimensions, to provide service for areas well larger than 1 cm2. A plasma flow device according to the present invention can be used to strip organic compounds and films from surfaces, useful for cleaning the substrate or work piece. The plasma flow device of the present invention is also suited? for decontamination σ£ chemical and biological hazards in a wide variety of products used by the medical, pharmaceutical. and food industries. The reactive oxygen species produced in the oxygen plasma can be used for attacking and killing biological agents. The design of the plasma flow device may vary depending on the size and shape of the substrate or wojrk piece, and the need to provide good contact to its surfaces. The operation of the device would be basically the same as that suitable for use m the stripping and cleaning operations. For example, a batch of surgical instruments can be sterilized in a chamber of the plasma flow device.
Agitation can be provided during the cleaning process, so that all surfaces σf the surgical instruments are completely exposed to the plasma effluent. To enhance contact with the instruments, the pressure in the plasma flow device could be lowered to 10 Torr if desired, and higher flow velocities can also be used.
A plasma flow device according to the present invention is also suited for etching materials, such as glass or metal. A variety of gases can be used for this purpose, such a.ε chlorine, nitrogen trifluoride, carbon trifluorochloride , boron trichloride, bromine, carbon tertrafluoride and ammonia. Practically every inorganic material can be etched with the plasma flow device using halogen-containing feed gases, as long as the product of the reaction between the plasma with the inorganic material is a volatile halide (e.g., MPK, MCly or NBrz) , where M is a component of the inorganic material or when the hydride form of the species is volatile, such as Siϋ, or A1H3. The inorganic materials that can be etched with this device or reactor include, metals, metal oxides, metal nitrides, metal carbides, silicate glass, silicon nitride, silicon carbide, silicon, gallium arsenide and other semiconductors.
In addition to cleaning, chemical and biological decontamination, surface activation, and etching applications, a plasma flow source of the present invention can be used to deposit thin films under a plasma-enhanced chemical vapor deposition (PECVD) process. In PECVD, a chemical precursor, containing an element to be deposited or grown as a film on a substrate is mixed into the plasma- The plasma reacts with the precursor leading to the growth of a thin film on the substrate. When the precursor is introduced through gas inlet 32 in FIG. 1, deposition occurs on the electrode surfaces as well aa on the substrate . Although high deposition rates can be achieved in such an apparatus, deposition on the electrode surfaces is generally considered undesirable, because the plasma flow device has to be cleaned of the deposits. However, when the precursor is introduced at the plasma effluent through a gas inlet tube near a lower electrode (e.g., gas inlet 36 in FIGS. 3 and 4), deposition occurs substantially only on the substrate and not inside the plasma source .
The plasma flow device may be used to deposit practically any organic or inorganic thin film in the manner described above, so long as the film can be fed into the reactor through a volatile chemical precursor as illustrated schematically is FIG. 4. Materials that can be deposited with this device or reactor includes metals, metal oxides, metal nitrides, metal carbides, silica glass, silicon nitride, silicon carbide, silicon, gallium arsenide, gallium nitride, and other semiconductors and materials .
FIG. 13 is a flow chart illustrating the steps used is practicing the present invention: block 1300 illustrates the step of providing a gas flow, block 1302 illustrates the step of coupling a signal generator across electrically isolated first and second electrodes, block 1304 illustrates the step of exciting ions in the gas flow to create a plasma wherein the plasma generates a substantially uniform lux of a reactive specie over an area larger than 1 cm2. in addition to providing a substantially uniform flux of reactive species over large areas, a plasma flow device according to the present invention operates over wide temperature and pressure ranges to provide the ability to deposit, etch, surface activate, decontaminate or sterilize, and clean with substantial uniformity over a large area simultaneously. Nevertheless, the plasma flow device is similar to low-pressure plasmas in one respect, m that a plasma flow device of the present invention produces a high concentration of reactive species at temperatures below 250°C making it suitable for processing materials at relatively low temperatures. The present invention offers several advantages relative to low-pressure plasma sources. A plasma flow device of the present invention can be readily scaled to treat objects of almost any size and shape. By contrast, low pressure devices require complicated RF antennas or magnets to create a uniform plasma above a given substrate, and are not easily scaled up for areas larger than about one square foot. In addition, the vacuum systems required to operate in the 0.01 Torr range are much more sophisticated than those needed in the 100 Torr range. These factors make low-pressure plasma reactors much more expensive than a plasma flow device of the present invention.
The plasma flow device of the present invention also restricts processing to the downstream portion of the process where the substrate is located. Low-pressure plasmas, on the other hand, completely fill the processing chamber, causing wear and tear on the components, and in the case of plasma-enhanced CVD, generating deposits all over the internal parts of' the vacuum system. Contamination is a serious problem that requires numerous periodic cleaning steps. leading to undesirable down time far the device. By contrast. the plasma flow device remains relatively clean and free of corrosion and deposits during operation, yielding significant cost savings . j A plasma flow device σf the present invention can ope±ate in a way that prevents nearly all σf the ions from contacting the substrate. In low-pressure plasmas, the ions normally impinge on the substrate, which may cause damage to sensitive features, such as the gate electrodes m mecal-oxlde- - semiconductor field-effect transistors on silicon integrated circuits. The present invention provides operational advantages in that downstream plasma processing minimizes ion- induced damage. A plasma flow device of the present invention is readily scaled tσ provide a uniform plasma flow onto large surface area substrate, or substrates or work pieces of any size and shape simultaneously.
A plasma flow device of the present invention is well suited for low temperature materials processing, between about 25 and 500°C. By contrast, plasma torches operate at neutral gas temperatures in excess of 4,000°C. Low- emperature processing is required in many applications. For example, silicon integrated circuits must be processed at temperatures below 400 °C. Thus, a plasma flow device of 'the present invention offers significant advantages for this application. The foregoing description of the embodiments and the invention has been presented for the purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise embodiments disclosed. Manv modes and variations are possible in light of the above teachings. It is intended that the scope cf the invention to be limited not by this detailed description, but rather by the claims appended hereto .

Claims

We claim: l. A plasma source, comprising.-' a housing wherein the housing provides a gas flow; . a first electrode, electrically insulated from the housing; a second electrode, spaced from the first electrode and electrically insulated from the first electrode,- and a signal generator, coupled to the first electrode, wherein the signal generator excites ions in the gas flow to create a plasma therefrom substantially between the first electrode and the second electrode, wherein the plasma generates a substantially uniform flux of at last one reactive specie over as area larger than l cm2 downstream of the first and second electrodes.
2. The plasma source of claim l , wherein the signal generator excites ions in the gas flow to create the plasma at temperatures below 250 degrees centigrade.
3. The plasma source of claim 1, wherein a shape of the first electrode is selected from a group comprising a substantially circular disk, a square, a rectangle, a hexagon, an octagon, or a polygon. .
4. The plasma source of claim 1, when a shape of the second electrode is selected from a group comprising a substantially circular disk, a square, a rectangle, a hexagon, an octagon:, or a polygon. .
5- The plasma source of claim 1, wherein a topology σf the first electrode is selected from a group comprising substantially lat, concave, on e , pointed, peaked.
6. The plasma source σf claim l, wherein of
Figure imgf000029_0001
the second electrode is selected from a group c mprising substantially flat, concave, convex, pointed, conical and peaked.
7. The plasma source of claim 1, wherein a topology of the first electrode is substantially the same as the topology of the second electrode.
8. The plasma source of claim l, wherein a hole σr slit pattem in the first electrode is substantially similar to a hole or slit pattern in the second electrode.
9. The plasma source of claim l, wherein a hole or slit pattern in the first electrode is substantially dissimilar to a hole or slit pattern in the second electrode. '
10. The plasma source of claim 1, wherein the first electrode is disposed between the housing sad the second electrode.
11. The plasma source of claim 1. wherein the housing provides a substantially uniform gas flow.
12- The plasma source of claim 1, wherein the plasma source emits a plasma that etches a substrate.
13- The plasma source of claim 1, wherein the plasma source emits s plasma that when combined with a chemical precursor deposits material on a substrate.
■ 14. The plasma source of claim 1. wherein the plasma source emits s plasma that performs a function selected from a group comprising cleaning a substrate, sterilizing a
-2*7- substrate, and surface activating a. substrate.
15- The plasma source of claim 1, wherein the signal generator excites ions in the gas flow to create the plasma over a pressure range bct-wccn 10 Torr and 1000 Torr inclusive 16. The plasma source of claim 1, wherein the first electrode is substantially concentric with the second electrode, and the plasma generated therebetween is directed in an inward direction.
17. The plasma source of claim 1, wherein the first electrode is substantially concentric with the second electrode, and the plasma generated therebetween is directed in an outward direction.
13. The plasma source of claim 1, further comprising at leaβt a third electrode spaced from the second electrode and isolated hum the first and second electrodes, and a fourth electrode, spaced from the third electrode and isolated from the first, second, and third electrodes, wherein the first second, third and fourth electrodes form an electrode array, wherein the signal generator excites ions is the gas flow to create a plasma therebetween substantially between the first electrode and the second electrode, the second electrode and the third electrode,_and the third electrode and the fourth electrode .
13. A method for producing a plasma, comprising: : providing a gas flow; coupling a signal generator to a first electrode wherein the first electrode is electrically insulated from α second electrode; and exciting ions in the gas flow to create a plasma therefrom, wherein the plasma generates a πubs ar. ially uniform flux of at least one reactive specie over an area larger than 1 cm2 downstream of the first and second electrodes.
20. The method of claim 19, wherein the plasma is created at a temperature below 250 degrees centrigrade .
21. The method of claim 19, wherein a shape of the first electrode is selected from a group comprising a substantially circular disk, a square, a rectangle, a hexagon, an octagon, or a polygon.
22. The method or claim 19, when a shape of the second electrode is selected from a group comprising a substantially circular disk, a square, a rectangle, a hexagon, an octagon, or a polygon. .
23. The method of claim 19, wherein a topology of the irst electrode is selected from a group comprising substantially flat, concave, convex, pointed, conical and peaked.
24. The method of claim 19, wherein a topology of the second electrode is selected from a group comprising substantially flat, concave, convex, pointed, conical and peaked .
25. The method of claim 19 , wherein a topology σf the first electrode is substantially the same as the topology of the second electrode.
26- The method of claim 19, wherein a hole or slit pattern in the first electrode is substantially similar to a hole or slit pattern in the second electrode.
27. The method of claim 19, wherein a hole or slit pattern in the ϋirεt electrons is substantially άissi il^ t; a hole or slit pattern in the second electrode.
28. The method of claim 19, wherein the first electrode is disposed between the housing sad the second electrode .
1 29. The method of claim IS, wherein the housing provides a substantially uniform gas flow.
30. The method of claim 19. wherein the plasma source emits a plasma that etches a substrate.
31. The method of claim 19, wherein the plasma source emits s plasma that v en combined with a chemical precursor deposits material on a substrate.
32. The method of claim 19, wherein the plasma source emits s plasma that performs a function selected from a group comprising cleaning a substrate, sterilizing a substrate, and surface activating a substrate .
33. The method of claim 19, wherein the signal generator excites ions in the gas flow to create the plasma over a pressure range between 10 Torr and looo Torr inclusive.
34. The method of claim 19, wherein the first electrode is substantially concentric with the second electrode, and the plasma generated therebetween is directed in an inward direction.
35. The method of claim 19, wherein the first electrode is substantially concentric with the second electrode, and the plasma generated therebetween is directed in an outward direction..
36. The method of claim 19, further comprising at lease a third electrode spaced from the second electrode and isolated hum the first and second electrodes, and a fourth electrode, spaced from the third electrode and isolated from the first, second, and third electrodes, wherein the first second, third and fourth electrodes form an electrode array, wherein the signal generator excites ions is the gas flow to create a plasma therebetween substantially between the first electrode and the second electrode, the second electrode and the third electrode , _and the third electrode and the fourth electrode.
37. The plasma source of Claim 1. wherein the first electrode is perforated.
38. The plasma source of Claim 1, wherein the second electrode is perforated.
39- The plasma source σf Claim 1, wherein the first and second electrodes each having a plurality of pins and a plurality of holes and wherein the first and second electrodes being positioned such that the pins of each electrode being placed adjacent to the holes of the other electrode.
40. The plasma source of Claim l, wherein the second electrode is perforated and encloses a cavity into which a work piece can be placed.
41. The method of Claim 19, wherein the first electrode is perforated.
42. The method of Claim 19, wherein the second electrode is perforated.
43. The method of Claim 19, wherein the first and second electrodes each having a plurality of pins and a plurality of holes and wherein the first and second electrodes being positioned such that the pins of each electrode being placed adjacent to the holes of the other electrode.
44. The method of Claim IS/, wherein the second electrode is perforated and encloses a cavity into which a work piece can be placed.
PCT/US2002/039028 2001-11-21 2002-11-21 Low temperature compatible wide-pressure-range plasma flow device WO2003046970A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2002363972A AU2002363972A1 (en) 2001-11-21 2002-11-21 Low temperature compatible wide-pressure-range plasma flow device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US99206101A 2001-11-21 2001-11-21
US09/992,061 2001-11-21

Publications (1)

Publication Number Publication Date
WO2003046970A1 true WO2003046970A1 (en) 2003-06-05

Family

ID=25537868

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/039028 WO2003046970A1 (en) 2001-11-21 2002-11-21 Low temperature compatible wide-pressure-range plasma flow device

Country Status (2)

Country Link
AU (1) AU2002363972A1 (en)
WO (1) WO2003046970A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009114791A1 (en) * 2008-03-14 2009-09-17 Forth-Rite Technologies, Inc. Effluent impedance based endpoint detection
EP2253005A1 (en) * 2008-02-11 2010-11-24 Apjet Inc. Large area, atmospheric pressure plasma for downstream processing
US8133324B2 (en) 2004-06-16 2012-03-13 Ppg Industries Ohio, Inc Methods for removal of polymeric coating layers from coated substrates
WO2018064391A1 (en) * 2016-09-30 2018-04-05 Tokyo Electron Limited Atmospheric plasma processing systems and methods for manufacture of microelectronic workpieces

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103928283B (en) * 2013-01-10 2016-06-15 中微半导体设备(上海)有限公司 The method of the radio-frequency pulse power match of a kind of application of vacuum chamber and device thereof

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
US5385624A (en) * 1990-11-30 1995-01-31 Tokyo Electron Limited Apparatus and method for treating substrates
US5472508A (en) * 1991-08-09 1995-12-05 Saxena; Arjun N. Apparatus for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
US5846329A (en) * 1996-02-23 1998-12-08 Tokyo Ohka Kogyo Co., Ltd. Plasma processing apparatus
US6050217A (en) * 1997-08-26 2000-04-18 Murata Manufacturing Co., Ltd. Parallel plate plasma CVD apparatus
US6192828B1 (en) * 1998-05-08 2001-02-27 Nissin Electric Co., Ltd. Thin film forming device for forming silicon thin film having crystallinity

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4971653A (en) * 1990-03-14 1990-11-20 Matrix Integrated Systems Temperature controlled chuck for elevated temperature etch processing
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
US5385624A (en) * 1990-11-30 1995-01-31 Tokyo Electron Limited Apparatus and method for treating substrates
US5472508A (en) * 1991-08-09 1995-12-05 Saxena; Arjun N. Apparatus for selective chemical vapor deposition of dielectric, semiconductor and conductive films on semiconductor and metallic substrates
US5846329A (en) * 1996-02-23 1998-12-08 Tokyo Ohka Kogyo Co., Ltd. Plasma processing apparatus
US6050217A (en) * 1997-08-26 2000-04-18 Murata Manufacturing Co., Ltd. Parallel plate plasma CVD apparatus
US6192828B1 (en) * 1998-05-08 2001-02-27 Nissin Electric Co., Ltd. Thin film forming device for forming silicon thin film having crystallinity

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8133324B2 (en) 2004-06-16 2012-03-13 Ppg Industries Ohio, Inc Methods for removal of polymeric coating layers from coated substrates
EP2253005A1 (en) * 2008-02-11 2010-11-24 Apjet Inc. Large area, atmospheric pressure plasma for downstream processing
EP2253005A4 (en) * 2008-02-11 2014-08-06 Apjet Inc Large area, atmospheric pressure plasma for downstream processing
WO2009114791A1 (en) * 2008-03-14 2009-09-17 Forth-Rite Technologies, Inc. Effluent impedance based endpoint detection
WO2018064391A1 (en) * 2016-09-30 2018-04-05 Tokyo Electron Limited Atmospheric plasma processing systems and methods for manufacture of microelectronic workpieces
US11049700B2 (en) 2016-09-30 2021-06-29 Tokyo Electron Limited Atmospheric plasma processing systems and methods for manufacture of microelectronic workpieces

Also Published As

Publication number Publication date
AU2002363972A1 (en) 2003-06-10

Similar Documents

Publication Publication Date Title
US20020129902A1 (en) Low-temperature compatible wide-pressure-range plasma flow device
US20050118794A1 (en) Remote plasma deposition of thin films
US6664737B1 (en) Dielectric barrier discharge apparatus and process for treating a substrate
EP1171900B1 (en) Large area atmospheric-pressure plasma jet
JP3318336B2 (en) METHOD AND APPARATUS FOR TREATING ARTICLES BY REACTION SUPPORTING DC ARC DISCHARGE
CA2278751C (en) Atmospheric-pressure plasma jet
US5996528A (en) Method and apparatus for flowing gases into a manifold at high potential
EP1145759B1 (en) Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6193802B1 (en) Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
EP0570484B1 (en) System for generating a high density plasma
CN101290873B (en) Hollow anode plasma reactor and method
Laimer et al. Recent Advances in the Research on Non‐Equilibrium Atmospheric Pressure Plasma Jets
KR101016147B1 (en) Plasma processing system, antenna, and use of plasma processing system
EP0839929A1 (en) Method and apparatus for minimizing deposition in an exhaust line
KR20020012520A (en) Directing a flow of gas in a substrate processing chamber
KR20010043913A (en) Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
JP2004165460A (en) Plasma processing apparatus
JP4502639B2 (en) Shower plate, plasma processing apparatus, and product manufacturing method
KR20010032091A (en) All-surface biasable and/or temperature-controlled electrostatically-shielded rf plasma source
US6863926B2 (en) Corrosive-resistant coating over aluminum substrates for use in plasma deposition and etch environments
JP3682178B2 (en) Plasma processing method and plasma processing apparatus
WO2003046970A1 (en) Low temperature compatible wide-pressure-range plasma flow device
KR20090098964A (en) Device for forming a film by deposition from a plasma
JPS60123032A (en) Plasma treatment and device thereof
JPS62273731A (en) Plasma processor

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP