WO2001093319A1 - Gas supply system, exposure device, and method of producing device - Google Patents

Gas supply system, exposure device, and method of producing device Download PDF

Info

Publication number
WO2001093319A1
WO2001093319A1 PCT/JP2001/004597 JP0104597W WO0193319A1 WO 2001093319 A1 WO2001093319 A1 WO 2001093319A1 JP 0104597 W JP0104597 W JP 0104597W WO 0193319 A1 WO0193319 A1 WO 0193319A1
Authority
WO
WIPO (PCT)
Prior art keywords
gas
space
supply system
gas supply
predetermined
Prior art date
Application number
PCT/JP2001/004597
Other languages
French (fr)
Japanese (ja)
Inventor
Motokatsu Imai
Tomonari Nakamura
Original Assignee
Nikon Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corporation filed Critical Nikon Corporation
Priority to JP2002500439A priority Critical patent/JPWO2001093319A1/en
Priority to AU2001262678A priority patent/AU2001262678A1/en
Publication of WO2001093319A1 publication Critical patent/WO2001093319A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70933Purge, e.g. exchanging fluid or gas to remove pollutants

Definitions

  • the present invention relates to an exposure apparatus for manufacturing an electronic device such as a semiconductor device, a liquid crystal display device, an imaging device (such as a CCD), and a thin-film magnetic head, and more particularly to supplying a predetermined gas to a space in the exposure device. Gas supply system.
  • a mask or reticle (hereinafter collectively referred to as a reticle) is used by exposure light (exposure light) for exposure from a light source.
  • An exposure apparatus is used which illuminates a reticle and transfers a reticle pattern (circuit pattern) to a substrate (a wafer coated with a photosensitive agent, a glass plate, etc.) via a projection optical system.
  • the circuit of the electronic device is transferred by exposing a circuit pattern on the substrate by the projection exposure apparatus, and is formed by post-processing. For example, an integrated circuit is formed by repeatedly laminating about 20 layers of the circuit wiring thus formed.
  • Light having a wavelength of about 120 nm to 200 nm belongs to the vacuum ultraviolet region, and these lights (hereinafter referred to as vacuum ultraviolet light) do not pass through air. This is, This is because the energy of light is absorbed by molecules of oxygen, water, carbon dioxide, organic substances, halides, etc. (hereinafter referred to as “absorbing substances”) contained in the air.
  • the concentration of the light-absorbing substance in the optical path space in the exposure apparatus is sufficient to obtain a desired illuminance (light amount) on the substrate. It is necessary to increase the exposure light transmittance. Therefore, it is necessary to fill the exposure space with a high-purity purge gas containing almost no light-absorbing substance. Also, a plurality of optical elements (reflective optical elements, lenses, etc.) are arranged in the space in the optical path, and when the environment such as temperature and pressure between these optical elements changes, the optical characteristics of the exposure apparatus change. In order to stably reach the exposure light to the substrate, it is necessary to appropriately control the state of the purge gas.
  • the present invention has been made in view of the above circumstances, and prevents exposure light from being attenuated by a light-absorbing substance without significantly affecting optical characteristics of an exposure apparatus, and allows exposure light to reach a substrate stably. It is an object to provide a gas supply system and an exposure apparatus which can perform the above. Disclosure of the invention
  • the present invention provides a gas supply system for supplying a predetermined gas to a space (PA) in an exposure apparatus via a supply pipe (30).
  • a plurality of gas purifiers (40, 41) for purifying from the gas to be purified are provided, and the supply pipe (30) is connected to the plurality of gas purifiers (40, 41), respectively. It is characterized by that.
  • a predetermined gas is purified from a gas to be purified by a gas purifier, and the purified predetermined gas is supplied to a space in the exposure apparatus via a supply pipe.
  • a supply pipe is connected to a plurality of gas purifiers, it becomes possible to more stably supply a high-purity predetermined gas to the space in the exposure apparatus as compared with the case where one gas purifier is used. .
  • space means a three-dimensional area surrounded by an object, for example, an internal space of a housing, and is either airtight or non-airtight. Shall be included.
  • non-hermetic space is, for example, an airspace where the air pressure inside the housing is set higher than the outside air pressure and no external air flows into the housing.
  • the “space in the exposure apparatus” is a space formed between the light source and the substrate.
  • an illumination system housing that houses an illumination optical system that illuminates the reticle, and an image of a pattern formed on the reticle is printed on the substrate.
  • the space between the illumination system housing and the plurality of optical elements arranged in the lens barrel also corresponds.
  • the gas to be purified is supplied from a gas supply source to be purified, and the gas supply source to be purified is a gas cylinder containing the gas to be purified. 36) or the space (PA).
  • the supply source is a gas cylinder, even if the refined gas contained in the gas cylinder is a specific gas with a certain degree of purity, the gas purifier purifies the specific gas to higher purity. .
  • the gas to be purified contained in the gas cylinder is a high-purity predetermined gas
  • impurities are mixed into the high-purity predetermined gas on the way between the gas cylinder and the gas purifier, and Even if the purity is reduced, the gas purifier purifies the predetermined gas into a high-purity gas.
  • the source of the gas to be purified is a space inside the exposure apparatus, the gas consumption is reduced by purifying and reusing the gas from the space.
  • the gas supply system selectively selects any one of the plurality of gas purifiers (40, 41) for the space (PA).
  • a switching device (44) for connection may be provided.
  • the gas supply system has a first measuring device (42) for measuring the concentration of impurities contained in the purified gas purified by the gas purifier or the concentration of the predetermined gas, and the switching device (44) ) May be connected to any one of the gas purifiers based on the measurement result by the first measuring device (42).
  • one of the gas purifiers is selectively connected to the space in the exposure apparatus based on the concentration of the impurity measured by the first measurement apparatus or the concentration of the predetermined gas, so that the performance is improved.
  • the gas supply system further comprises: a second measuring device (52) for measuring the concentration of the impurity contained in the gas to be purified or the concentration of the predetermined gas upstream of the gas purifier; A gas discharging device (55) for discharging the gas to be purified from upstream of the gas purifier (53, 54) to the outside based on the measurement result by the second measuring device (52). I'm sorry.
  • the gas is discharged from the upstream of the gas purifier to the outside based on the concentration of the impurity measured by the second measuring device or the concentration of the predetermined gas. Inflow is prevented, and a significant decrease in the performance of the gas purifier can be prevented.
  • the gas supply system includes a gas filling device (51) for replenishing a predetermined gas, and the gas replenishing device (51) is provided with the gas purifier (53,5). 4) may be connected upstream.
  • the gas from the gas replenishing device is purified by the gas purifier, contamination of impurities into the space in the exposure device due to gas replenishment is suppressed.
  • the gas supply system for supplying the predetermined gas to the space (PA) in the exposure apparatus includes: supplying the predetermined gas to the space (PA) via a gas supply pipe (61). (PA) and a pulsation suppressor (62) for suppressing pressure fluctuation of the predetermined gas flowing in the gas supply pipe (61).
  • the fluctuation of the pressure of the gas supplied to the space inside the exposure apparatus is suppressed by the pulsation suppressor, so that the pressure change in the space inside the exposure apparatus due to the gas supply is reduced.
  • the pulsation suppression device (62) is disposed immediately before the exposure device, so that the pressure fluctuation of the gas caused by the gas supply system is effectively suppressed.
  • the pulsation suppressor (62) of the gas supply system is configured to suppress a pressure fluctuation of the gas flowing in the gas supply pipe (61) to 3 mmHg or less. Is also good. In this case, the influence of the gas pressure fluctuation on the optical characteristics of the exposure apparatus is reliably prevented.
  • the present invention provides an exposure apparatus having a plurality of spaces formed in an optical path of an energy beam, wherein the gas supply system is provided to supply a predetermined gas to at least one of the plurality of spaces. It is characterized by.
  • a method for manufacturing a device including a lithographic process, wherein a devise is manufactured using the exposure apparatus in the lithography step.
  • FIG. 1 is a configuration diagram showing a first embodiment of an exposure apparatus including a gas supply system according to the present invention.
  • FIG. 2 is an overall configuration diagram showing a first embodiment of the exposure apparatus according to the present invention.
  • FIG. 3 is a configuration diagram showing a gas supply system in a second embodiment of the exposure apparatus according to the present invention.
  • FIG. 4 is a configuration diagram showing an example of the gas discharge device 55 shown in FIG.
  • FIG. 5 is a configuration diagram showing a gas supply system in a third embodiment of the exposure apparatus according to the present invention.
  • FIG. 6 is a flowchart showing an example of a device manufacturing process. BEST MODE FOR CARRYING OUT THE INVENTION
  • an exposure apparatus including a gas supply system including a gas supply system according to the present invention will be described with reference to the drawings.
  • the present invention is applied to a step 'and' scan type exposure apparatus using vacuum ultraviolet light as an energy beam for exposure.
  • FIG. 2 is a partially cutaway configuration view showing a schematic configuration of the exposure apparatus of the present example.
  • the mechanism of the exposure apparatus of the present example includes an illumination optical system unit 5 and a reticle operation unit 6.
  • the illumination optical system unit 5, the reticle control unit 6, the projection optical system PL, and the wafer operation unit 7 are respectively a box-shaped illumination system 1 and reticle.
  • the chamber 2, the lens barrel 3, and the wafer chamber 4 are housed in a substantially sealed state, being isolated from outside air (here, gas in a chamber described later). You.
  • the “closed state” refers to the flow of gas between the internal space and the external space.
  • the space inside the exposure apparatus that is, the internal space of the illumination system chamber 1, the reticle chamber 2, the lens barrel 3, and the wafer chamber 4 (particularly, the space facing the optical element) is provided by a gas supply system 8 described later. Temperature controlled gas (purge gas) is supplied. Further, the exposure apparatus of the present embodiment is housed in one large chamber (not shown) in which the temperature of the gas inside is controlled within a predetermined target range.
  • F 2 laser light source for generating a pulsed laser beam having a wavelength of 1 5 7 eta m in the vacuum ultraviolet region as the exposure light source 1 1 is used
  • the exit end of the exposure light source 1 1 is an illumination system Installed at the bottom of chamber 1.
  • Exposure light IL energy beam
  • the light enters the fly-eye lens (or rod lens) 14 as an optical integrake (homogenizer) via the shaped optical system 13.
  • An aperture stop (not shown) is arranged on the exit surface of the fly-eye lens 14.
  • Exposure light IL emitted from the fly-eye lens 14 and passing through the aperture stop is reflected by the mirror 15 in a substantially horizontal direction.
  • the field stop (reticle blind) 17 is reached via the relay lens 16.
  • the arrangement surface of the field stop 17 is almost conjugate with the pattern surface of the reticle R to be exposed.
  • the field stop 17 has a fixed blind that defines the shape of the elongated rectangular illumination area on the pattern surface, It has a movable blind that closes its illumination area to prevent exposure of unnecessary parts at the start and end.
  • Exposure light IL that has passed through the field stop 17 passes through a relay lens 18, a mirror 19, and a condenser lens system 20 fixed to the tip of the illumination system chamber 1, and a rectangular shape on the reticle R pattern surface ( Illuminate the illumination area (on the slit) with a uniform illuminance distribution.
  • Exposure light source 1 1 to condenser lens system 20 constitute illumination optics section 5, illumination optics section 5
  • the optical path of the exposure light IL that is, the optical path from the exposure light source 11 to the condenser lens system 20 is sealed by the illumination system champer 1.
  • a beam matching unit for adjusting the optical axis of the illumination optical system unit 5 and the optical axis of the exposure light IL emitted from the exposure light source
  • the beam matching unit may be provided with an automatic tracking mechanism (not shown) for correcting an optical axis shift due to vibration or the like.
  • an image of the pattern in the illumination area of the reticle R passes through the projection optical system PL to a predetermined projection magnification ⁇ ( ⁇ is, for example, 1/4,
  • the wafer W is a disk-shaped substrate such as a semiconductor (silicon or the like) or SOI (silicon on insulator).
  • the exposure light IL as in this example in the case of the F 2 laser beam is good good optical glass material of the transmittance was doped fluorite. (Crystal C a F 2), fluorine or hydrogen, etc. Since it is limited to quartz glass, magnesium fluoride (MgF 2 ), etc., it is difficult to obtain the desired imaging characteristics (chromatic aberration characteristics, etc.) by configuring the projection optical system PL with only refractive optical members. There may be. Therefore, a catadioptric system combining a refractive optical member and a reflecting mirror may be employed as the projection optical system PL.
  • the X axis is taken in a direction intersecting the optical axis AX of the shadow optical system PL, and the Y axis is taken perpendicular to the plane of FIG.
  • the illumination area on the reticle R in this example is a rectangle elongated in the X direction, and the scanning direction of the reticle R and the wafer W during exposure is in the Y direction.
  • a reticle R is held on a reticle stage 21.
  • the reticle stage 21 continuously moves the reticle R in the Y direction on a reticle base (not shown), and minutely drives the reticle R so as to reduce synchronization errors in the X, Y, and rotation directions.
  • the position and the rotation angle of the reticle stage 21 are measured with high precision by a laser interferometer (not shown), and the measured values and control information from a main control system 25 composed of a computer that controls the overall operation of the apparatus.
  • the reticle stage 21 is driven on the basis of.
  • the reticle operation unit 6 is composed of a reticle stage 21 and a reticle base / reticle loader (not shown), and is projected from the optical path of the exposure light IL in the reticle operation unit 6, that is, from the condenser lens system 20.
  • the optical path to the optical member on the reticle side of the optical system PL is sealed by the reticle chamber 2.
  • a plurality of optical members (optical elements) (not shown) are housed in a lens barrel 3, and an optical path from the optical member on the reticle side of the projection optical system PL to the optical member on the wafer side is formed. It is sealed in the lens barrel 3. Note that a gas (purge gas) from a gas supply system 8 described later is supplied to each closed space facing the optical member in the projection optical system PL.
  • fluorite C a F 2 crystal
  • the oscillation center wavelength of the two laser light as an energy beam is 157.6 nm, and the wavelength width is 157.6 nm.
  • Chromatic aberration has been corrected for the 10-pm light, and various aberrations such as spherical aberration, astigmatism, and distortion have been well corrected.
  • the wafer W is suction-held on the mounting surface formed of the concave portion on the wafer holder 22, the wafer holder 22 is fixed to the concave portion on the wafer stage 23, and the surface 23a of the wafer stage 23 is formed.
  • Wafer stage 2 3 is wafer base
  • the wafer W is continuously moved in the Y direction on step 4, and the wafer W is step-moved in the X and Y directions.
  • the wafer stage 23 projects the surface of the wafer W by an autofocus method based on information on a position (focus position) in the optical axis AX direction of the surface of the wafer W measured by an auto force sensor (not shown).
  • the wafer operation unit 7 is configured by the wafer holder 22, the wafer stage 23, and the wafer base 24, and a wafer loader or the like (not shown) as a transfer system is arranged in the X direction of the wafer operation unit 7. . Furthermore, the exposure light in the wafer operation unit 7
  • the optical path of the IL that is, the optical path from the optical member on the wafer side of the projection optical system PL to the wafer base is sealed by the wafer chamber 4. Note that an opening / closing door may be attached to one side surface of the wafer chamber 4, and the door may be opened when the wafer W is replaced.
  • the gas supply system 8 will be described with reference to FIG.
  • the gas supply system 8 is configured such that a predetermined gas serving as a purge gas is supplied in a highly pure state with the illumination system chamber: chamber 1, reticle chamber 2, lens barrel 3, and wafer chamber 4 in each internal space.
  • each space is collectively referred to as a purge space PA :).
  • the recovered gas recovered from each purge space PA is purified as a gas to be purified and reused.
  • the purge gas an inert gas having a high transmittance with respect to the exposure light IL, that is, a gas containing substantially no light absorbing substance (a “transmitted gas” described later) is used.
  • the exposure light IL of this embodiment is the wavelength 1 5 7 nm vacuum ultraviolet light, as the light absorbing material relative to the exposure light IL, oxygen (0 2), water (water vapor: H 2 0), carbon dioxide (CO : Co 2 ), organic substances, and halides.
  • oxygen (0 2)
  • water water vapor: H 2 0
  • carbon dioxide CO : Co 2
  • organic substances and halides.
  • Gases through which L permeates include nitrogen (N 2), helium (He), neon (Ne :), argon (Ar), and talliptone (There is a rare gas consisting of Kr), xenon (Xe), and radon (Rn).
  • this nitrogen gas and rare gas will be collectively referred to as “permeated gas”.
  • nitrogen gas acts as a light-absorbing substance for light having a wavelength of 150 nm 1 or less
  • helium gas can be used as a transmissive gas up to a wavelength of about 100 nm.
  • helium gas has a thermal conductivity of about 6 times that of nitrogen gas, and the amount of change in the refractive index with respect to pressure change is about 1 Z8 of nitrogen gas. Excellent in stability and cooling.
  • helium gas is used as the purge gas through which the exposure light IL passes, from the viewpoint of the stability of the imaging characteristics and the cooling property. Since helium gas is high value, the case is 1 5 0 nm or more as long the exposure beam is an F 2 laser, the use of nitrogen gas as a purge gas in order to reduce the operating costs Good.
  • the gas supply system 8 has a supply line connected to the purge space PA.
  • a circulation path 32 having a pipe 30 and a collection pipe 31; a purification apparatus 33 having one end connected to the supply pipe 30 and the other end connected to the collection pipe 31;
  • a supply device 34 for supplying the purge gas purified in step 3 to the purge space PA via a supply pipe 30, a temperature controller 35 for controlling the temperature of the purge gas, and a helium gas as the purge gas. It is configured to include a gas cylinder 36 to be housed and the main control system 25 for integrally controlling each device.
  • the supply pipe 30 and the recovery pipe 31 are branched into a plurality according to each purge space PA, and the supply pipe 30 is provided with valves 37 A to 37 D that can adjust the flow rate. Connected to each purge space PA via .
  • the purifying device 33 purifies high-purity purge gas (helium gas) by removing impurities, that is, the above-mentioned light-absorbing substance, from the purge gas collected from each purge space PA.
  • high-purity purge gas helium gas
  • impurities that is, the above-mentioned light-absorbing substance
  • a measuring device 42 for measuring the concentration of the light-absorbing substance contained in the gas purified by the gas purifiers 40 and 41 is connected downstream of the gas purifiers 40 and 41.
  • the measured value of the device 42 is supplied to the main control system 25.
  • the measuring device 42 for example, an oxygen concentration meter, a dew point meter as a water vapor concentration meter, a concentration meter such as a carbon dioxide sensor, or a composite sensor combining these sensors is used.
  • the concentration of the light-absorbing substance contained in the gas is indirectly measured by applying a current to the inside of the gas purifiers 40 and 41 and measuring the current value. The same effect can be obtained with a sensor for measurement.
  • measuring devices 43A to 43D similar to the measuring device 42 may be individually provided independently.
  • one measuring device is prepared, and each purge space PA and one measuring device are connected by piping, and each purge space PA and one measuring device are selectively connected, so that impurities in the purge space are removed.
  • the concentration or the concentration of helium gas may be measured.
  • a switching device 44 for selectively connecting any one of the gas purifiers 40 and 41 to the collection pipe 31 is provided.
  • the switching device 44 for example, a control valve having a driving device is used.
  • the switching device 44 operates when the concentration of a predetermined light absorbing substance (oxygen, water vapor, and carbon dioxide in this example) measured by the measuring device 42 exceeds a preset allowable concentration. Based on the instruction of the control system 25, one of the gas purifiers 40 and 41 is switched to and connected to the collection pipe 31.
  • the state where the concentration of the predetermined light-absorbing substance measured by the measuring device 42 becomes high may be a case where the gas purifier deteriorates due to long-time use and the gas purifying ability of the gas purifier decreases.
  • the measuring device 42 can determine the refining ability of the gas purifier by measuring the concentration of the light-absorbing substance that cannot be removed by the gas purifier.
  • Helium gas as a purge gas is compressed or liquefied in a high-purity state and stored in the gas cylinder 36.
  • Helium gas is replenished into the supply pipe 30 by opening the valve 36A. It is supposed to be.
  • the interior space of the illumination system chamber 1, the reticle chamber 2, the lens barrel 3, and the wafer chamber 4, that is, the purge space PA is filled with a purge gas (helium gas) as a high-purity permeation gas.
  • the supply device 34 is driven to recover the gas and the light-absorbing substance in the purge space PA via the recovery pipe 31, and the purifying device 33 purifies a high-purity purge gas from the recovered gas. Then, after the temperature of the purified purge gas is controlled by the temperature controller 35, the purge gas is supplied into the purge space PA of the exposure apparatus via the supply pipe 30.
  • the gas in the purge space PA is once discharged by a vacuum pump or the like (not shown).
  • Helium gas is supplied into the purge space PA by opening the valve 36 A of the gas cylinder 36, or helium gas is supplied to each purge space PA while the gas in the purge space PA is exhausted by the vacuum pump. Then, Was However, when the purge gas is supplied, it is desirable that the gas in the purge space ⁇ is not supplied to the gas purifier 33 but exhausted outside. With this configuration, it is possible to prevent the performance of the gas purifier 33 from being significantly deteriorated.
  • the gas purifier to be used is divided into a plurality of gas purifiers (in this embodiment, gas purifiers 40, 41) based on the concentration of the light absorbing substance measured by the measuring device 42. ) Select one from.
  • one gas purifier for example, gas purifier 40
  • the concentration of the light-absorbing substance to be measured reaches a predetermined allowable concentration (for example, 10 ppm by volume).
  • switch to another gas purifier for example, gas purifier 41
  • the switching device 44 is driven based on the instruction of the main control system 25 to collect another gas purifier different from the one before.
  • the main control system 25 monitors the concentration of the light-absorbing substance in each purge space PA based on the measurement results of the measuring devices 43A to 43D arranged in each purge space PA, The supply amount of the purge gas to the purge space PA is controlled by the supply device 34 or the valves 37A to 37D. Then, when it is confirmed that the concentration of the light-absorbing substance has become equal to or lower than the predetermined allowable concentration and each purge space PA has been filled with a high-purity purge gas, the next exposure processing operation is executed.
  • the reticle R shown in FIG. C As the exposure processing operation, in the exposure apparatus having the above configuration, the reticle R shown in FIG. C) The so-called step-and-scan scanning exposure, in which the pattern formed on the reticle R is transferred to each shot area of the wafer W via the projection optical system PL while synchronizing the movement of W with the one-dimensional direction. I do. As a result, a reduced image of the pattern of the reticle R is sequentially transferred to each shot area on the wafer W.
  • the gas supply system 8 allows the purge space PA (the illumination system chamber 1, the reticule chamber 2, the lens barrel 3, and the like) to be disposed in the optical path of the exposure light IL.
  • the purge space PA the illumination system chamber 1, the reticule chamber 2, the lens barrel 3, and the like
  • the purge space PA the illumination system chamber 1, the reticule chamber 2, the lens barrel 3, and the like
  • the purge space PA the illumination system chamber 1, the reticule chamber 2, the lens barrel 3, and the like
  • the gas supply system 8 monitors the concentration of the light-absorbing substance contained in the purge gas supplied to the purge space PA, and controls the concentration so as to be lower than a predetermined allowable concentration (for example, 10 ppm by volume). In the purge space PA, a high transmittance state is stably maintained. Moreover, since the gas in the purge space PA is purified and reused, the gas consumption is small.
  • the gas supply system 8 selectively uses one of the plurality of gas purifiers 40 and 41 to continuously supply high-purity purge gas to the purge space PA. Supply. Therefore, the space in the optical path is always filled with the high-purity helium gas as a permeated gas, and the continuous exposure processing operation is stably performed.
  • the gas supply system 8 of the first embodiment has a configuration in which all the recovered gas from the purge space PA flows to the purification device 33.
  • the gas supply system 50 of the second embodiment is configured to discharge the recovery gas from the purge space PA to the outside according to predetermined conditions.
  • the purge space PA in the exposure apparatus corresponds to, for example, a wafer chamber, a reticle chamber, and a space in an optical path (particularly, a space facing an optical element). That is, the gas supply system 50 of the present embodiment is provided with a recovery gas as a gas to be purified.
  • Measuring device 52 that measures the concentration of light-absorbing substances (impurities) contained in the gas, and discharges the collected gas to the outside from the upstream of the gas purifiers 53 and 54 based on the measurement results of the measuring device 52
  • a gas discharge device 55 is provided.
  • the gas discharge device 55 also has a function as the switching device 44 shown in the first embodiment.
  • a plurality of control valves 55 A to 55 It has a configuration combining 55 C (for example, a configuration including a three-way valve 55 A and stop valves 55 B, 55 C).
  • the measurement result of the measuring device 52 is sent to the main control system 25, and the concentration of the predetermined light absorbing substance (oxygen, water vapor, and carbon dioxide) measured by the measuring device 52 exceeds the predetermined allowable concentration.
  • the control valve 55 A is switched based on an instruction from the main control system 25 to discharge a predetermined gas to the outside through a discharge pipe 56.
  • the measuring device 52 the same device as the measuring device 42 described in the first embodiment is used.
  • the gas discharge device 55 when the concentration of the light absorbing substance contained in the collected gas is lower than a predetermined allowable concentration (for example, 100 ppm by volume ratio), the gas discharge device 55 When the concentration of the light-absorbing substance exceeds a predetermined allowable concentration (for example, 100 ppm in volume ratio) while the collected gas is passed through the gas purifiers 53 and 54 by the Then, the direction of the flow of the recovered gas is switched by the gas discharge device 55, and the recovered gas is discharged to the outside via the discharge pipe 56.
  • a predetermined allowable concentration for example, 100 ppm by volume ratio
  • the purge space PA is excessively contaminated, for example, when starting up the apparatus or performing maintenance, it is possible to prevent the contaminated recovered gas from flowing into the gas purifiers 53, 54, The performance of the gas purifier can be stably maintained.
  • the gas is discharged to the outside by the gas discharge device 55, it is preferable to open the valve 51A of the gas cylinder 51 and supply the purge gas to the purge space PA.
  • a gas cylinder 51 for replenishing a predetermined gas is connected to the upstream side of the gas purifiers 53, 54.
  • the gas contained in the gas cylinder 51 has a certain degree of purity (including a few ppm of light-absorbing substance), the gas must be subjected to gas purification. Mixing of the light absorbing substance into the purge space PA due to the replenishment of the gas is more reliably suppressed. That is, despite the fact that a predetermined gas of high purity is stored in the gas cylinder 51, Even if impurities are mixed into the predetermined gas on the way from the gas cylinder 51 to the purifier 33, the purity of the predetermined gas is reduced, the gas purifiers 53, 54 convert the predetermined gas into high-purity gas. Purified. In addition, high purity gas is generally expensive.
  • a gas cylinder containing a gas with a purity that is several percent lower than that of a high-purity gas is purchased, and the gas is purified by gas purifiers 53 and 54. Purity gas can also be supplied to the purge space PA.
  • the gas supply system 60 of the third embodiment is different from each of the above-described embodiments, and includes a pulsation suppressor 62 for suppressing pressure fluctuation of the purge gas flowing in the supply pipe 61.
  • the pulsation suppressor 61 suppresses pressure fluctuation of gas flowing in the pipe, particularly pulsation of the pipe ⁇ ⁇ generated in a short cycle, by diffusing the energy of the fluid or absorbing the energy into other objects.
  • a pulsation prevention tank formed with a predetermined volume so as to widen the flow path of the supply pipe 61 is used. It is needless to say that the pulsation suppressing device 61 is not limited to the tank-shaped form, but various forms can be applied.
  • the exposure apparatus of the present embodiment including such a gas supply system 60, since the fluctuation of the pressure of the purge gas supplied to the purge space PA is suppressed by the pulsation suppressor, the pressure in each purge space PA due to the supply of the purge gas is reduced. The change is small.
  • the refractive index of light changes, which may affect the optical characteristics. Therefore, by controlling the pressure change and keeping the environment of the purge space PA constant, the exposure light can reach the substrate more stably.
  • the exposure apparatus may be equipped with a correction device for keeping the atmospheric pressure in a predetermined space constant.However, it is difficult to cope with a short-period pressure fluctuation, so it is effective to provide such a pulsation suppression device. It is. Here, by suppressing the pressure fluctuation of the gas flowing in the supply pipe 61 to 3 mmHg or less, it is possible to reliably prevent the influence of the gas pressure fluctuation on the optical characteristics of the exposure apparatus. .
  • the cause of the pressure fluctuation is mainly due to the supply of purge gas to the purge space PA.
  • the supply device 63 for example, a pump
  • the pulsation suppressing device 62 needs to be arranged at least downstream of the supply device 63.
  • a pulsation suppressing device 6 is provided downstream of the purification device 64. Two are arranged.
  • the pulsation suppressor 62 is arranged upstream of the temperature controller 65 in order to improve the temperature control accuracy.
  • a pulsation suppressor 62 may be disposed downstream of the temperature controller 65.
  • the force S at which two gas purifiers are provided for the purifier is not limited to this, and may be 3 or more, which is appropriately determined according to the refining capacity.
  • the force S at which two gas purifiers are provided for the purifier is not limited to this, and may be 3 or more, which is appropriately determined according to the refining capacity.
  • the advantage that one gas purifier can recover the refining ability of another gas purifier during use. have.
  • the present invention is not limited to this, and a plurality of gas purifiers may be arranged in series with respect to the circulation path. In this case, the concentration of the light absorbing substance contained in the purge gas can be further reduced.
  • the concentration of the light-absorbing substance measured by the measuring device exceeds a predetermined allowable concentration
  • control is performed so that the gas purifier to be used is changed.
  • the gas purifier to be used may be changed every predetermined time that is input in advance.
  • a measuring device for measuring the transmittance or the reflectance of the optical member may be provided, and the gas purification to be used may be changed based on the measurement result of the measuring device.
  • a plurality of gas purifiers are attached to the gas supply system, but only one gas purifier is actually connected to the collection pipe. Therefore, when switching between a gas purifier whose performance has deteriorated and an unused gas purifier with a switching device, and refreshing or maintaining the gas purifier whose performance has deteriorated, the target gas purifier is identified.
  • An identification mechanism may be provided. That is, for example, the identification mechanism reads the identification number given to the gas purifier in advance, sends a signal corresponding to the identification number to the main controller, and based on the signal, the main control system performs predetermined monitoring. Alternatively, the identification number may be displayed on the display to notify the maintenance worker of the target gas purifier. '
  • a plurality of gas purifiers are attached to the gas supply system before operating the exposure apparatus, and the gas used is determined based on the concentration of the light absorbing substance measured by the measuring apparatus.
  • the case where one of the purifiers is selected has been described.
  • the present invention is not limited to the above-described embodiment, but includes various modifications such as, for example, the form described below. That is, for example, before moving the exposure apparatus, one gas purifier is attached to the gas supply system for a predetermined period of time (in this case, the period during which the purifying ability of the gas purifier decreases).
  • the gas recovered from the purge space is purified by this gas purifier until the gas elapses, and after a predetermined time, the refreshed gas purifier or a new (unused) gas purifier is supplied to the gas supply system.
  • the switching device is driven to switch from the gas purifier that was initially installed to the newly installed gas purifier and connect it to the collection pipe. You may. .
  • a purge pipe may be provided by providing a supply gas supply pipe and an exhaust pipe for each space of the optical elements constituting the illumination optical system and the projection optical system.
  • the refining apparatus in the present embodiment is configured such that one refining apparatus is used for one refining apparatus.
  • the configuration includes an apparatus, one refining apparatus may be provided for a plurality of exposure apparatuses. In this case, it is possible to reduce the cost of the refining device in improving semiconductor production, and to reduce the set area of the refining device.
  • the configuration in which the same purge gas (helium) is supplied to each space of the illumination system chamber 1, the reticle chamber 2, the lens barrel 3, and the wafer chamber 4 has been described. Is also good.
  • helium may be supplied to the space of the lens barrel 3 and nitrogen may be supplied to another space.
  • the gas supply system of the present embodiment may be provided for the lens barrel that supplies helium.
  • the gas supply system of the present embodiment is provided for a space to which an expensive gas is supplied in consideration of the cost of the supplied gas. Good. That is, depending on the type of gas, it may not be necessary to provide the gas supply system of the present embodiment.
  • the concentration of the light absorbing substance may be controlled for each space of the optical element constituting the illumination optical system or the projection optical system.
  • helium He
  • any inert gas such as nitrogen (N 2 ) or a rare gas (argon (Ar), etc.) is evacuated.
  • N 2 nitrogen
  • argon (Ar), etc. a rare gas
  • the absorption of light in the ultraviolet region is small, especially the absorption of F 2 laser light is so small that it can be ignored. Therefore, in the above embodiment, any inert gas may be used.
  • the present invention is effective even when there is a step between the upper surface of the wafer stage and the surface of the wafer.
  • a treatment for reducing the amount of outgas from the surface of the structural material in advance.
  • the surface area of the structural material is reduced, (2) the surface of the structural material is polished by mechanical polishing, electrolytic polishing, no polishing, chemical polishing, or GBB (Glass Beads Blasting). Reduce roughness, (3) Blow fluid such as ultrasonic cleaning and clean dry air Clean the surface of structural materials by techniques such as baking, vacuum heating degassing (baking), etc.
  • Electric wire coating materials containing hydrocarbons and halides ⁇ Seal members (O-rings, etc.), adhesives Are not installed in the optical path space as much as possible. Also, in FIG.
  • the casing (a cylindrical body or the like) constituting the illumination system chamber 1 and the wafer chamber 4 and the piping for supplying helium gas and the like are made of a material with a small amount of impurity gas (degas), for example, stainless steel. It is desirable to use various polymers such as steel, tetrafluoroethylene, tetrafluoroethylene-terfluoro (alkyl biel ether), or tetrafluoroethylene-hexafluoropropene copolymer.
  • the cables for supplying electric power to the drive mechanisms (reticle blinds, stages, etc.) in each housing are also covered with the above-mentioned material with a small amount of impurity gas (degas). )
  • the oxygen that has been mixed in the inert gas (0 2), carbon monoxide (CO), carbon dioxide (C0 2), hydrogen (H 2) and water It is possible to use one that removes impurities such as H 20 ) by chemical adsorption and physical adsorption with a catalyst and an adsorbent, and purifies an inert gas to ultra-high purity.
  • the inert gas purifier UIP-E of Vionitas Japan can be used.
  • the present invention can be applied not only to a scanning exposure type exposure apparatus but also to a batch exposure type (stepper type) exposure apparatus.
  • the projection optical system provided in these may be not only a catadioptric system as in the above embodiment, but also a dioptric system or a catoptric system.
  • the magnification of the projection optical system may be not only a reduction magnification but also an equal magnification or an enlargement.
  • the present invention is an energy beam, and when using the A r F excimer laser beam (wavelength 193 nm), Kr 2 laser beam (wavelength 146 nm), Ar 2 laser beam
  • Wavelength 126 nm especially when using vacuum ultraviolet light with a wavelength of about 200 nm to 100 nm, such as a harmonic of a YAG laser or a harmonic of a semiconductor laser, that is, a light in a wavelength range where absorption to oxygen is large. It is valid.
  • DFB distributed feed back
  • Infrared region oscillated from semiconductor laser or fiber laser Alternatively, a single-wavelength laser in the visible region is amplified by a fiber amplifier doped with, for example, erbium (Er) (or both erbium and ytterbium (Yb)), and wavelength-converted to ultraviolet light using a nonlinear optical crystal. Higher harmonics may be used.
  • Er erbium
  • Yb ytterbium
  • the oscillation wavelength of a single-wavelength laser is in the range of 1.544 to 1.553 m
  • the 8th harmonic in the range of 193 to 194 nm, that is, almost the same wavelength as the ArF excimer laser Assuming that the oscillation wavelength is in the range of 1.57 to 1.58 ⁇ , the 10th harmonic in the range of 157 to 158 nm, that is, the ultraviolet light having substantially the same wavelength as the F 2 laser, can get.
  • the oscillation wavelength is in the range of 1.03 to 1.12 ⁇
  • a 7th harmonic whose output wavelength is in the range of 147 to 160 nm is output.
  • an ultraviolet light having a seventh harmonic whose generation wavelength is in the range of 157 to 158 nm, that is, almost the same wavelength as the F 2 laser can be obtained.
  • the single-wavelength oscillation laser in this case for example, a ytterbium-doped fiber laser can be used.
  • the use of the exposure apparatus is not limited to an exposure apparatus for manufacturing semiconductors.
  • an exposure apparatus for liquid crystal which exposes a liquid crystal display element pattern on a square glass plate, and a thin film magnetic head are manufactured. It can be widely applied to an exposure apparatus for performing the above.
  • the stage may be a type that moves along a guide or a guideless type that does not have a guide.
  • one of the magnet unit (permanent magnet) and the armature unit is connected to the stage, and the other of the magnet unit and the armature unit is connected to the stage moving surface (base). ).
  • reaction force generated by the movement of the wafer stage may be mechanically released to the floor (ground) using a frame member, as described in JP-A-8-166475.
  • the present invention is also applicable to an exposure apparatus having such a structure.
  • the reaction force generated by the movement of the reticle stage is disclosed in Japanese Patent Application Laid-Open No. 8-33022.
  • the material may be mechanically released to the floor (ground), using a frame member.
  • the present invention is also applicable to an exposure apparatus having such a structure.
  • the exposure apparatus performs various subsystems including each component listed in the claims of the present application so as to maintain predetermined mechanical accuracy, electrical accuracy, and optical accuracy. It is manufactured by assembling. Before and after this assembly, adjustments to achieve optical accuracy for various optical systems, adjustments to achieve mechanical accuracy for various mechanical systems, and various electrical The system will be adjusted to achieve electrical accuracy.
  • the process of assembling the exposure apparatus from various sub-systems includes mechanical connection, wiring connection of electric circuits, and piping connection of pneumatic circuits between the various subsystems. It goes without saying that there is an individual assembly process for each subsystem before the assembly process from these various subsystems to the exposure apparatus. When the process of assembling the various subsystems into the exposure apparatus is completed, comprehensive adjustment is performed, and various precisions of the entire exposure apparatus are ensured. It is desirable to manufacture the exposure equipment in a clean room where the temperature and cleanliness are controlled.
  • FIG. 6 shows a flowchart of a manufacturing example of a device (semiconductor element, liquid crystal display element, imaging element (CCD, etc.), thin S magnetic head, etc.).
  • the device has a step 201 for designing the function and performance of the device, a step 202 for manufacturing a mask (reticle) based on this design step, and a wafer manufactured from a silicon material.
  • Step 203 wafer processing step 204 for exposing a reticle pattern to a wafer by the exposure apparatus of the above-described embodiment, device assembly step (including dicing step, bonding step, and package step) 205, inspection ⁇ Manufactured through steps 206 and so on.
  • high-purity gas is purified by a purifying apparatus having a plurality of gas purifiers, and the purified gas is filled in the space inside the exposure apparatus, thereby generating vacuum ultraviolet light.
  • a purifying apparatus having a plurality of gas purifiers Even when used as exposure light, it is possible to prevent the exposure light from being attenuated by the light-absorbing substance, and to allow the exposure light to reach the substrate stably with sufficient illuminance and sufficient illuminance uniformity.
  • exposure accuracy can be improved.
  • the method for producing a depiice of the present invention it is possible to provide a depiice having improved accuracy of a formed pattern.

Landscapes

  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

A gas supply system and an exposure device, wherein exposure light is prevented from being attenuated by a light absorbing substance and is thereby allowed to reach a substrate in a stabilized manner without the optical characteristics of the exposure device being greatly affected. The gas supply system comprises a feed pipe (30) for feeding a predetermined gas to a space (PA), a refiner (33) having a plurality of gas refining units (40, 41) for refining the predetermined gas from a gas to be refined, the feed pipe (30) being connected to the plurality of gas refining units (40, 41).

Description

明 細 書 ガス供給システム、 露光装置及びデバイスの製造方法 技術分野  Description Gas supply system, exposure apparatus and device manufacturing method
本発明は、 半導体素子、 液晶表示素子、 撮像素子 (CCD等) 、 薄膜磁気へッ ド等の電子デバィスを製造するための露光装置に関し、 特に露光装置内の空間に 所定のガスを供給するためのガス供給システムに関する。  The present invention relates to an exposure apparatus for manufacturing an electronic device such as a semiconductor device, a liquid crystal display device, an imaging device (such as a CCD), and a thin-film magnetic head, and more particularly to supplying a predetermined gas to a space in the exposure device. Gas supply system.
本出願は、 日本国への特許出願 (特願 2000-163614) を基礎として おり、 その内容をここに組み込むものとする。 背景技術  This application is based on a patent application to Japan (Japanese Patent Application No. 2000-163614), the contents of which are incorporated herein. Background art
半導体素子 (集積回路等) や液晶表示パネル等のデバイス (電子デバイス) を フォトリソグラフイエ程において製造する際に、 光源からの露光用照明光 (露光 光) によってマスク又はレチクル (以下、 レチクルと総称する) を照明し、 レチ クルのパターン (回路パターン) を投影光学系を介して基板 (感光剤が塗布され たウェハ、 ガラスプレートなど) に転写する露光装置が用いられている。 電子デ バイスの回路は、 上記投影露光装置で上記基板上に回路パターンを露光すること により転写され、 後処理によって形成される。 こうして形成される回路配線を例 えば 20層程度にわたって繰り返し成層したものが集積回路である。  When manufacturing semiconductor devices (integrated circuits, etc.) and devices such as liquid crystal display panels (electronic devices) in the photolithographic process, a mask or reticle (hereinafter collectively referred to as a reticle) is used by exposure light (exposure light) for exposure from a light source. An exposure apparatus is used which illuminates a reticle and transfers a reticle pattern (circuit pattern) to a substrate (a wafer coated with a photosensitive agent, a glass plate, etc.) via a projection optical system. The circuit of the electronic device is transferred by exposing a circuit pattern on the substrate by the projection exposure apparatus, and is formed by post-processing. For example, an integrated circuit is formed by repeatedly laminating about 20 layers of the circuit wiring thus formed.
近年、 集積回路の高密度集積化、 すなわち回路パターンの微細化が進められて おり、 これに伴い、 露光装置における露光光が短波長化される傾向にある。 すな わち、 露光光として、 これまで主流だった水銀ランプの輝線にかわって、 Kr F エキシマレーザ (波長: 248 nm) が用いられるようになり、 さらに短波長の Ar Fエキシマレーザ (193 nm) の実用化も最終段階に入りつつある。 また、 さらなる高密度集積ィ匕をめざして、 F2 レーザ (157 nm) や A r 2 レーザ (126 nm) の研究も進められている。  In recent years, high-density integration of integrated circuits, that is, miniaturization of circuit patterns has been promoted, and accordingly, the wavelength of exposure light in an exposure apparatus tends to be shortened. In other words, a KrF excimer laser (wavelength: 248 nm) has been used as the exposure light instead of the emission line of a mercury lamp that has been dominant until now, and a shorter wavelength ArF excimer laser (193 nm) has been used. ) Is also entering the final stage. In addition, research on F2 laser (157 nm) and Ar 2 laser (126 nm) is being pursued for further high-density integration.
波長 1 20 nm〜200 nm程度の光 (エネルギービーム) は真空紫外域に属 し、 これらの光 (以下、 真空紫外光と称する) は、 空気を透過しない。 これは、 空気中に含まれる酸素、 水、 炭酸ガス、 有機物、 ハロゲン化物等 (以下、 「吸光 物質」 と呼ぶ) の分子によって光のエネルギが吸収されるからである。 Light (energy beam) having a wavelength of about 120 nm to 200 nm belongs to the vacuum ultraviolet region, and these lights (hereinafter referred to as vacuum ultraviolet light) do not pass through air. this is, This is because the energy of light is absorbed by molecules of oxygen, water, carbon dioxide, organic substances, halides, etc. (hereinafter referred to as “absorbing substances”) contained in the air.
したがって、 真空紫外光を露光光として用いる場合、 基板上で所望の照度 (光 量) を得るには、 露光装置内の光路空間 (すなわち、 光源から基板までの空間) における吸光物質の濃度を十分低くし、 露光光の透過率を高める必要がある。 そ のため、 露光空間を吸光物質がほとんど含まれない高純度のパージガスで満たす 必要がある。 また、 光路中の空間には、 複数の光学素子 (反射光学素子や、 レン ズ等) が配置されており、 この光学素子間の温度や圧力などの環境が変化すると 露光装置の光学特性が変化することが知られており、 安定的に露光光を基板に到 達させるには、 パージガスの状態を適切に管理する必要がある。  Therefore, when vacuum ultraviolet light is used as the exposure light, the concentration of the light-absorbing substance in the optical path space in the exposure apparatus (that is, the space from the light source to the substrate) is sufficient to obtain a desired illuminance (light amount) on the substrate. It is necessary to increase the exposure light transmittance. Therefore, it is necessary to fill the exposure space with a high-purity purge gas containing almost no light-absorbing substance. Also, a plurality of optical elements (reflective optical elements, lenses, etc.) are arranged in the space in the optical path, and when the environment such as temperature and pressure between these optical elements changes, the optical characteristics of the exposure apparatus change. In order to stably reach the exposure light to the substrate, it is necessary to appropriately control the state of the purge gas.
本発明は、 上述する事情に鑑みてなされたものであり、 露光装置の光学特性に 大きく影響を及ぼすことなく、 吸光物質による露光光の減衰を防止し、 露光光を 安定して基板に到達させることができるガス供給システム及び露光装置を提供す ることを目的とする。 発明の開示  The present invention has been made in view of the above circumstances, and prevents exposure light from being attenuated by a light-absorbing substance without significantly affecting optical characteristics of an exposure apparatus, and allows exposure light to reach a substrate stably. It is an object to provide a gas supply system and an exposure apparatus which can perform the above. Disclosure of the invention
上述課題を解決することを目的として、 本発明は、 露光装置内の空間 (P A) に供給用配管 (3 0 ) を介して所定ガスを供給するためのガス供給システムおい て、 前記所定ガスを被精製ガスから精製する複数のガス精製器 ( 4 0 , 4 1 ) を 備え、 前記供給用配管 (3 0 ) は、 前記複数のガス精製器 (4 0, 4 1 ) にそれ ぞれ接続されることを特徴としている。  In order to solve the above-described problems, the present invention provides a gas supply system for supplying a predetermined gas to a space (PA) in an exposure apparatus via a supply pipe (30). A plurality of gas purifiers (40, 41) for purifying from the gas to be purified are provided, and the supply pipe (30) is connected to the plurality of gas purifiers (40, 41), respectively. It is characterized by that.
このガス供給システムでは、 ガス精製器によって被精製ガスから所定ガスを精 製し、 この精製後の所定ガスを供給用配管を介して露光装置内の空間に供給する。 これにより、 露光装置内の空間を、 吸光物質が低減された高純度のガスによって 満たすことが可能となる。 さらに、 供給用配管は複数のガス精製器に接続される ため、 一のガス精製器を用いる場合に比べて安定的に露光装置内の空間に高純度 の所定ガスを供給することが可能となる。  In this gas supply system, a predetermined gas is purified from a gas to be purified by a gas purifier, and the purified predetermined gas is supplied to a space in the exposure apparatus via a supply pipe. This makes it possible to fill the space in the exposure apparatus with a high-purity gas with reduced light-absorbing substances. Further, since the supply pipe is connected to a plurality of gas purifiers, it becomes possible to more stably supply a high-purity predetermined gas to the space in the exposure apparatus as compared with the case where one gas purifier is used. .
なお、 ここで 「空間」 とは、 例えば筐体の内部空間のように、 物体に囲われた 立体的な領域を意味し、 気密性を有するもの、 気密性を有しないもののいずれも 含むものとする。 「気密性を有しない空間」 とは、 例えば、 筐体内の気圧が外部 気圧より高く設定され、 外部の空気が筐体内に流入しない空聞である。 また、Here, “space” means a three-dimensional area surrounded by an object, for example, an internal space of a housing, and is either airtight or non-airtight. Shall be included. The “non-hermetic space” is, for example, an airspace where the air pressure inside the housing is set higher than the outside air pressure and no external air flows into the housing. Also,
「露光装置内の空間」 としては、 光源から基板までの間に形成される空間であり、 例えば、 レチク を照明する照明光学系を収容する照明系ハウジング、 レチクル に形成されたパターンの像を基板に投影する投影光学系を収容する鏡筒、 レチク ルを収容するレチクル室、 基板を収容するウェハ室が該当する。 また、 照明系ハ ウジング及び鏡筒内に配置される複数の光学素子の間の空間 (特に光学素子が面 する空間) も該当する。 The “space in the exposure apparatus” is a space formed between the light source and the substrate. For example, an illumination system housing that houses an illumination optical system that illuminates the reticle, and an image of a pattern formed on the reticle is printed on the substrate. A reticle chamber for accommodating a reticle, and a wafer chamber for accommodating a substrate. In addition, the space between the illumination system housing and the plurality of optical elements arranged in the lens barrel (particularly, the space where the optical elements face) also corresponds.
この場合にあって、 本発明の他の実施態様におけるように、 前記被精製ガスは、 被精製ガス供給源から供給され、 前記被精製ガス供給源は、 前記被精製ガスを収 容したガスボンベ (3 6 ) 、 又は前記空間 (P A) であってもよレ、。 被精製ガス 供給源がガスボンベである場合、 ガスボンべ内に収容された被精製ガスがある程 度の純度を有する特定ガスであっても、 ガス精製器によって特定ガスがさらに高 純度に精製される。 また、 ガスボンベ内に収容された被精製ガスが高純度の所定 ガスであっても、 ガスボンベとガス精製器との間の経路途中で、 高純度の所定ガ スに不純物が混入し、 所定ガスの純度が低下したとしても、 ガス精製器によって、 その所定ガスが高純度のガスに精製される。 さらに、 被精製ガス供給源が露光装 置内の空間である場合、 その空間からのガスを精製して再利用することにより、 ガスの消費量が低減される  In this case, as in another embodiment of the present invention, the gas to be purified is supplied from a gas supply source to be purified, and the gas supply source to be purified is a gas cylinder containing the gas to be purified. 36) or the space (PA). Refined gas When the supply source is a gas cylinder, even if the refined gas contained in the gas cylinder is a specific gas with a certain degree of purity, the gas purifier purifies the specific gas to higher purity. . Further, even if the gas to be purified contained in the gas cylinder is a high-purity predetermined gas, impurities are mixed into the high-purity predetermined gas on the way between the gas cylinder and the gas purifier, and Even if the purity is reduced, the gas purifier purifies the predetermined gas into a high-purity gas. Furthermore, when the source of the gas to be purified is a space inside the exposure apparatus, the gas consumption is reduced by purifying and reusing the gas from the space.
また、 更に他の実施態様においてガス供給システムは、 前記空間 (P A) に対 して、 前記複数のガス精製器 (4 0 , 4 1 ) のうち、 いずれか一つのガス精製器 を選択的に接続する切換装置 (4 4 ) を有してもよい。 また。 ガス供給システム は、 前記ガス精製器で精製された精製ガスに含まれる不純物の濃度、 又は前記所 定ガスの濃度を計測する第 1計測装置 (4 2 ) を有し、 前記切換装置 (4 4 ) は、 前記第 1計測装置 (4 2 ) による計測結果に基づいて、 前記いずれか一つのガス 精製器を接続してもよい。 この場合、 第 1計測装置によって計測される不純物の 濃度又は前記所定ガスの濃度に基づいて、 露光装置内の空間に対していずれか一 つのガス精製器が選択的に接続されるので、 性能が大きく低下したガス精製器の 使用を中止したり、 性能の高いガス精製器を優先して用いたりすることにより、 高純度の所定ガスを安定して露光装置内の空間に供給することが可能となる。 また、 更に他の実施態様においてガス供給システムは、 前記被精製ガスに含ま れる不純物の濃度又は前記所定ガスの濃度を、 前記ガス精製器の上流で計測する 第 2計測装置 (5 2 ) と、 前記第 2計測装置 (5 2 ) による計測結果に基づいて、 前記ガス精製器 (5 3 , 5 4 ) の上流から外部に前記被精製ガスを排出するガス 排出装置 (5 5 ) とを備えてもよレ、。 この場合、 第 2計測装置によって計測され る不純物の濃度又は所定ガスの濃度に基づいて、 前記ガス精製器の上流から外部 にガスがお出されるので、 過度に汚染されたガスがガス精製器に流入するのが防 止され、 ガス精製器の性能の著しい低下を防止することができる。 In still another embodiment, the gas supply system selectively selects any one of the plurality of gas purifiers (40, 41) for the space (PA). A switching device (44) for connection may be provided. Also. The gas supply system has a first measuring device (42) for measuring the concentration of impurities contained in the purified gas purified by the gas purifier or the concentration of the predetermined gas, and the switching device (44) ) May be connected to any one of the gas purifiers based on the measurement result by the first measuring device (42). In this case, one of the gas purifiers is selectively connected to the space in the exposure apparatus based on the concentration of the impurity measured by the first measurement apparatus or the concentration of the predetermined gas, so that the performance is improved. By discontinuing the use of greatly reduced gas purifiers or giving priority to high-performance gas purifiers, It becomes possible to stably supply a high-purity predetermined gas to the space in the exposure apparatus. In still another embodiment, the gas supply system further comprises: a second measuring device (52) for measuring the concentration of the impurity contained in the gas to be purified or the concentration of the predetermined gas upstream of the gas purifier; A gas discharging device (55) for discharging the gas to be purified from upstream of the gas purifier (53, 54) to the outside based on the measurement result by the second measuring device (52). I'm sorry. In this case, the gas is discharged from the upstream of the gas purifier to the outside based on the concentration of the impurity measured by the second measuring device or the concentration of the predetermined gas. Inflow is prevented, and a significant decrease in the performance of the gas purifier can be prevented.
また、 更に他の実施態様においてガス供給システムは、 所定ガスを補充するた めのガスネ甫充装置 (5 1 ) を備え、 前記ガス補充装置 (5 1 ) は、 前記ガス精製 器 (5 3 , 5 4 ) の上流に接続されてもよい。 この場合、 ガス補充装置からのガ スがガス精製器によって精製されるので、 ガスの補充に伴う露光装置内の空間へ の不純物の混入が抑制される。  In still another embodiment, the gas supply system includes a gas filling device (51) for replenishing a predetermined gas, and the gas replenishing device (51) is provided with the gas purifier (53,5). 4) may be connected upstream. In this case, since the gas from the gas replenishing device is purified by the gas purifier, contamination of impurities into the space in the exposure device due to gas replenishment is suppressed.
また、 更に他の実施態様において、 露光装置内の空間 (P A) に所定ガスを供 給するためのガス供給システムは、 前記所定ガスをガス供給用配管 (6 1 ) を介 して、 前記空間 (P A) に供給するための供給装置 (6 3 ) と、 前記ガス供給用 配管 (6 1 ) 内を流れる前記所定ガスの圧力変動を抑制するための脈動抑制装置 ( 6 2 ) とを備える。  In still another embodiment, the gas supply system for supplying the predetermined gas to the space (PA) in the exposure apparatus includes: supplying the predetermined gas to the space (PA) via a gas supply pipe (61). (PA) and a pulsation suppressor (62) for suppressing pressure fluctuation of the predetermined gas flowing in the gas supply pipe (61).
このガス供給システムでは、 脈動抑制装置によって露光装置内の空間に供給す るガスの圧力変動を抑制するので、 ガスの供給に伴う露光装置内の空間における 圧力変化が低減される。  In this gas supply system, the fluctuation of the pressure of the gas supplied to the space inside the exposure apparatus is suppressed by the pulsation suppressor, so that the pressure change in the space inside the exposure apparatus due to the gas supply is reduced.
この場合にあって、 前記脈動抑制装置 (6 2 ) は、 前記露光装置の直前に配さ れることにより、 ガス供給システムを起因とするガスの圧力変動が効果的に抑制 さ bる。  In this case, the pulsation suppression device (62) is disposed immediately before the exposure device, so that the pressure fluctuation of the gas caused by the gas supply system is effectively suppressed.
また、 更に他の実施態様においてガス供給システムの前記脈動抑制装置 (6 2 ) は、 前記ガス供給用配管 (6 1 ) 内を流れるガスの圧力変動を 3 mmH g以 下に抑制するようにしてもよい。 この場合、 露光装置の光学特性に及ぼすガスの 圧力変動の影響が確実に防止される。 また、 本発明は、 エネルギビームの光路内に形成された複数の空間を有する露 光装置において、 前記複数の空間の少なくとも 1つに所定ガスを供給するために、 上述のガス供給システムを備えることを特徴としている。 In still another embodiment, the pulsation suppressor (62) of the gas supply system is configured to suppress a pressure fluctuation of the gas flowing in the gas supply pipe (61) to 3 mmHg or less. Is also good. In this case, the influence of the gas pressure fluctuation on the optical characteristics of the exposure apparatus is reliably prevented. Further, the present invention provides an exposure apparatus having a plurality of spaces formed in an optical path of an energy beam, wherein the gas supply system is provided to supply a predetermined gas to at least one of the plurality of spaces. It is characterized by.
また、 本発明は、 リソグラフイエ程を含むデバイスの製造方法であって、 前記 リソグラフィ工程で上述の露光装置を用いてデパイスを製造することを特徴とし ている。 図面の簡単な説明  According to the present invention, there is provided a method for manufacturing a device including a lithographic process, wherein a devise is manufactured using the exposure apparatus in the lithography step. BRIEF DESCRIPTION OF THE FIGURES
図 1は本発明に係るガス供給システムを備える露光装置の第 1実施形態を示す 構成図である。  FIG. 1 is a configuration diagram showing a first embodiment of an exposure apparatus including a gas supply system according to the present invention.
図 2は本発明に係る露光装置の第 1実施形態を示す全体構成図である。  FIG. 2 is an overall configuration diagram showing a first embodiment of the exposure apparatus according to the present invention.
図 3は本発明に係る露光装置の第 2実施形態におけるガス供給システムを示す 構成図である。  FIG. 3 is a configuration diagram showing a gas supply system in a second embodiment of the exposure apparatus according to the present invention.
図 4は図 3に示すガス排出装置 5 5の一例を示す構成図である。  FIG. 4 is a configuration diagram showing an example of the gas discharge device 55 shown in FIG.
図 5は本発明に係る露光装置の第 3実施形態におけるガス供給システムを示す 構成図である。  FIG. 5 is a configuration diagram showing a gas supply system in a third embodiment of the exposure apparatus according to the present invention.
図 6はデバイスの製造工程の一例を示すフローチヤ一ト図である。 発明を実施するための最良の形態  FIG. 6 is a flowchart showing an example of a device manufacturing process. BEST MODE FOR CARRYING OUT THE INVENTION
以下、 本発明に係るガス供給システムを備える露光装置の第 1実施形態につい て図面を参照して説明する。 本例は、 露光用のエネルギビームとして真空紫外光 を用いるステップ 'アンド 'スキャン方式の露光装置に本発明を適用したもので ある。  Hereinafter, a first embodiment of an exposure apparatus including a gas supply system according to the present invention will be described with reference to the drawings. In the present embodiment, the present invention is applied to a step 'and' scan type exposure apparatus using vacuum ultraviolet light as an energy beam for exposure.
図 2は、 本例の露光装置の概略構成を示す一部を切り欠いた構成図であり、 こ の図 2において、 本例の露光装置の機構部は照明光学系部 5、 レチクル操作部 6、 投影光学系 P L及びウェハ操作部 7に大きく分かれており、 さらに照明光学系部 5、 レチクノレ操作部 6、 投影光学系 P L、 ウェハ操作部 7は、 それぞれ箱状の照 明系チャンパ 1、 レチクル室 2、 鏡筒 3、 ウェハ室 4の内部に外気 (ここでは、 後述のチャンバの気体) から隔離されて実質的に密閉された状態で収納されてい る。 なお、 「密閉された状態」 とは、 内部空間と外部空間との間で気体の流通FIG. 2 is a partially cutaway configuration view showing a schematic configuration of the exposure apparatus of the present example. In FIG. 2, the mechanism of the exposure apparatus of the present example includes an illumination optical system unit 5 and a reticle operation unit 6. , The projection optical system PL and the wafer operation unit 7.The illumination optical system unit 5, the reticle control unit 6, the projection optical system PL, and the wafer operation unit 7 are respectively a box-shaped illumination system 1 and reticle. The chamber 2, the lens barrel 3, and the wafer chamber 4 are housed in a substantially sealed state, being isolated from outside air (here, gas in a chamber described later). You. The “closed state” refers to the flow of gas between the internal space and the external space.
(流出入) がない状態、 又は內部空間と外部空間との聞で気体の流通はあるもの の、 内部空間から外部空間に気体が流出するように、 内部空間の圧力が外部空間 の圧力より高く設定され、 外部空間から内部空間への気体の流入が抑制されてい る状態を示す。 There is no outflow or inflow of gas between the internal space and the external space, but the pressure in the internal space is higher than the external space so that gas flows out from the internal space to the external space. Indicates a state in which the flow of gas from the external space to the internal space is suppressed when set.
また、 この露光装置内の空間、 すなわち照明系チャンバ 1、 レチクル室 2、 鏡 筒 3、 ウェハ室 4の各内部空間 (特に光学素子が面する空間) には、 後述するガ ス供給システム 8によって温度制御された気体 (パージガス) が供給されている。 さらに、 本例の露光装置は全体として、 内部の気体の温度が所定の目標範囲内に 制御された一つの大きいチャンバ (不図示) の内部に収納されている。  In addition, the space inside the exposure apparatus, that is, the internal space of the illumination system chamber 1, the reticle chamber 2, the lens barrel 3, and the wafer chamber 4 (particularly, the space facing the optical element) is provided by a gas supply system 8 described later. Temperature controlled gas (purge gas) is supplied. Further, the exposure apparatus of the present embodiment is housed in one large chamber (not shown) in which the temperature of the gas inside is controlled within a predetermined target range.
前記照明光学系部 5において、 露光光源 1 1として真空紫外域の波長 1 5 7 η mのパルスレーザ光を発生する F2 レーザ光源が使用されており、 露光光源 1 1 の射出端が照明系チャンバ 1の下部に取り付けられている。 露光時に露光光源 1 1から照明系チャンバ 1内に射出された露光光 I L (エネルギビーム) は、 ミラ 一 1 2で上方に反射され、 照明系の断面形状の整形と光量制御とを行うビーム整 形光学系 1 3を介してオプティカル ·インテグレーク (ホモジナイザー) として のフライアイレンズ (又はロッ ドレンズ) 1 4に入射する。 フライアイレンズ 1 4の射出面には開口絞り (不図示) が配置され、 フライアイレンズ 1 4から射出 されてその開口絞りを通過した露光光 I Lは、 ミラー 1 5によってほぼ水平方向 に反射されて、 リ レーレンズ 1 6を介して視野絞り (レチクルブラインド) 1 7 に達する。 In the illumination optical system unit 5, F 2 laser light source for generating a pulsed laser beam having a wavelength of 1 5 7 eta m in the vacuum ultraviolet region as the exposure light source 1 1 is used, the exit end of the exposure light source 1 1 is an illumination system Installed at the bottom of chamber 1. Exposure light IL (energy beam) emitted from the exposure light source 11 into the illumination system chamber 1 at the time of exposure is reflected upward by the mirror 112, and is used to adjust the cross-sectional shape of the illumination system and control the amount of light. The light enters the fly-eye lens (or rod lens) 14 as an optical integrake (homogenizer) via the shaped optical system 13. An aperture stop (not shown) is arranged on the exit surface of the fly-eye lens 14. Exposure light IL emitted from the fly-eye lens 14 and passing through the aperture stop is reflected by the mirror 15 in a substantially horizontal direction. The field stop (reticle blind) 17 is reached via the relay lens 16.
視野絞り 1 7の配置面は露光対象のレチクル Rのパターン面とほぼ共役であり、 視野絞り 1 7は、 そのパターン面での細長い長方形の照明領域の形状を規定する 固定ブラインドと、 走査露光の開始時及び終了時に不要な部分への露光を防止す るためにその照明領域を閉じる可動ブラインドとを備えている。 視野絞り 1 7を 通過した露光光 I Lは、 リ レーレンズ 1 8、 ミラー 1 9、 及び照明系チャンバ 1 の先端部に固定されたコンデンサレンズ系 2 0を介してレチクル Rのパターン面 上の長方形 (スリッ ト上) の照明領域を均一な照度分布で照明する。 露光光源 1 1〜コンデンサレンズ系 2 0により照明光学系部 5が構成され、 照明光学系部 5 内の露光光 I Lの光路、 すなわち露光光源 1 1からコンデンサレンズ系 2 0まで の光路が照明系チャンパ 1によって密閉されている。 なお、 照明光学系部 5と、 露光光源 1 1との間には、 照明光学系部 5の光軸と露光光源から射出される露光 光 I Lの光軸とを調整するためのビームマッチングユニット (不図示) が設けら れている。 また、 このビームマッチングユニットに、 振動等による光軸ずれを捕 正するための不図示の自動追尾機構を設けてもよい。 The arrangement surface of the field stop 17 is almost conjugate with the pattern surface of the reticle R to be exposed.The field stop 17 has a fixed blind that defines the shape of the elongated rectangular illumination area on the pattern surface, It has a movable blind that closes its illumination area to prevent exposure of unnecessary parts at the start and end. Exposure light IL that has passed through the field stop 17 passes through a relay lens 18, a mirror 19, and a condenser lens system 20 fixed to the tip of the illumination system chamber 1, and a rectangular shape on the reticle R pattern surface ( Illuminate the illumination area (on the slit) with a uniform illuminance distribution. Exposure light source 1 1 to condenser lens system 20 constitute illumination optics section 5, illumination optics section 5 The optical path of the exposure light IL, that is, the optical path from the exposure light source 11 to the condenser lens system 20 is sealed by the illumination system champer 1. In addition, between the illumination optical system unit 5 and the exposure light source 11, a beam matching unit (for adjusting the optical axis of the illumination optical system unit 5 and the optical axis of the exposure light IL emitted from the exposure light source) is used. (Not shown) is provided. Further, the beam matching unit may be provided with an automatic tracking mechanism (not shown) for correcting an optical axis shift due to vibration or the like.
こうした照明光学系部 5からの露光光 I Lのもとで、 レチクル Rの照明領域内 のパターンの像が投影光学系 P Lを介して所定の投影倍率 β ( βは例えば 1 / 4 , Under the exposure light IL from the illumination optical system section 5, an image of the pattern in the illumination area of the reticle R passes through the projection optical system PL to a predetermined projection magnification β (β is, for example, 1/4,
1ノ 5等) で、 感光材 (フォトレジスト) が塗布されたウェハ W上に投影される。 ウェハ Wは例えば半導体 (シリコン等) 又は S O I (silicon on insulator) 等 の円板状の基板である。 1-5), and projected onto the wafer W coated with photosensitive material (photoresist). The wafer W is a disk-shaped substrate such as a semiconductor (silicon or the like) or SOI (silicon on insulator).
ここで、 本例のように露光光 I Lが F 2 レーザ光である場合には、 透過率の良 好な光学硝材は蛍石.(C a F2 の結晶) 、 フッ素や水素等をドープした石英ガラ ス、 及ぴフッ化マグネシウム (M g F 2 ) 等に限られるため、 投影光学系 P Lを 屈折光学部材のみで構成して所望の結像特性 (色収差特性等) を得るのは困難で ある場合がある。 そのため、 投影光学系 P Lとして、 屈折光学部材と反射鏡とを 組み合わせた反射屈折系を採用してもよい。 以下、 影光学系 P Lの光軸 A Xと 交差する方向に X軸を取り、 図 2の紙面に垂直に Y軸を取って説明する。 本例の レチクル R上の照明領域は X方向に細長い長方形であり、 露光時のレチクル R及 びウェハ Wの走査方向は Y方向であるとする。 Here, the exposure light IL as in this example in the case of the F 2 laser beam is good good optical glass material of the transmittance was doped fluorite. (Crystal C a F 2), fluorine or hydrogen, etc. Since it is limited to quartz glass, magnesium fluoride (MgF 2 ), etc., it is difficult to obtain the desired imaging characteristics (chromatic aberration characteristics, etc.) by configuring the projection optical system PL with only refractive optical members. There may be. Therefore, a catadioptric system combining a refractive optical member and a reflecting mirror may be employed as the projection optical system PL. In the following description, the X axis is taken in a direction intersecting the optical axis AX of the shadow optical system PL, and the Y axis is taken perpendicular to the plane of FIG. The illumination area on the reticle R in this example is a rectangle elongated in the X direction, and the scanning direction of the reticle R and the wafer W during exposure is in the Y direction.
前記レチクル操作部 6において、 レチクル Rはレチクルステージ 2 1上に保持 されている。 このレチクルステージ 2 1は不図示のレチクルベース上で Y方向に レチクル Rを連続移動するとともに、 X方向、 Y方向及び回転方向に同期誤差を 低減させるようにレチクル Rを微小駆動する。 レチクルステージ 2 1の位置及ぴ 回転角は不図示のレーザ干渉計によつて高精度に計測され、 この計測値及び装置 全体の動作を統括制御するコンピュータよりなる主制御系 2 5からの制御情報に 基づいてレチクルステージ 2 1が駆動される。 レチクルステージ 2 1、 及び不図 示のレチクルベースゃレチクルローダ等からレチクル操作部 6が構成され、 レチ クノレ操作部 6内の露光光 I Lの光路、 すなわちコンデンサレンズ系 2 0から投影 光学系 P Lのレチクル側の光学部材までの光路がレチクル室 2によって密閉され ている。 In the reticle operating section 6, a reticle R is held on a reticle stage 21. The reticle stage 21 continuously moves the reticle R in the Y direction on a reticle base (not shown), and minutely drives the reticle R so as to reduce synchronization errors in the X, Y, and rotation directions. The position and the rotation angle of the reticle stage 21 are measured with high precision by a laser interferometer (not shown), and the measured values and control information from a main control system 25 composed of a computer that controls the overall operation of the apparatus. The reticle stage 21 is driven on the basis of. The reticle operation unit 6 is composed of a reticle stage 21 and a reticle base / reticle loader (not shown), and is projected from the optical path of the exposure light IL in the reticle operation unit 6, that is, from the condenser lens system 20. The optical path to the optical member on the reticle side of the optical system PL is sealed by the reticle chamber 2.
前記投影光学系 P Lにおいて、 不図示の複数の光学部材 (光学素子) が鏡筒 3 内に収納されており、 投影光学系 P Lのレチクル側の光学部材からウェハ側の光 学部材までの光路が鏡筒 3内に密閉されている。 なお、 後述するガス供給システ ム 8からの気体 (パージガス) は、 この投影光学系 P Lにおいて、 光学部材が面 する各密閉空間に供給されるようになっている。  In the projection optical system PL, a plurality of optical members (optical elements) (not shown) are housed in a lens barrel 3, and an optical path from the optical member on the reticle side of the projection optical system PL to the optical member on the wafer side is formed. It is sealed in the lens barrel 3. Note that a gas (purge gas) from a gas supply system 8 described later is supplied to each closed space facing the optical member in the projection optical system PL.
本例において、 投影光学系 P Lを構成する全ての屈折光学部材 (光学素子) に は蛍石 (C a F2 の結晶) を使用している。 また、 エネルギビームとしての 2レ 一ザ光の発振中心波長は 1 5 7 . 6 n mであり、 波長幅が 1 5 7 . 6 n m 土In this example, fluorite (C a F 2 crystal) is used for all refractive optical members (optical elements) constituting the projection optical system PL. The oscillation center wavelength of the two laser light as an energy beam is 157.6 nm, and the wavelength width is 157.6 nm.
1 0 p mの光に対して色収差が補正されているとともに、 球面収差、 非点収差、 及び歪曲収差などの諸収差も良好に補正されている。 Chromatic aberration has been corrected for the 10-pm light, and various aberrations such as spherical aberration, astigmatism, and distortion have been well corrected.
前記ウェハ操作部 7において、 ウェハ Wはウェハホルダ 2 2上の凹部よりなる 载置面に吸着保持され、 ウェハホルダ 2 2はウェハステージ 2 3上の凹部に固定 され、 ウェハステージ 2 3の表面 2 3 aはウェハ Wの表面及びウェハホルダ 2 2 の表面とともにほぼ同一平面上に配置されている。 これによつて、 ウェハ Wの表 面を気体が円滑に流れるようになつている。 ウェハステージ 2 3はウェハベース In the wafer operating section 7, the wafer W is suction-held on the mounting surface formed of the concave portion on the wafer holder 22, the wafer holder 22 is fixed to the concave portion on the wafer stage 23, and the surface 23a of the wafer stage 23 is formed. Are arranged on substantially the same plane as the surface of the wafer W and the surface of the wafer holder 22. This allows the gas to flow smoothly over the surface of the wafer W. Wafer stage 2 3 is wafer base
2 4上で Y方向にウェハ Wを連続移動する ともに、 X方向及び Y方向にウェハ Wをステップ移動する。 また、 ウェハステージ 2 3ば、 不図示のオートフォー力 スセンサによって計測されるウェハ Wの表面の光軸 A X方向の位置 (フォーカス 位置) の情報に基づいて、 オートフォーカス方式でウェハ Wの表面を投影光学系24. The wafer W is continuously moved in the Y direction on step 4, and the wafer W is step-moved in the X and Y directions. In addition, the wafer stage 23 projects the surface of the wafer W by an autofocus method based on information on a position (focus position) in the optical axis AX direction of the surface of the wafer W measured by an auto force sensor (not shown). Optical system
P Lの像面に合焦させる。 ウェハステージ 2 3の X方向、 Y方向の位置、 及ぴ X 軸の回りの回転角 (ピッチング量) 、 Y軸の回りの回転角 (ローリング量) 、 Z 軸の回りの回転角 (ョーイング量) は不図示のレーザ干渉計によって高精度に計 測され、 この計測値及び主制御系 2 5からの制御情報に基づいてウェハステージFocus on the image plane of PL. Position of wafer stage 23 in X and Y directions, rotation angle around X axis (pitching amount), rotation angle around Y axis (rolling amount), rotation angle around Z axis (jowing amount) Is measured by a laser interferometer (not shown) with high accuracy. Based on the measured values and control information from the main control system 25, the wafer stage
2 3が駆動される。 23 is driven.
また、 ウェハホルダ 2 2、 ウェハステージ 2 3、 及びウェハベース 2 4により ウェハ操作部 7が構成され、 ウェハ操作部 7の十 X方向に搬送系としてのウェハ ローダ等 (不図示) が配置されている。 さらに、 ウェハ操作部 7における露光光 I Lの光路、 すなわち投影光学系 P Lのウェハ側の光学部材からウェハベースま での光路がウェハ室 4によって密閉されている。 なお、 ウェハ室 4の一側面に開 閉扉を取り付け、 ウェハ Wを交換するときに、 その扉を開く構成としてもよい。 ここで、 前記ガス供給システム 8について図 1を参照して説明する。 The wafer operation unit 7 is configured by the wafer holder 22, the wafer stage 23, and the wafer base 24, and a wafer loader or the like (not shown) as a transfer system is arranged in the X direction of the wafer operation unit 7. . Furthermore, the exposure light in the wafer operation unit 7 The optical path of the IL, that is, the optical path from the optical member on the wafer side of the projection optical system PL to the wafer base is sealed by the wafer chamber 4. Note that an opening / closing door may be attached to one side surface of the wafer chamber 4, and the door may be opened when the wafer W is replaced. Here, the gas supply system 8 will be described with reference to FIG.
本実施形態のガス供給システム 8は、 パージガスとしての所定ガスを高純度な 状態で照明系チヤ:ンバ 1、 レチクル室 2、 鏡筒 3及びウェハ室 4の各内部空間 The gas supply system 8 according to the present embodiment is configured such that a predetermined gas serving as a purge gas is supplied in a highly pure state with the illumination system chamber: chamber 1, reticle chamber 2, lens barrel 3, and wafer chamber 4 in each internal space.
(以後、 各空間を総称してパージ空間 P Aと呼ぶ:; に供給するものであり、 本例 では上述した各パージ空間 P Aから回収した回収ガスを被精製ガスとして精製し て再利用するように構成されている。 パージガスとしては、 露光光 I Lに対して 透過率の高い不活性な気体、 すなわち吸光物質をほとんど含まない気体 (後述す る 「透過ガス」 ) が用いられる。 (Hereinafter, each space is collectively referred to as a purge space PA :). In this example, the recovered gas recovered from each purge space PA is purified as a gas to be purified and reused. As the purge gas, an inert gas having a high transmittance with respect to the exposure light IL, that is, a gas containing substantially no light absorbing substance (a “transmitted gas” described later) is used.
本例の露光光 I Lは波長 1 5 7 n mの真空紫外光であるため、 その露光光 I L に対する吸光物質としては、 酸素 (02 ) 、 水 (水蒸気: H20 ) 、 炭酸ガス (二酸化炭素: c o2 ) 、 有機物、 及びハロゲン化物等がある。 一方、 露光光 ISince the exposure light IL of this embodiment is the wavelength 1 5 7 nm vacuum ultraviolet light, as the light absorbing material relative to the exposure light IL, oxygen (0 2), water (water vapor: H 2 0), carbon dioxide (CO : Co 2 ), organic substances, and halides. On the other hand, the exposure light I
Lが透過する気体 (エネルギ吸収がほとんど無い物質) . としては、 窒素ガス (N 2 ) の他に、 ヘリ ウム (H e ) 、 ネオン (N e:) 、 アルゴン (A r ) 、 タリプト ン (K r ) 、 キセノン (X e ) 、 ラドン (R n ) よりなる希ガスがある。 以降、 この窒素ガス及ぴ希ガスをまとめて 「透過ガス」 と呼ぶことにする。 Gases through which L permeates (substances that hardly absorb energy) include nitrogen (N 2), helium (He), neon (Ne :), argon (Ar), and talliptone ( There is a rare gas consisting of Kr), xenon (Xe), and radon (Rn). Hereinafter, this nitrogen gas and rare gas will be collectively referred to as “permeated gas”.
ここで、 窒素ガスは波長が 1 5 0 n m毪度以下の光に対しては吸光物質として 作用し、 ヘリゥムガスは波長 1 0 0 n m程度まで透過性の気体として使用可能で ある。 また、 ヘリウムガスは熱伝導率が窒素ガスの約 6倍、 気圧変化に対する屈 折率の変動量が窒素ガスの約 1 Z 8であるため、 特に高透過率と光学系の結像特 性の安定性や冷却性とで優れている。  Here, nitrogen gas acts as a light-absorbing substance for light having a wavelength of 150 nm 1 or less, and helium gas can be used as a transmissive gas up to a wavelength of about 100 nm. In addition, helium gas has a thermal conductivity of about 6 times that of nitrogen gas, and the amount of change in the refractive index with respect to pressure change is about 1 Z8 of nitrogen gas. Excellent in stability and cooling.
本例では、 結像特性の安定性や冷却性等の観点より、 露光光 I Lが透過するパ ージガスとして、 ヘリウムガスを使用するものとする。 なお、 ヘリウムガスは高 価であるため、 露光ビームの 長が F2 レーザのように 1 5 0 n m以上である場 合には、 運転コストを低減させるためにパージガスとして窒素ガスを使用しても よい。 In this example, helium gas is used as the purge gas through which the exposure light IL passes, from the viewpoint of the stability of the imaging characteristics and the cooling property. Since helium gas is high value, the case is 1 5 0 nm or more as long the exposure beam is an F 2 laser, the use of nitrogen gas as a purge gas in order to reduce the operating costs Good.
また、 ガス供給システム 8は、 パージ空間 P Aにそれぞれ接続される供給用配 管 3 0及び回収用配管 3 1を有する循環経路 3 2と、 一端を供給用配管 3 0に連 結されかつ他端を回収用配管 3 1に連結される精製装置 3 3と、 精製装置 3 3で 精製されたパージガスを供給用配管 3 0を介してパージ空間 P Aに供給するため の供給装置 3 4と、 パージガスの温度を制御するための温調装置 3 5と、 パージ ガスとしてのヘリゥムガスを収容するガスボンベ 3 6と、 各装置を統括して制御 する前記主制御系 2 5とを含んで構成されている。 なお、 供給用配管 3 0及ぴ回 収用配管 3 1は、 各パージ空間 P Aに応じて複数に分岐しており、 供給用配管 3 0は、 流量調整可能なバルブ 3 7 A〜3 7 Dを介して各パージ空間 P Aに接続さ れている。 . The gas supply system 8 has a supply line connected to the purge space PA. A circulation path 32 having a pipe 30 and a collection pipe 31; a purification apparatus 33 having one end connected to the supply pipe 30 and the other end connected to the collection pipe 31; A supply device 34 for supplying the purge gas purified in step 3 to the purge space PA via a supply pipe 30, a temperature controller 35 for controlling the temperature of the purge gas, and a helium gas as the purge gas. It is configured to include a gas cylinder 36 to be housed and the main control system 25 for integrally controlling each device. The supply pipe 30 and the recovery pipe 31 are branched into a plurality according to each purge space PA, and the supply pipe 30 is provided with valves 37 A to 37 D that can adjust the flow rate. Connected to each purge space PA via .
精製装置 3 3は、 各パージ空間 P Aから回収されたパージガスから不純物、 す なわち上記吸光物質を取り除いて高純度のパージガス (ヘリウムガス) を精製す るものであり、 吸光物質を取り除くための複数 (ここでは 2つ) のガス精製器 4 0 , 4 1を有している。 この複数のガス精製器 4 0 , 4 1は、 下流側の端部を供 給用配管 3 0に上流側の端部を回収用配管 3 1にそれぞれ接続されるようになつ ており、 循環経路 3 2に対して並列かつ着脱自在に配設されている。  The purifying device 33 purifies high-purity purge gas (helium gas) by removing impurities, that is, the above-mentioned light-absorbing substance, from the purge gas collected from each purge space PA. (Here, two) gas purifiers 40 and 41 are provided. The plurality of gas purifiers 40 and 41 have a downstream end connected to a supply pipe 30 and an upstream end connected to a recovery pipe 31, respectively. It is arranged parallel to 32 and detachably.
また、 ガス精製器 4 0 , 4 1の下流には、 ガス精製器 4 0, 4 1で精製された ガスに含まれる吸光物質の濃度を計測するための計測装置 4 2が接続され、 この 計測装置 4 2の計測値が主制御系 2 5に供給されている。 計測装置 4 2としては、 例えば、 酸素濃度計、 水蒸気の濃度計としての露点計、 及び二酸化炭素のセンサ 等の濃度計又はそれらセンサを組み合わせた複合センサといったものが探用され る。 なお、 計測装置として、 質量分析計の類の装置や、 ガス精製器 4 0 , 4 1の 内部に電流を流してその電流値を計測することによりガスに含まれる吸光物質の 濃度を間接的に計測するセンサといったものでも同様の効果を得ることができる。 また、 各パージ空間 P Aにも、 この計測装置 4 2と同様の計測装置 4 3 A〜 4 3 Dを個々に独立して配設してもよい。 なお、 一つの計測装置を用意し、 各パージ 空間 P Aと一つの計測装置とを配管で接続するとともに、 各パージ空間 P Aと一 つの計測装置とを選択的に接続して、 パージ空間内の不純物濃度又はヘリゥムガ スの濃度を計測するようにしてもよい。  Further, downstream of the gas purifiers 40 and 41, a measuring device 42 for measuring the concentration of the light-absorbing substance contained in the gas purified by the gas purifiers 40 and 41 is connected. The measured value of the device 42 is supplied to the main control system 25. As the measuring device 42, for example, an oxygen concentration meter, a dew point meter as a water vapor concentration meter, a concentration meter such as a carbon dioxide sensor, or a composite sensor combining these sensors is used. As a measuring device, the concentration of the light-absorbing substance contained in the gas is indirectly measured by applying a current to the inside of the gas purifiers 40 and 41 and measuring the current value. The same effect can be obtained with a sensor for measurement. Also, in each purge space PA, measuring devices 43A to 43D similar to the measuring device 42 may be individually provided independently. In addition, one measuring device is prepared, and each purge space PA and one measuring device are connected by piping, and each purge space PA and one measuring device are selectively connected, so that impurities in the purge space are removed. The concentration or the concentration of helium gas may be measured.
—方、 ガス精製器 4 0 , 4 1の上流には、 計測装置 4 2による計測結果に基づ いて、 複数のガス精製器 4 0, 4 1のうちいずれか一つのガス精製器を回収用配 管 3 1に対して選択的に接続する切換装置 4 4が配設されている。 切換装置 4 4 としては、 例えば駆動装置を有する制御弁といったものが用いられる。 ここでは、 切換装置 4 4は、 計測装置 4 2で計測される所定の吸光物質 (本例では酸素、 水 蒸気及び二酸化炭素) の濃度が予め設定されている許容濃度を超えたときに、 主 制御系 2 5の指示に基づいて、 回収用配管 3 1に対してガス精製器 4 0 , 4 1の いずれか一方を切り換えて接続するようになっている。 計測装置 4 2で計測され る所定の吸光物質の濃度が高くなる状態としては、 長時間使用のためガス精製器 が劣化し、 ガス精製器におけるガスの精製能力が低下した場合が考えられる。 こ のように、 ガス精製器の精製能力が低下すると、 ガス精製器で除去することがで きなかった吸光物質が下流側に流出する。 したがって、 計測装置 4 2は、 ガス精 製器で除去できなかつた吸光物質の濃度を計測することによって、 ガス精製器の 精製能力を判断することができる。 On the other hand, upstream of the gas purifiers 40 and 41, based on the measurement results by the measuring device 42, In addition, a switching device 44 for selectively connecting any one of the gas purifiers 40 and 41 to the collection pipe 31 is provided. As the switching device 44, for example, a control valve having a driving device is used. Here, the switching device 44 operates when the concentration of a predetermined light absorbing substance (oxygen, water vapor, and carbon dioxide in this example) measured by the measuring device 42 exceeds a preset allowable concentration. Based on the instruction of the control system 25, one of the gas purifiers 40 and 41 is switched to and connected to the collection pipe 31. The state where the concentration of the predetermined light-absorbing substance measured by the measuring device 42 becomes high may be a case where the gas purifier deteriorates due to long-time use and the gas purifying ability of the gas purifier decreases. As described above, when the purification capacity of the gas purifier is reduced, the light-absorbing substance that cannot be removed by the gas purifier flows out downstream. Therefore, the measuring device 42 can determine the refining ability of the gas purifier by measuring the concentration of the light-absorbing substance that cannot be removed by the gas purifier.
また、 ガスボンベ 3 6には、 パージガスとしてのヘリウムガスが高純度の状態 で圧縮又は液化されて貯蔵されており、 バルブ 3 6 Aを開状態とすることにより 供給用配管 3 0内にヘリゥムガスが補充されるようになっている。  Helium gas as a purge gas is compressed or liquefied in a high-purity state and stored in the gas cylinder 36. Helium gas is replenished into the supply pipe 30 by opening the valve 36A. It is supposed to be.
続いて、 上記ガス供給システム 8によるガス供給方法について説明する。  Subsequently, a gas supply method by the gas supply system 8 will be described.
このガス供給システム 8では、 照明系チャンバ 1、 レチクル室 2、 鏡筒 3及び ウェハ室 4の各内部空間、 すなわちパージ空間 P Aを、 高純度な透過ガスとして のパージガス (ヘリウムガス) で満たすために、 供給装置 3 4を駆動して、 回収 用配管 3 1を介してパージ空間 P A内の気体及び吸光物質を回収するとともに、 精製装置 3 3によってこの回収ガスから高純度なパージガスを精製する。 そして、 精製したパージガスを温調装置 3 5によって温度制御した後、 そのパージガスを 供給用配管 3 0を介して露光装置のパージ空間 P A内に供給する。 なお、 パージ ガスを供給開始した時点において、 パージ空間 P A内にヘリウムガス (透過性ガ ス) が少ない場合には、 不図示の真空ポンプなどにより一旦パージ空間 P A内の 気体を^ 出した後、 ガスボンベ 3 6のバルブ 3 6 Aを開いてパージ空間 P A内に ヘリウムガスを供給したり、 あるいは、 パージ空間 P A内の気体を前記真空ボン プで排気しながら各パージ空間 P Aにヘリゥムガスを供給したりするとよレ、。 た だし、 パージガスを供 ί洽した時点では、 パージ空間 Ρ Α内の気 f本をガス精製装置 3 3に供給せずに、 外部排気することが望ましい。 このように構成することによ つて、 ガス精製装置 3 3の著しい性能劣化を防止することができる。 In this gas supply system 8, the interior space of the illumination system chamber 1, the reticle chamber 2, the lens barrel 3, and the wafer chamber 4, that is, the purge space PA, is filled with a purge gas (helium gas) as a high-purity permeation gas. The supply device 34 is driven to recover the gas and the light-absorbing substance in the purge space PA via the recovery pipe 31, and the purifying device 33 purifies a high-purity purge gas from the recovered gas. Then, after the temperature of the purified purge gas is controlled by the temperature controller 35, the purge gas is supplied into the purge space PA of the exposure apparatus via the supply pipe 30. When the supply of the purge gas is started, if the helium gas (permeable gas) is small in the purge space PA, the gas in the purge space PA is once discharged by a vacuum pump or the like (not shown). Helium gas is supplied into the purge space PA by opening the valve 36 A of the gas cylinder 36, or helium gas is supplied to each purge space PA while the gas in the purge space PA is exhausted by the vacuum pump. Then, Was However, when the purge gas is supplied, it is desirable that the gas in the purge space Ρ is not supplied to the gas purifier 33 but exhausted outside. With this configuration, it is possible to prevent the performance of the gas purifier 33 from being significantly deteriorated.
このとき、 ガス供給システム 8では、 計測装置 4 2によって計測される吸光物 質の濃度に基づいて、 使用するガス精製器を複数のガス精製器 (本実施形態では ガス精製器 4 0 , 4 1 ) の中から一つ選択する。 ここでは、 計測される吸光物質 の濃度が所定の許容濃度 (例えば体積比で 1 0 p p m) に達するまでは一つのガ ス精製器 (例えばガス精製器 4 0 ) を継続して使用し、 許容濃度を超えた時点で 他のガス精製器 (例えばガス精製器 4 1 ) に切り換えて使用する。 すなわち、 吸 光物質の濃度が所定の許容濃度を超えると、 主制御系 2 5の指示に基づいて切換 装置 4 4を駆動するこ'とにより、 それまでとは異なる別のガス精製器を回収用配 管 3 1に接続する。 これにより、 新たなガス精製器が循環経路' 3 2に対して開状 態となり、 そのガス精製器によって精製されたパージガスがパージ空間 P Aに供 給されるようになる。 一方、 の時点まで使用されていた元のガス精製器は、 切 換装置 4 4によって回収配管 3 1から切り離されることで、 循環経路 3. 2に対し て閉状態となり、 他のガス精製器でガス精製処理が実施されている間に、 精製能 力を回復するためのリフレッシュ処理が施される。  At this time, in the gas supply system 8, the gas purifier to be used is divided into a plurality of gas purifiers (in this embodiment, gas purifiers 40, 41) based on the concentration of the light absorbing substance measured by the measuring device 42. ) Select one from. Here, one gas purifier (for example, gas purifier 40) is continuously used until the concentration of the light-absorbing substance to be measured reaches a predetermined allowable concentration (for example, 10 ppm by volume). When the concentration is exceeded, switch to another gas purifier (for example, gas purifier 41) and use it. That is, when the concentration of the light-absorbing substance exceeds the predetermined allowable concentration, the switching device 44 is driven based on the instruction of the main control system 25 to collect another gas purifier different from the one before. Connect to piping 31. As a result, a new gas purifier is opened with respect to the circulation path '32, and the purge gas purified by the gas purifier is supplied to the purge space PA. On the other hand, the original gas purifier used up to the point is cut off from the recovery pipe 31 by the switching device 44, so that the gas purifier is closed with respect to the circulation path 3.2. While the gas purification process is being performed, a refresh process is performed to restore the purification capacity.
すなわち、 ガス精製器 4 0, 4 1の精製能力はガス精製処理に伴って徐々に低 下するものの、 一のガス精製器を使用している間に、 並列に配される他のガス精 製器の能力を Hi復する処理を行い、 精製後のパージガスに含まれる吸光物質の濃 度を許容濃度以下に管理することで、 パージ空間 P Aに対して高純度のパージガ スを滞りなく連続的に供給することができる。  In other words, although the purifying capacity of the gas purifiers 40 and 41 gradually decreases with the gas purifying process, other gas purifiers arranged in parallel while using one gas purifier are used. High-purity purge gas is continuously supplied to the purge space PA without delay by controlling the concentration of light-absorbing substances contained in the purified purge gas to below the permissible concentration. Can be supplied.
またこのとき、 主制御系 2 5では、 各パージ空間 P Aに配設される計測装置 4 3 A〜4 3 Dの計測結果に基づいて、 各パージ空間 P Aにおける吸光物質濃度を 監視しつつ、 各パージ空間 P Aへのパージガスの供給量を供給装置 3 4あるいは バルブ 3 7 A〜3 7 Dによつて制御する。 そして、 吸光物質の濃度が所定の許容 濃度以下になり、 各パージ空間 P Aが高純度のパージガスで満たされたことを確 認すると、 次の露光処理動作を実行する。  At this time, the main control system 25 monitors the concentration of the light-absorbing substance in each purge space PA based on the measurement results of the measuring devices 43A to 43D arranged in each purge space PA, The supply amount of the purge gas to the purge space PA is controlled by the supply device 34 or the valves 37A to 37D. Then, when it is confirmed that the concentration of the light-absorbing substance has become equal to or lower than the predetermined allowable concentration and each purge space PA has been filled with a high-purity purge gas, the next exposure processing operation is executed.
露光処理動作として、 上記構成の露光装置では、 図 2に示すレチクル Rとゥェ ハ Wとを一次元方向に同期移動させつつ、 レチクル Rに形成されたパターンを投 影光学系 P Lを介してウェハ Wの各ショット領域に転写する、 いわゆるステツ プ ' アンド 'スキャン方式の走査露光を行う。 これにより、 ウェハ W上の各ショ ット領域にレチクル Rのパターンの縮小象が順次転写される。 As the exposure processing operation, in the exposure apparatus having the above configuration, the reticle R shown in FIG. C) The so-called step-and-scan scanning exposure, in which the pattern formed on the reticle R is transferred to each shot area of the wafer W via the projection optical system PL while synchronizing the movement of W with the one-dimensional direction. I do. As a result, a reduced image of the pattern of the reticle R is sequentially transferred to each shot area on the wafer W.
このとき、 本実施形態の露光装置では、 上述したように、 ガス供給システム 8 によって、 露光光 I Lの光路中に配される各パージ空間 P A (照明系チャンバ 1、 レチクノレ室 2、 鏡筒 3及びウェハ室 4の各内部空間) を高純度な透過ガスとして のヘリウムガスで満たし、 吸光物質の濃度を低減する。 そのため、 本例のように 真空紫外光を露光光 I Lとして用いる場合にも、 吸光物質による露光光 I Lの減 衰が防止され、 露光光 I Lが十分な照度と十分な照度均一性で安定してウェハ W に到達するようになる。  At this time, in the exposure apparatus of the present embodiment, as described above, the gas supply system 8 allows the purge space PA (the illumination system chamber 1, the reticule chamber 2, the lens barrel 3, and the like) to be disposed in the optical path of the exposure light IL. Fill each internal space of the wafer chamber 4 with helium gas as a high-purity permeation gas to reduce the concentration of light-absorbing substances. Therefore, even when vacuum ultraviolet light is used as the exposure light IL as in this example, the attenuation of the exposure light IL due to the light absorbing substance is prevented, and the exposure light IL is stably provided with sufficient illuminance and sufficient illuminance uniformity. It reaches wafer W.
さらに、 ガス供給システム 8によって、 パージ空間 P Aに供給するパージガス に含まれる吸光物質め濃度を監視し、 所定の許容濃度 (例えば体積比で 1 0 p p m) 以下になるように管理することから、 各パージ空間 P Aにおいて、 透過率の 高い状態が安定して保たれる。 しかも、 パージ空間 P Aのガスを精製して再利用 するので、 ガスの消費量が少ない。  Furthermore, the gas supply system 8 monitors the concentration of the light-absorbing substance contained in the purge gas supplied to the purge space PA, and controls the concentration so as to be lower than a predetermined allowable concentration (for example, 10 ppm by volume). In the purge space PA, a high transmittance state is stably maintained. Moreover, since the gas in the purge space PA is purified and reused, the gas consumption is small.
また、 本実施形態の露光装置では、 ガス供給システム 8によって、 複数のガス 精製器 4 0 , 4 1のいずれかを選択的に用いることにより、 パージ空間 P Aに対 して高純度のパージガスを連続的に供給する。 そのため、 光路中の空間が常に髙 純度な透過ガスとしてのヘリゥムガスに満たされ、 連続的な露光処理動作が安定 して実施される。  In the exposure apparatus of the present embodiment, the gas supply system 8 selectively uses one of the plurality of gas purifiers 40 and 41 to continuously supply high-purity purge gas to the purge space PA. Supply. Therefore, the space in the optical path is always filled with the high-purity helium gas as a permeated gas, and the continuous exposure processing operation is stably performed.
次に、 本発明の第 2実施形態について図 3及び図 4を参照して説明する。  Next, a second embodiment of the present invention will be described with reference to FIGS.
第 2実施形態と第 1実施形態との主たる相違点は、 第 1実施形態のガス供給シ ステム 8は、 パージ空間 P Aからの回収ガスをすベて精製装置 3 3に流す構成で あるのに対して、 第 2実施形態のガス供給システム 5 0は、 所定の条件に応じて パージ空間 P Aからの回収ガスを外部に排出する構成となっていることである。 なお、 露光装置内のパージ空間 P Aとしては、 第 1実施形態と同様に、 例えば、 ウェハ室、 レチクル室、 光路中の空間 (特に光学素子が面する空間) が該当する。 すなわち、 本実施形態のガス供給システム 5 0は、 被精製ガスとしての回収ガ スに含まれる吸光物質 (不純物) の濃度を計測する計測装置 5 2と、 この計測装 置 5 2の計測結果に基づいてガス精製器 5 3 , 5 4の上流から外部に回収ガスを 排出するガス排出装置 5 5とを備えている。 ガス排出装置 5 5は、 第 1実施形態 で示した切換装置 4 4としての機能も有しており、 例えば図 4に示すように、 駆 動装置を内部に有する複数の制御弁 5 5 A〜 5 5 Cを組み合わせた構成 (例えば 三方弁 5 5 Aとストップ弁 5 5 B, 5 5 Cとを備える構成など) となっている。 また、 計測装置 5 2の計測結果は、 主制御系 2 5に送られ、 計測装置 5 2で計測 される所定の吸光物質 (酸素、 水蒸気及び二酸化炭素) の濃度が所定の許容濃度 を超えたときに、 主制御系 2 5の指示に基づいて、 制御弁 5 5 Aを切り換えて所 定ガスを排出配管 5 6を介して外部に排出するようになっている。 なお、 計測装 置 5 2としては、 第 1実施形態で説明した計測装置 4 2と同様のものが用いられ る。 The main difference between the second embodiment and the first embodiment is that the gas supply system 8 of the first embodiment has a configuration in which all the recovered gas from the purge space PA flows to the purification device 33. On the other hand, the gas supply system 50 of the second embodiment is configured to discharge the recovery gas from the purge space PA to the outside according to predetermined conditions. As in the first embodiment, the purge space PA in the exposure apparatus corresponds to, for example, a wafer chamber, a reticle chamber, and a space in an optical path (particularly, a space facing an optical element). That is, the gas supply system 50 of the present embodiment is provided with a recovery gas as a gas to be purified. Measuring device 52 that measures the concentration of light-absorbing substances (impurities) contained in the gas, and discharges the collected gas to the outside from the upstream of the gas purifiers 53 and 54 based on the measurement results of the measuring device 52 A gas discharge device 55 is provided. The gas discharge device 55 also has a function as the switching device 44 shown in the first embodiment. For example, as shown in FIG. 4, a plurality of control valves 55 A to 55 It has a configuration combining 55 C (for example, a configuration including a three-way valve 55 A and stop valves 55 B, 55 C). The measurement result of the measuring device 52 is sent to the main control system 25, and the concentration of the predetermined light absorbing substance (oxygen, water vapor, and carbon dioxide) measured by the measuring device 52 exceeds the predetermined allowable concentration. In some cases, the control valve 55 A is switched based on an instruction from the main control system 25 to discharge a predetermined gas to the outside through a discharge pipe 56. As the measuring device 52, the same device as the measuring device 42 described in the first embodiment is used.
こうしたガス供給システム 5 0を備える本実施形態の露光装置では、 回収ガス に含まれる吸光物質の濃度が所定の許容濃度 (例えば体積比で 1 0 0 p p m) 以 下のときには、 ガス排出装置 5 5によって回収ガスをガス精製器 5 3 , 5 4に流 す一方、 吸光物質の濃度が所定の許容濃度 (例えば体積比で 1 0 0 p p m) を超 えたときには、 主制御系 2 5の指示に基づいて、 ガス排出装置 5 5によって回収 ガスの流れの方向を切り換えて、 排出配管 5 6を介して回収ガスを外部に排出す る。 そのため、 例えば装置立ち上げ時やメンテナンス時など、 パージ空間 P Aが 過度に汚染されているような場合に、 その汚染された回収ガスがガス精製器 5 3、 5 4に流入するのを防止し、 ガス精製器の性能を安定的に維持することができる。 なお、 ガス排出装置 5 5によってガスを外部に排出する場合、 ガスボンベ 5 1の バルブ 5 1 Aを開いてパージガスをパージ空間 P Aに供給しておくのが好ましレ、。 また、 本実施形態では、 第 1実施形態と異なり、 所定ガスを補充するためのガ スボンべ 5 1がガス精製器 5 3, 5 4の上流側に接続されている。 そのため、 ガ スボンべ 5 1内に収容されたガスがある程度の純度 (数 p p mの吸光物質を含 む) を有している場合にも、 そのガスに対してガス精製処理を行うことになり、 ガスの補充に伴うパージ空間 P Aへの吸光物質の混入がさらに確実に抑制される。 すなわち、 ガスボンベ 5 1内に髙純度の所定ガスが収容されているにも関わらず、 ガスボンベ 5 1から精製装置 3 3に至る途中でその所定ガスに不純物が混入し、 所定ガスの純度が低下したとしても、 ガス精製器 5 3, 5 4によって、 その所定 ガスが高純度のガスに精製される。 また、 一般に、 純度の高いガスは高価である。 そこで、 コストの低減化を図ることを目的として、 高純度のガスよりも数%程度 純度の低いガスを有するガスボンベを購入し、 そのガスをガス精製器 5 3 , 5 4 で精製して、 高純度のガスをパージ空間 P Aに供給するといったことも可能とな る。 In the exposure apparatus of this embodiment including such a gas supply system 50, when the concentration of the light absorbing substance contained in the collected gas is lower than a predetermined allowable concentration (for example, 100 ppm by volume ratio), the gas discharge device 55 When the concentration of the light-absorbing substance exceeds a predetermined allowable concentration (for example, 100 ppm in volume ratio) while the collected gas is passed through the gas purifiers 53 and 54 by the Then, the direction of the flow of the recovered gas is switched by the gas discharge device 55, and the recovered gas is discharged to the outside via the discharge pipe 56. For this reason, when the purge space PA is excessively contaminated, for example, when starting up the apparatus or performing maintenance, it is possible to prevent the contaminated recovered gas from flowing into the gas purifiers 53, 54, The performance of the gas purifier can be stably maintained. When the gas is discharged to the outside by the gas discharge device 55, it is preferable to open the valve 51A of the gas cylinder 51 and supply the purge gas to the purge space PA. Further, in the present embodiment, unlike the first embodiment, a gas cylinder 51 for replenishing a predetermined gas is connected to the upstream side of the gas purifiers 53, 54. Therefore, even if the gas contained in the gas cylinder 51 has a certain degree of purity (including a few ppm of light-absorbing substance), the gas must be subjected to gas purification. Mixing of the light absorbing substance into the purge space PA due to the replenishment of the gas is more reliably suppressed. That is, despite the fact that a predetermined gas of high purity is stored in the gas cylinder 51, Even if impurities are mixed into the predetermined gas on the way from the gas cylinder 51 to the purifier 33, the purity of the predetermined gas is reduced, the gas purifiers 53, 54 convert the predetermined gas into high-purity gas. Purified. In addition, high purity gas is generally expensive. Therefore, for the purpose of cost reduction, a gas cylinder containing a gas with a purity that is several percent lower than that of a high-purity gas is purchased, and the gas is purified by gas purifiers 53 and 54. Purity gas can also be supplied to the purge space PA.
次に、 本発明の第 3実施形態について図 5を参照して説明する。  Next, a third embodiment of the present invention will be described with reference to FIG.
第 3実施形態のガス供給システム 6 0は、 上述した各実施形態と異なり、.供給 用配管 6 1内を流れるパージガスの圧力変動を抑制するための脈動抑制装置 6 2 を備えている。  The gas supply system 60 of the third embodiment is different from each of the above-described embodiments, and includes a pulsation suppressor 62 for suppressing pressure fluctuation of the purge gas flowing in the supply pipe 61.
脈動抑制装置 6 1は、 流体のエネルギを拡散もしくは他の物体に吸収させるこ とにより、 配管内を流れるガスの圧力変動、 特に短い周期で発生する配管內の脈 動を抑制するものであり、 ここでは、 供給用配管 6 1の流路を広げるように所定 の容積で形成される脈動防止タンクが用いられる。 なお、 脈動抑制装置 6 1とし ては、 このタンク状の形態に限るものでなく、 様々な形態のものが適用可能であ ることは言うまでもない。  The pulsation suppressor 61 suppresses pressure fluctuation of gas flowing in the pipe, particularly pulsation of the pipe す る generated in a short cycle, by diffusing the energy of the fluid or absorbing the energy into other objects. Here, a pulsation prevention tank formed with a predetermined volume so as to widen the flow path of the supply pipe 61 is used. It is needless to say that the pulsation suppressing device 61 is not limited to the tank-shaped form, but various forms can be applied.
こうしたガス供給システム 6 0を備える本実施形態の露光装置では、 脈動抑制 装置によつてパージ空間 P Aに供給するパージガスの圧力変動が抑制されるので、 パージガスの供給に伴う各パージ空間 P A内の圧力変化が小さい。 露光装置では、 一般に、 光路中の空間において気圧が変化すると光の屈折率が変化するなどによ り、 光学特性に影響を及ぼす恐れがある。 したがって、 圧力変化を抑制してパー ジ空間 P Aの環境を一定に保つことで、 より安定的に露光光が基板に到達するよ うになる。 また、 露光装置は、 所定空間内の気圧を一定に保っための補正装置を 備える場合があるが、 短い周期の圧力変動には対応が困難であるため、 こうした 脈動抑制装置を備えることは効果的である。 ここでは、 供給用配管 6 1内を流れ るガスの圧力変動を 3 mmH g以下に抑制することにより、 露光装置の光学特性 に及ぼすガスの圧力変動の影響を確実に防止することが可能となる。  In the exposure apparatus of the present embodiment including such a gas supply system 60, since the fluctuation of the pressure of the purge gas supplied to the purge space PA is suppressed by the pulsation suppressor, the pressure in each purge space PA due to the supply of the purge gas is reduced. The change is small. In an exposure apparatus, in general, when the atmospheric pressure changes in the space in the optical path, the refractive index of light changes, which may affect the optical characteristics. Therefore, by controlling the pressure change and keeping the environment of the purge space PA constant, the exposure light can reach the substrate more stably. In addition, the exposure apparatus may be equipped with a correction device for keeping the atmospheric pressure in a predetermined space constant.However, it is difficult to cope with a short-period pressure fluctuation, so it is effective to provide such a pulsation suppression device. It is. Here, by suppressing the pressure fluctuation of the gas flowing in the supply pipe 61 to 3 mmHg or less, it is possible to reliably prevent the influence of the gas pressure fluctuation on the optical characteristics of the exposure apparatus. .
また、 圧力変動の原因は、 主としてパージガスをパージ空間 P Aに供給するた めの供給装置 6 3 (例えばポンプ) による場合が多く、 脈動抑制装置 6 2は少な くともこの供給装置 6 3の下流に配される必要がある。 図 5に示す本実施形態で は、 供給装置 6 3以外の機器 (例えば精製装置 6 4 ) を原因とする圧力変動も抑 制することを目的として、 精製装置 6 4の下流に脈動抑制装置 6 2を配している。 このように、 露光装置のパージ空間 P Aの直前に脈動抑制装置 6 2を配すること で、 ガス供給システム 6 0を起因とするガスの圧力変動を効果的に抑制すること が可能となる。 なお、 図 5では、 温調精度の向上を図るために、 温調装置 6 5の 上流側に脈動抑制装置 6 2を配しているが、 温調装置 6 5によって圧力変動が生 じる場合には、 温調装置 6 5の下流に脈動抑制装置 6 2を配するとよい。 The cause of the pressure fluctuation is mainly due to the supply of purge gas to the purge space PA. In many cases, the supply device 63 (for example, a pump) is used, and the pulsation suppressing device 62 needs to be arranged at least downstream of the supply device 63. In the present embodiment shown in FIG. 5, in order to suppress pressure fluctuations caused by devices other than the supply device 63 (for example, the purification device 64), a pulsation suppressing device 6 is provided downstream of the purification device 64. Two are arranged. Thus, by disposing the pulsation suppressor 62 immediately before the purge space PA of the exposure apparatus, it is possible to effectively suppress the gas pressure fluctuation caused by the gas supply system 60. In FIG. 5, the pulsation suppressor 62 is arranged upstream of the temperature controller 65 in order to improve the temperature control accuracy. In this case, a pulsation suppressor 62 may be disposed downstream of the temperature controller 65.
なお、 上述した各実施形態において示した各構成部材の諸形状や組み合わせ、 および手順等は一例であって、 本発明の主旨から逸脱しなレ、範囲において設計要 求等に基づき種々変更可能である。 本発明は、 例えば以下のような変更をも含む ものとする。  The various shapes, combinations, procedures, and the like of the constituent members shown in each of the above-described embodiments are merely examples, and various changes can be made based on design requirements and the like without departing from the gist of the present invention. is there. The present invention includes, for example, the following changes.
上記各実施形態では、 精製装置に対して 2つのガス精製器を設けている力 S、 こ れに限るものではなく、 3以上でもよく、 精製能力に応じて適宜定められる。 ま た、 上記各実施形態では、 複数のガス精製器を循環経路に対して並列に配してい るため、 一を使用中に他のガス精製器の精製能力を回復させることができるとい う利点を有している。 しかしながら本発明はこれに限らず、 循環経路に対して複 数のガス精製器を直列に並べてもよい。 この場合、 パージガスに含まれる吸光物 質の濃度をさらに低減させることが可能となる。 また、 異なる精製能力のガス精 製器をその能力の低い順に上流から直列に並べることで、 高い精製能力を有する ガス精製器に汚染の著しレ、回収ガスが流れるのを防止することが可能となる。 例 えば装置立ち上げ時ゃメンテナンス時など、 パージ空間 P Aが過度に汚染されて いるような場合に、 その汚染された回収ガスがガス精製器 5 3 , 5 4に流入する のを防止することが可能である。  In each of the above embodiments, the force S at which two gas purifiers are provided for the purifier is not limited to this, and may be 3 or more, which is appropriately determined according to the refining capacity. Further, in each of the above embodiments, since a plurality of gas purifiers are arranged in parallel with respect to the circulation path, the advantage that one gas purifier can recover the refining ability of another gas purifier during use. have. However, the present invention is not limited to this, and a plurality of gas purifiers may be arranged in series with respect to the circulation path. In this case, the concentration of the light absorbing substance contained in the purge gas can be further reduced. In addition, by arranging gas purifiers with different purification capacities in series from the upstream in ascending order of their capacity, it is possible to prevent contamination of the gas purifiers with high purification capacities and the flow of collected gas. Becomes If the purge space PA is excessively contaminated, for example, during equipment startup or during maintenance, it is necessary to prevent the contaminated recovered gas from flowing into the gas purifiers 53, 54. It is possible.
また、 上記各実施形態では、 計測装置で計測される吸光物質の濃度が所定の許 容濃度を超えたときに、 使用するガス精製器を変えるように制御しているが、 こ れに限るものではなく、 予め入力された所定時間ごとに、 使用するガス精製器を 変えてもよい。 さらに、 計測装置で計測される吸光物質の濃度に基づいて、 性能 の高いガス精製器を優先して用いてもよい。 また、 光学部材の透過率もしくは反 射率を計測する計測装置を備えておき、 この計測装置の計測結果に基づいて、 使' 用するガス精製を変えるように構成してもよい。 In the above embodiments, when the concentration of the light-absorbing substance measured by the measuring device exceeds a predetermined allowable concentration, control is performed so that the gas purifier to be used is changed. Instead, the gas purifier to be used may be changed every predetermined time that is input in advance. Furthermore, based on the concentration of the light absorbing substance measured by the measuring device, May be preferentially used. Further, a measuring device for measuring the transmittance or the reflectance of the optical member may be provided, and the gas purification to be used may be changed based on the measurement result of the measuring device.
また、 上記各実施形態では、 複数のガス精製器をガス供給システムに取り付け ているものの、 実際に回収用配管に接続されているガス精製器は一台である。 そ こで、 性能が劣化したガス精製器と、 未使用のガス精製器とを切換装置で切り換 えて性能が劣化したガス精製器をリフレッシュまたはメンテナンスするにあたり、 対象となるガス精製器を識別する識別機構を設けてもよレ、。 すなわち、 例えば、 ガス精製器に予め付された識別番号を識別機構が読み取って、 その識別番号に対 応する信号を主制御計に送出するとともに、 その信号に基づいて主制御系が所定 のモニタにその識別番号を表示することにより、 対象となるガス精製器をメンテ ナンス作業者に報知するように構成してもよレ、。 '  In each of the above embodiments, a plurality of gas purifiers are attached to the gas supply system, but only one gas purifier is actually connected to the collection pipe. Therefore, when switching between a gas purifier whose performance has deteriorated and an unused gas purifier with a switching device, and refreshing or maintaining the gas purifier whose performance has deteriorated, the target gas purifier is identified. An identification mechanism may be provided. That is, for example, the identification mechanism reads the identification number given to the gas purifier in advance, sends a signal corresponding to the identification number to the main controller, and based on the signal, the main control system performs predetermined monitoring. Alternatively, the identification number may be displayed on the display to notify the maintenance worker of the target gas purifier. '
また、 上記実施例では、 露光装置を稼動させる前に、 予めガス供給システムに 複数のガス精製器を取り付けておき、 計測装置によつて計測される吸光物質の濃 度に基づいて、 使用するガス精製器の一つを選択する場合について説明した。 し かしながら、 本発明は、 上述した実施形態に限らず、 例えば次に説明する形態な ど、 種々の変形例を含む。 すなわち、 例えば、 露光装置を可動させる前の段階で は、 ガス供給システムに、 一台のガス精製器を取り付けておき、 所定の時間 (こ こでは、 ガス精製器の精製能力が低下する時間) が経過するまで、 このガス精製 器でパージ空間から回収されるガスを精製しておき、 所定の時間が経過した後に、 リフレッシュしたガス精製器又は新しい (未使用の) ガス精製器をガス供給シス テムに取り付け、 この取り付け後に、 切換装置を駆動させて、 最初に取り付けら れていたガス精製器から、 新たに取り付けられたガス精製器に切り換えてそれを 回収用配管に接続するように構成してもよい。 .  In the above embodiment, a plurality of gas purifiers are attached to the gas supply system before operating the exposure apparatus, and the gas used is determined based on the concentration of the light absorbing substance measured by the measuring apparatus. The case where one of the purifiers is selected has been described. However, the present invention is not limited to the above-described embodiment, but includes various modifications such as, for example, the form described below. That is, for example, before moving the exposure apparatus, one gas purifier is attached to the gas supply system for a predetermined period of time (in this case, the period during which the purifying ability of the gas purifier decreases). The gas recovered from the purge space is purified by this gas purifier until the gas elapses, and after a predetermined time, the refreshed gas purifier or a new (unused) gas purifier is supplied to the gas supply system. After installation, the switching device is driven to switch from the gas purifier that was initially installed to the newly installed gas purifier and connect it to the collection pipe. You may. .
また、 照明光学系及び投影光学系を構成する光学素子の空間毎に、 透過ガスの 供給配管及び排気配管を設けてパージを実施してもよい。  Further, a purge pipe may be provided by providing a supply gas supply pipe and an exhaust pipe for each space of the optical elements constituting the illumination optical system and the projection optical system.
また、 例えば図 1の照明系チャンパ 1、 レチクノレ室 2、 鏡筒 3、 ウェハ室 4の 空間において、 吸光物質の濃度管理をそれぞれ異なる値で行うようにしてもよい。 また、 本実施形態における精製装置は、 1台の露光装置に対して、 1台の精製 装置を備える構成であるが、 複数台の露光装置に対して、 1台の精製装置を設け てもよい。 この場合、 半導体製造向上における精製装置のコストを抑えることが でき、 また、 精製装置の設定面積を小ざくすることができる。 In addition, for example, in the space of the illumination system champer 1, the reticle chamber 2, the lens barrel 3, and the wafer chamber 4 in FIG. Further, the refining apparatus in the present embodiment is configured such that one refining apparatus is used for one refining apparatus. Although the configuration includes an apparatus, one refining apparatus may be provided for a plurality of exposure apparatuses. In this case, it is possible to reduce the cost of the refining device in improving semiconductor production, and to reduce the set area of the refining device.
さらに、 本実施形態では、 照明系チャンバ 1、 レチクル室 2、 鏡筒 3、 ウェハ 室 4の各空間に同じパージガス (ヘリウム) を供給する構成について説明したが、 各空間のガスの種類を変えてもよい。 例えば、 鏡筒 3の空間にヘリウムを供給し、 他の空間に窒素を供給してもよい。 この場合は、 ヘリウムを供給する鏡筒に対し て、 本実施形態のガス供給システムを設ければよい。 このように、 各空間毎にガ スの種類を変えた場合には、 供給するガスのコストを考慮し、 高価なガスが供給 される空間に対して、 本実施形態のガス供給システムを設ければ良い。 すなわち、 ガスの種類によっては、 本実施形態のガス供給システムを設ける必要がない場合 も考えられる。  Furthermore, in the present embodiment, the configuration in which the same purge gas (helium) is supplied to each space of the illumination system chamber 1, the reticle chamber 2, the lens barrel 3, and the wafer chamber 4 has been described. Is also good. For example, helium may be supplied to the space of the lens barrel 3 and nitrogen may be supplied to another space. In this case, the gas supply system of the present embodiment may be provided for the lens barrel that supplies helium. As described above, when the type of gas is changed for each space, the gas supply system of the present embodiment is provided for a space to which an expensive gas is supplied in consideration of the cost of the supplied gas. Good. That is, depending on the type of gas, it may not be necessary to provide the gas supply system of the present embodiment.
また、 照明光学系や投影光学系を構成する光学素子の空間毎に吸光物質の濃度 管理を行ってもよい。  Further, the concentration of the light absorbing substance may be controlled for each space of the optical element constituting the illumination optical system or the projection optical system.
また、 上記の各実施形態では、 透過ガスとしてヘリウム (H e ) を想定してい るが、 窒素 (N2 ) 、 又は希ガス (アルゴン (A r ) 等) などの不活性ガスはい ずれも真空紫外域の光の吸収量が小さく、 特に F 2 レーザ光に対する吸収量はほ とんど無視できるほど小さい。 したがって、 上記の実施形態において、 いずれの 不活生ガスを用いてもよい。 In each of the above embodiments, helium (He) is assumed as the permeated gas. However, any inert gas such as nitrogen (N 2 ) or a rare gas (argon (Ar), etc.) is evacuated. The absorption of light in the ultraviolet region is small, especially the absorption of F 2 laser light is so small that it can be ignored. Therefore, in the above embodiment, any inert gas may be used.
また、 上記の実施形態では、 ウェハステージ 2 3の表面 2 3 aをウェハ Wの表 面とほぼ同一平面としているため、 ガスの流れが一様に層流に近レ、状態となり、 効率よくウェハ Wからの脱ガスを排除することができる。 しかしながら、 本発明 はウェハステージの上面とウェハの表面との間に段差が有る場合でも有効である ことは言うまでもない。  Further, in the above embodiment, since the surface 23 a of the wafer stage 23 is substantially flush with the surface of the wafer W, the gas flow is uniformly close to the laminar flow, and the wafer is efficiently processed. Degassing from W can be eliminated. However, it goes without saying that the present invention is effective even when there is a step between the upper surface of the wafer stage and the surface of the wafer.
また、 光路中から吸光物質を排除するには、 予め構造材料表面からの脱ガス量 を低減する処置を施しておくことが好ましい。 例えば、 (1 ) 構造材料の表面積 を小さくする、 (2 ) 構造材料表面を機械研磨、 電解研磨、 ノ ル研磨、 化学研磨、 又は G B B (Glass Beads Blasting) といった方法によって研磨し、 構造材料の 表面粗さを低減しておく、 (3 ) 超音波洗浄、 クリーンドライエア等の流体の吹 き付け、 真空加熱脱ガス (ベーキング) などの手法によって、 構造材料表面を洗 浄する、 (4) 炭化水素やハロゲン化物を含む電線被膜物質ゃシ一ル部材 (Oリ ング等) 、 接着剤等を光路空間に可能な限り設置しない、 等の方法がある。 また、 図 1において照明系チャンバ 1や、 ウェハ室 4を構成する筐体 (筒状体 等も可) や、 ヘリウムガス等を供給する配管は、 不純物ガス (脱ガス) の少ない 材料、 例えばステンレス鋼、 四フッ化工チレン、 テトラフルォロエチレン一テル フルォロ (アルキルビエルエーテル) 、 又はテトラフルォロエチレン一へキサフ ルォロプロペン共重合体等の各種ポリマーで形成することが望ましい。 Further, in order to exclude the light absorbing substance from the optical path, it is preferable to perform a treatment for reducing the amount of outgas from the surface of the structural material in advance. For example, (1) the surface area of the structural material is reduced, (2) the surface of the structural material is polished by mechanical polishing, electrolytic polishing, no polishing, chemical polishing, or GBB (Glass Beads Blasting). Reduce roughness, (3) Blow fluid such as ultrasonic cleaning and clean dry air Clean the surface of structural materials by techniques such as baking, vacuum heating degassing (baking), etc. (4) Electric wire coating materials containing hydrocarbons and halides ゃ Seal members (O-rings, etc.), adhesives Are not installed in the optical path space as much as possible. Also, in FIG. 1, the casing (a cylindrical body or the like) constituting the illumination system chamber 1 and the wafer chamber 4 and the piping for supplying helium gas and the like are made of a material with a small amount of impurity gas (degas), for example, stainless steel. It is desirable to use various polymers such as steel, tetrafluoroethylene, tetrafluoroethylene-terfluoro (alkyl biel ether), or tetrafluoroethylene-hexafluoropropene copolymer.
また、 各筐体内の駆動機構 (レチクルブラインドやステージ等) などに電力を 供給するケーブルなども、 同様に上述した不純物ガス (脱ガス) の少ない材料で 被服することが望ましい。 )  Similarly, it is desirable that the cables for supplying electric power to the drive mechanisms (reticle blinds, stages, etc.) in each housing are also covered with the above-mentioned material with a small amount of impurity gas (degas). )
なお、 本実施の形態におけるガス精製器としては、 不活性ガス中に混入してい る酸素 (02 ) 、 一酸化炭素 (CO) 、 炭酸ガス (C02 ) 、 水素 (H2 ) 及び 水分 (H20 ) 等の不純物を、 触媒及び吸着剤による化学吸着及び物理吸着によ り吸着除去し、 不活性ガスを超高純度に精製するものを使用することができる。 例えば、 日本バイォニタス社の不活性ガス精製装置 U I P— E を使用すること ができる。 As the gas purifier of the present embodiment, the oxygen that has been mixed in the inert gas (0 2), carbon monoxide (CO), carbon dioxide (C0 2), hydrogen (H 2) and water ( It is possible to use one that removes impurities such as H 20 ) by chemical adsorption and physical adsorption with a catalyst and an adsorbent, and purifies an inert gas to ultra-high purity. For example, the inert gas purifier UIP-E of Vionitas Japan can be used.
なお、 本発明は走査露光型の露光装置のみならず、 一括露光型 (ステッパー 型) の露光装置等にも適用できることは明らかである。 これらに備えられる投影 光学系は、 上記の実施形態のような反射屈折系のみならず、 屈折系や反射系であ つてもよい。 さらに、 投影光学系の倍率は縮小倍率のみならず、 等倍や拡大であ つてもよレヽ。 - また、 本発明はエネルギビームとして、 A r Fエキシマレーザ光 (波長 193 nm) を使用する場合や、 Kr2 レーザ光 (波長 146 nm) , Ar2 レーザ光It is apparent that the present invention can be applied not only to a scanning exposure type exposure apparatus but also to a batch exposure type (stepper type) exposure apparatus. The projection optical system provided in these may be not only a catadioptric system as in the above embodiment, but also a dioptric system or a catoptric system. Furthermore, the magnification of the projection optical system may be not only a reduction magnification but also an equal magnification or an enlargement. - Further, as the present invention is an energy beam, and when using the A r F excimer laser beam (wavelength 193 nm), Kr 2 laser beam (wavelength 146 nm), Ar 2 laser beam
(波長 126 nm) 、 YAGレーザ等の高調波、 又は半導体レーザの高調波等の 波長が 200 n m〜 100 nm程度の真空紫外光、 すなわち酸素に対する吸収の 大きい波長域の光を使用する場合に特に有効である。 (Wavelength 126 nm), especially when using vacuum ultraviolet light with a wavelength of about 200 nm to 100 nm, such as a harmonic of a YAG laser or a harmonic of a semiconductor laser, that is, a light in a wavelength range where absorption to oxygen is large. It is valid.
また、 エキシマレーザや F。 レーザ等の代わりに、 DFB (Distributed feed back:分布帰環型) 半導体レーザ又はファイバーレーザから発振される赤外域、 又は可視域の単一波長レーザを、 例えばエルビウム (E r) (又はエルビウムと イッテルビウム (Yb) との両方) がドープされたファイバーアンプで増幅し、 非線形光学結晶を用いて紫外光に波長変換した高調波を用いてもよい。 Also excimer laser or F. DFB (Distributed feed back) instead of laser, etc. Infrared region oscillated from semiconductor laser or fiber laser, Alternatively, a single-wavelength laser in the visible region is amplified by a fiber amplifier doped with, for example, erbium (Er) (or both erbium and ytterbium (Yb)), and wavelength-converted to ultraviolet light using a nonlinear optical crystal. Higher harmonics may be used.
例えば、 単一波長レーザの発振波長を 1. 544〜1. 553 mの範囲内と すると、 1 93〜194 nmの範囲内の 8倍高調波、 すなわち A r Fエキシマレ 一ザとほぼ同一波長となる紫外光が得られ、 発振波長を 1. 57〜1. 58 μπι の範囲内とすると、 157〜158 nmの範囲内の 10倍高調波、 すなわち F2 レーザとほぼ同一波長となる紫外光が得られる。 For example, if the oscillation wavelength of a single-wavelength laser is in the range of 1.544 to 1.553 m, the 8th harmonic in the range of 193 to 194 nm, that is, almost the same wavelength as the ArF excimer laser Assuming that the oscillation wavelength is in the range of 1.57 to 1.58 μπι, the 10th harmonic in the range of 157 to 158 nm, that is, the ultraviolet light having substantially the same wavelength as the F 2 laser, can get.
さらに、 発振波長を 1. 03〜1. 12 πιの範囲内とすると、 発生波長が 1 47〜 160 nmの範囲内である 7倍高調波が出力され、 特に発振波長を 1.' 0 Furthermore, if the oscillation wavelength is in the range of 1.03 to 1.12 πι, a 7th harmonic whose output wavelength is in the range of 147 to 160 nm is output.
9〜1. 106 μπιの範囲内とすると、 発生波長が 157〜1 58 nmの範囲内 である 7倍高調波、 すなわち F2 レーザとほぼ同一波長となる紫外光が得られる。 この場合の単一波長発振レーザとしては、 例えばィッテルビウム · ドープ ·ファ ィパーレーザを用いることができる。 If the wavelength is in the range of 9 to 1.106 μπι, an ultraviolet light having a seventh harmonic whose generation wavelength is in the range of 157 to 158 nm, that is, almost the same wavelength as the F 2 laser can be obtained. As the single-wavelength oscillation laser in this case, for example, a ytterbium-doped fiber laser can be used.
また、 露光装置の用途としては半導体製造用の露光装置に限定されることなく、 例えば、 角型のガラスプレートに液晶表示素子パターンを露光する液晶用の露光 装置や、 薄膜磁気へッドを製造するための露光装置にも広く適当できる。  In addition, the use of the exposure apparatus is not limited to an exposure apparatus for manufacturing semiconductors. For example, an exposure apparatus for liquid crystal, which exposes a liquid crystal display element pattern on a square glass plate, and a thin film magnetic head are manufactured. It can be widely applied to an exposure apparatus for performing the above.
また、 ウェハステージゃレチクルステージにリニアモータを用いる場合は、 ェ ァベアリングを用いたエア浮上型およびローレンツ力またはリアクタンス力を用 いた磁気浮上型のどちらを用いてもいい。 また、 ステージは、 ガイドに沿って移 動するタイプでもいいし、 ガイドを設けないガイドレスタイプでもよい。  When a linear motor is used for the wafer stage and reticle stage, either an air levitation type using an eye bearing or a magnetic levitation type using Lorentz force or reactance force may be used. The stage may be a type that moves along a guide or a guideless type that does not have a guide.
また、 ステージの駆動装置として平面モータを用いる場合、 磁石ユニット (永 久磁石) と電機子ユニットのいずれか一方をステージに接続し、 磁石ユニットと 電機子ユニットの他方をステージの移動面側 (ベース) に設ければよい。  When a planar motor is used as the stage driving device, one of the magnet unit (permanent magnet) and the armature unit is connected to the stage, and the other of the magnet unit and the armature unit is connected to the stage moving surface (base). ).
また、 ウェハステージの移動により発生する反力は、 特開平 8— 166475 号公報に記載されているように、 フレーム部材を用いて機械的に床 (大地) に逃 がしてもよレ、。 本発明は、 このような構造を備えた露光装置においても適用可能 である。  Also, the reaction force generated by the movement of the wafer stage may be mechanically released to the floor (ground) using a frame member, as described in JP-A-8-166475. The present invention is also applicable to an exposure apparatus having such a structure.
また、 レチクルステージの移動により発生する反力は、 特開平 8— 33022 4号公報に記載されているように、 フレーム部材を用いて機械的に床 (大地),, に 逃がしてもよい。 本発明は、 このような構造を備えた露光装置においても適用可 能である。 Also, the reaction force generated by the movement of the reticle stage is disclosed in Japanese Patent Application Laid-Open No. 8-33022. As described in Japanese Unexamined Patent Publication No. 4 (Kokai) No. 4, the material may be mechanically released to the floor (ground), using a frame member. The present invention is also applicable to an exposure apparatus having such a structure.
以上のように、 本願実施形態の露光装置は、 本願特許請求の範囲に挙げられた 各構成要素を含む各種サブシステムを、 所定の機械的精度、 電気的精度、 光学的 精度を保つように、 組み立てることで製造される。 これら各種精度を確保するた めに、 この組み立ての前後には、 各種光学系については光学的精度を達成するた めの調整、 各種機械系については機械的精度を達成するための調整、 各種電気系 については電気的精度を達成するための調整が行われる。 各種サブ、 ステムから 露光装置への組み立て工程は、 各種サブシステム相互の、 機械的接続、 電気回路 の配線接続、 気圧回路の配管接続等が含まれる。 この各種サブシステムから露光 装置への組み立て工程の前に、 各サブシステム個々の組み立て工程があることは いうまでもない。 各種サブシステムの露光装置への組み立て工程が終了すると、 総合調整が行われ、 露光装置全体としての各種精度が確保される。 なお、 露光装 置の製造は温度およびクリーン度等が管理されたクリーンルームで行うことが望 ましい。  As described above, the exposure apparatus according to the embodiment of the present invention performs various subsystems including each component listed in the claims of the present application so as to maintain predetermined mechanical accuracy, electrical accuracy, and optical accuracy. It is manufactured by assembling. Before and after this assembly, adjustments to achieve optical accuracy for various optical systems, adjustments to achieve mechanical accuracy for various mechanical systems, and various electrical The system will be adjusted to achieve electrical accuracy. The process of assembling the exposure apparatus from various sub-systems includes mechanical connection, wiring connection of electric circuits, and piping connection of pneumatic circuits between the various subsystems. It goes without saying that there is an individual assembly process for each subsystem before the assembly process from these various subsystems to the exposure apparatus. When the process of assembling the various subsystems into the exposure apparatus is completed, comprehensive adjustment is performed, and various precisions of the entire exposure apparatus are ensured. It is desirable to manufacture the exposure equipment in a clean room where the temperature and cleanliness are controlled.
また、 図 6は、 デバイス (半導体素子、 液晶表示素子、 撮像素子 (C C D等) 、 薄 S莫磁気ヘッド等) の製造例のフローチャートを示している。 デバイスは、 この 図 6に示すように、 デバイスの機能 ·性能設計を行うステップ 2 0 1、 この設計 ステップに基づいたマスク (レチクル) を製作するステップ 2 0 2、 シリコン材 料からウェハを製造するステップ 2 0 3、 前述した実施形態の露光装置によりレ チクルのパターンをウェハに露光するウェハ処理ステップ 2 0 4、 デバイス組み 立てステップ (ダイシング工程、 ボンディング工程、 パッケージ工程を含む) 2 0 5、 検查ステップ 2 0 6等を経て製造される。  FIG. 6 shows a flowchart of a manufacturing example of a device (semiconductor element, liquid crystal display element, imaging element (CCD, etc.), thin S magnetic head, etc.). As shown in Fig. 6, the device has a step 201 for designing the function and performance of the device, a step 202 for manufacturing a mask (reticle) based on this design step, and a wafer manufactured from a silicon material. Step 203, wafer processing step 204 for exposing a reticle pattern to a wafer by the exposure apparatus of the above-described embodiment, device assembly step (including dicing step, bonding step, and package step) 205, inspection製造 Manufactured through steps 206 and so on.
以上説明したように、 上述の各発明によれば、 複数のガス精製器を有する精製 装置によって高純度なガスを精製し、 それを露光装置内の空間に満たすことによ り、 真空紫外光を露光光として用いる場合にも、 吸光物質による露光光の減衰を 防止し、 露光光を十分な照度と十分な照度均一性で安定して基板に到達させるこ とができる。 また、 本発明の露光装置によれば、 露光精度を向上させることができる。 さらに、 本発明のデパイスの製造方法によれば、 形成されたパターンの精度が 向上したデパイスを提供することができる。 As described above, according to each of the above-described inventions, high-purity gas is purified by a purifying apparatus having a plurality of gas purifiers, and the purified gas is filled in the space inside the exposure apparatus, thereby generating vacuum ultraviolet light. Even when used as exposure light, it is possible to prevent the exposure light from being attenuated by the light-absorbing substance, and to allow the exposure light to reach the substrate stably with sufficient illuminance and sufficient illuminance uniformity. Further, according to the exposure apparatus of the present invention, exposure accuracy can be improved. Further, according to the method for producing a depiice of the present invention, it is possible to provide a depiice having improved accuracy of a formed pattern.

Claims

請 求 の 範 囲 The scope of the claims
1 . 露光装置内の空間に供給用配管を介して所定ガスを供給するためのガス供給 システムであって、 1. A gas supply system for supplying a predetermined gas to a space in an exposure apparatus through a supply pipe,
前記所定ガスを被精製ガスから精製する複数のガス精製器を備え、  A plurality of gas purifiers for purifying the predetermined gas from the gas to be purified,
前記供給用配管は、 前記複数のガス精製器にそれぞれ接続される。  The supply pipe is connected to each of the plurality of gas purifiers.
2 . 請求項 1に記載のガス供給システムであって、 2. The gas supply system according to claim 1, wherein
前記被精製ガスは、 被精製ガス供給源から供給され、  The refined gas is supplied from a refined gas supply source,
前記被精製ガス供給 ¾原は、 前記所定ガスを収容したガスボンベ、 又は前記空間 である。  The purified gas supply source is a gas cylinder containing the predetermined gas or the space.
3 . 請求項 1に記載のガス供給システムであって、 3. The gas supply system according to claim 1, wherein
前記空間に対して、 前記複数のガス精製器のうち、 いずれか一つのガス精製器 を選択的に接続する切換装置を有する。  A switching device is provided for selectively connecting any one of the gas purifiers to the space.
4 . 請求項 3に記載のガス供給システムであって、 4. The gas supply system according to claim 3, wherein
前記ガス精製器で精製された精製ガスに含まれる不純物の濃度、 又は前記所定 ガスの濃度を計測する第 1計測装置を有し、 +  A first measuring device for measuring the concentration of impurities contained in the purified gas purified by the gas purifier or the concentration of the predetermined gas,
前記切換装置は、 前記第 1計測装置による計測結果に基づいて、 前記レ、ずれか —つのガス精製器を接続する。  The switching device connects the two gas purifiers based on the measurement result by the first measuring device.
5 . 請求項 1に記載のガス供給システムであって、 5. The gas supply system according to claim 1, wherein
前記被精製ガスに含まれる不純物の濃度又は前記所定ガスの濃度を、 前記ガス 精製器の上流で計測する第 2計測装置と、  A second measuring device for measuring the concentration of impurities contained in the gas to be purified or the concentration of the predetermined gas upstream of the gas purifier;
前記第 2計測装置による計測結果に基づいて、 前記ガス精製器の上流から前記 被精製ガスを外部に排出するガス排出装置とを備える。  A gas discharging device for discharging the gas to be purified from the upstream of the gas purifier based on the measurement result by the second measuring device.
6 . 請求項 1に記載のガス供給システムであって、 所 ガスを補充するためのガス補充装置を備え、 6. The gas supply system according to claim 1, wherein Place Equipped with a gas replenishing device for replenishing gas,
前記ガス捕充装置は、 前記ガス精製器の上流に接続される。  The gas charging device is connected upstream of the gas purifier.
7 . 露光装置内の空間に所定ガスを供給するためのガス供給システムであって、 前記所定ガスをガス供給用配管を介して、 前記空間に供給するための供給装置 と、 7. A gas supply system for supplying a predetermined gas to a space in the exposure apparatus, wherein the supply device supplies the predetermined gas to the space via a gas supply pipe.
前記ガス供給用配管内を流れる前記所定ガスの圧力変動を抑制するための脈動 抑制装置とを備える。  A pulsation suppressor for suppressing pressure fluctuation of the predetermined gas flowing in the gas supply pipe.
8 . 請求項 7に記載のガス供給システムであって、 前記脈動抑制装置は前記露光 装置の直前に配される。 ' ' 8. The gas supply system according to claim 7, wherein the pulsation suppression device is disposed immediately before the exposure device. ''
9 . 請求項 7に記載のガス供給システムであって、 9. The gas supply system according to claim 7, wherein
前記脈動抑制装置は、 前記ガス供給用配管内を流れるガスの圧力変動を 3 mm H g以下に抑制する。  The pulsation suppressor suppresses a pressure fluctuation of a gas flowing in the gas supply pipe to 3 mmHg or less.
1 0 . エネルギビームの光路内に形成された複数の空間を有する露光装置にお いて、 ' 10. In an exposure apparatus having a plurality of spaces formed in the optical path of the energy beam,
前記複数の空間の少なくとも 1つに所定ガスを供給するために、 請求項 1に記 載のガス供給システムを備える。  The gas supply system according to claim 1, which supplies a predetermined gas to at least one of the plurality of spaces.
1 1 . リソグラフイエ程を含むデバイスの製造方法であって、 1 1. A method for manufacturing a device including a lithographic process,
前記リソグラフイエ程では請求項 1 0に記載の露光装置を用いてデバイスを製 造することを特^¾とするデバイスの製造方法。  A method for manufacturing a device, characterized in that in the lithographic process, a device is manufactured using the exposure apparatus according to claim 10.
PCT/JP2001/004597 2000-05-31 2001-05-31 Gas supply system, exposure device, and method of producing device WO2001093319A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002500439A JPWO2001093319A1 (en) 2000-05-31 2001-05-31 Gas supply system, exposure apparatus, and device manufacturing method
AU2001262678A AU2001262678A1 (en) 2000-05-31 2001-05-31 Gas supply system, exposure device, and method of producing device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000163614 2000-05-31
JP2000-163614 2000-05-31

Publications (1)

Publication Number Publication Date
WO2001093319A1 true WO2001093319A1 (en) 2001-12-06

Family

ID=18667345

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2001/004597 WO2001093319A1 (en) 2000-05-31 2001-05-31 Gas supply system, exposure device, and method of producing device

Country Status (3)

Country Link
JP (1) JPWO2001093319A1 (en)
AU (1) AU2001262678A1 (en)
WO (1) WO2001093319A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111727497A (en) * 2018-02-15 2020-09-29 西默有限公司 Gas management system

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09260279A (en) * 1996-03-26 1997-10-03 Nikon Corp Exposure device
JPH09283419A (en) * 1996-04-09 1997-10-31 Canon Inc Reduced pressure chamber and aligner using the same
JPH11132550A (en) * 1997-10-28 1999-05-21 Mitsubishi Electric Corp Environment controller
JPH11219902A (en) * 1997-11-27 1999-08-10 Nikon Corp Aligner and device manufacturing apparatus
JPH11233426A (en) * 1998-02-10 1999-08-27 Canon Inc Gas purity control method and gas purity control system, and semiconductor aligner and manufacture of device using the gas purity control system
JP2001068400A (en) * 1999-08-27 2001-03-16 Nikon Corp Light absorbing substance detecting method, and exposure method and apparatus

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09260279A (en) * 1996-03-26 1997-10-03 Nikon Corp Exposure device
JPH09283419A (en) * 1996-04-09 1997-10-31 Canon Inc Reduced pressure chamber and aligner using the same
JPH11132550A (en) * 1997-10-28 1999-05-21 Mitsubishi Electric Corp Environment controller
JPH11219902A (en) * 1997-11-27 1999-08-10 Nikon Corp Aligner and device manufacturing apparatus
JPH11233426A (en) * 1998-02-10 1999-08-27 Canon Inc Gas purity control method and gas purity control system, and semiconductor aligner and manufacture of device using the gas purity control system
JP2001068400A (en) * 1999-08-27 2001-03-16 Nikon Corp Light absorbing substance detecting method, and exposure method and apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111727497A (en) * 2018-02-15 2020-09-29 西默有限公司 Gas management system

Also Published As

Publication number Publication date
JPWO2001093319A1 (en) 2004-02-05
AU2001262678A1 (en) 2001-12-11

Similar Documents

Publication Publication Date Title
KR101013347B1 (en) Exposure method, exposure device, and device manufacturing method
US6970228B1 (en) Exposure method and system
US6633364B2 (en) Exposure apparatus, exposure method, and device manufacturing method
US6791766B2 (en) Method and device for holding optical member, optical device, exposure apparatus, and device manufacturing method
WO1999025010A1 (en) Exposure apparatus, apparatus for manufacturing devices, and method of manufacturing exposure apparatuses
KR20010095087A (en) Exposure apparatus, exposure method, and method of manufacturing device
KR20010089431A (en) Optical device, exposure system, and laser beam source, and gas feed method, exposure method, and device manufacturing method
WO2000074120A1 (en) Exposure method and apparatus
WO2000048237A1 (en) Exposure method and apparatus
JP4265257B2 (en) Exposure apparatus, exposure method, and film structure
JP2005064210A (en) Method for exposure, and method of manufacturing electronic device and exposure device utilizing the method
JPH11219902A (en) Aligner and device manufacturing apparatus
JP2001284224A (en) Exposure system and method
JP2001060548A (en) Exposure method and aligner
WO2001093319A1 (en) Gas supply system, exposure device, and method of producing device
JP2003257826A (en) Optical device and aligner
WO2001008204A1 (en) Exposing method and apparatus
JP2003257822A (en) Optical device and aligner
JP2005136263A (en) Aligner and gas supply method therefor
JP2003257821A (en) Optical device and aligner
JP2001345264A (en) Aligner, exposure method, and method of manufacturing device
JP2004095654A (en) Aligner and device manufacturing method
JP2003257820A (en) Gas feed system, aligner, and filter
JP2001176770A (en) Aligner
JP2005166922A (en) Backing device, optical device, aligner and method for manufacturing device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2002 500439

Kind code of ref document: A

Format of ref document f/p: F

122 Ep: pct application non-entry in european phase