WO2000007237A1 - METHOD OF MAKING HIGH PERFORMANCE MOSFET USING Ti-LINER TECHNIQUE - Google Patents

METHOD OF MAKING HIGH PERFORMANCE MOSFET USING Ti-LINER TECHNIQUE Download PDF

Info

Publication number
WO2000007237A1
WO2000007237A1 PCT/US1999/002449 US9902449W WO0007237A1 WO 2000007237 A1 WO2000007237 A1 WO 2000007237A1 US 9902449 W US9902449 W US 9902449W WO 0007237 A1 WO0007237 A1 WO 0007237A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
insulating layer
gate electrode
layer
forming
Prior art date
Application number
PCT/US1999/002449
Other languages
French (fr)
Inventor
Mark I. Gardner
Derick J. Wristers
Daniel Kadosh
Original Assignee
Advanced Micro Devices, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices, Inc. filed Critical Advanced Micro Devices, Inc.
Publication of WO2000007237A1 publication Critical patent/WO2000007237A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66166Resistors with PN junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/8605Resistors with PN junctions

Definitions

  • This invention relates generally to semiconductor processing, and more particularly, to an integrated circuit transistor with an integrated metal gate electrode and high K. gate dielectric layer, and to a method of making the same.
  • Insulated gate field effect transistors such as metal oxide semiconductor field effect transistors (“MOSFET)
  • MOSFET metal oxide semiconductor field effect transistors
  • a typical field effect transistor implemented in silicon consists of a source and a drain formed in a silicon substrate, and separated laterally to define a channel region in the substrate.
  • a gate electrode composed of a conducting material, such as aluminum or polysilicon, is disposed over the channel region and designed to emit an electric field into the channel region. Changes in the electric field emitted by the gate electrode enable, or alternatively, disable the flow of current between the source and the drain.
  • a gate oxide layer is grown on a lightly doped silicon substrate and a layer of polysilicon is deposited on the gate oxide layer.
  • the polysilicon and the gate oxide are then anisotropically etched back to the upper surface of the substrate leaving a polysilicon gate electrode stacked on top of a gate oxide layer.
  • a source and a drain are formed by implanting a dopant species into the substrate.
  • the gate electrode acts as a hard mask against the implant so that the source and drain are formed in the substrate self-aligned to the gate electrode.
  • Many conventional semiconductor fabrication processes employ a double implant process to form the source and drain.
  • the first implant is performed self-aligned to the gate electrode to establish lightly doped drain ("LDD") structures.
  • LDD lightly doped drain
  • dielectric sidewall spacers are formed adjacent to the gate electrode by depositing and anisotropically etching a dielectric material, such as silicon dioxide.
  • the second of the two source/drain implants is then performed self-aligned to the sidewall spacers.
  • the substrate is then annealed to activate the dopant in the source and the drain. Salicidation steps frequently follow the formation of the source and drain.
  • the switch to polysilicon as a gate electrode material was the result of certain disadvantages associated with aluminum in early fabrication technologies.
  • aluminum In conventional semiconductor fabrication processing, aluminum must be deposited following completion of all high temperature process steps (including drive-in of the source and drain regions). As a result, an aluminum gate electrode must ordinarily be separately aligned to the source and drain. This alignment procedure can adversely affect both packing density and parasitic overlap capacitances between the gate and source/drain regions.
  • polysilicon with its much higher melting point can be deposited prior to source and drain formation and therefore provide for self-aligned gate processing.
  • the high temperature capability of polysilicon is routinely exploited to enable interlevel dielectric layers to be applied to provide multiple metallization layers with improved planarity.
  • polysilicon has the disadvantage of a much higher resistivity as compared to aluminum. Higher resistivity translates into higher values of interconnect line resistance that can lead to undesirably long RC time constants and DC voltage variations within VLSI or ULSI circuits.
  • the development of polycide films on top of polysilicon layers has alleviated some of the resistivity shortcomings of polysilicon gate electrodes.
  • the resistivity of polysilicon gate electrodes in conventional MOS integrated circuit processing still presents a potential impediment to successful process scaling through reductions in the operating voltages of VLSI and ULSI devices.
  • polysilicon depletion Another disadvantage of polysilicon as a gate electrode material is polysilicon depletion.
  • the source and drain are commonly formed in the substrate by implanting a p-type dopant, such as boron.
  • the implant also deposits boron into the polysilicon of the gate electrode.
  • Subsequent thermal processing steps to fabricate a conventional p-channel field effect transistor frequently cause boron to diffuse from the gate electrode through the gate oxide layer and into the channel region. If the amount of boron diffused is sufficiently high, the electrical performance of the field effect transistor may be severely degraded due to polysilicon depletion.
  • Silicon dioxide gate oxide layers are made as thin as possible to maximize drive current and to control short channel effects.
  • the requirement for very thin gate oxide layers has become particularly important in sub-micron processing where process scaling has dramatically increased the potential for short channel effects.
  • the scaling of silicon dioxide gate dielectric layers has introduced another set of problems.
  • very thin silicon dioxide layers have been historically difficult to fabricate with a consistent thickness across a given wafer, from wafer to wafer and from lot to lot.
  • the potential for reliability problems associated with dielectric breakdown and hot-carrier-injection degradation increases. Hot carrier degradation can significantly reduce device performance, while dielectric breakdown can lead to complete device failure.
  • the present invention is directed to overcoming or reducing the effects of one or more of the foregoing disadvantages.
  • a method of fabricating a transistor on a substrate includes the steps of forming a doped region in the substrate and forming a first insulating layer on the substrate. An opening is formed through the first insulating layer and the doped region that separates the doped region into first and second source/drain regions. A second insulating layer is formed in the opening and a gate electrode is formed in the opening. The gate electrode is insulated from the substrate by the second insulating layer.
  • a method of fabricating a resistor on a substrate includes the steps of forming a first insulating layer on the substrate and forming a first opening and a second opening in the first insulating layer.
  • the first and second openings extend at least to the substrate and respectively define first and second pluralities of sidewalls.
  • a second insulating layer is formed on the first and second pluralities of sidewalls and a first ohmic contact is formed in the first opening and a second ohmic contact is formed in the second opening.
  • an integrated circuit resistor in accordance with another aspect of the present invention, includes a substrate, a first insulating layer on the substrate, and a first ohmic contact connected to the substrate.
  • a second ohmic contact is connected to the substrate and a second insulating layer is positioned between each of the first and second ohmic contacts and the first insulating layer.
  • a transistor in accordance with another aspect of the present invention, includes a substrate and an insulating layer on the substrate and that has an opening therein extending at least to the substrate.
  • a gate electrode is positioned in the opening.
  • a first source/drain region and a second source/drain region are positioned in spaced-apart relation in the substrate and a metal oxide gate dielectric layer is positioned between the gate electrode and the substrate.
  • an integrated circuit in accordance with another aspect of the present invention, includes a substrate and a first insulating layer on the substrate and that has an opening therein extending at least to the substrate.
  • a first transistor is provided that has a first gate electrode positioned in the opening, first and second source/drain regions in the substrate in spaced-apart relation to define a first channel region, and a metal oxide gate dielectric layer positioned between the first gate electrode and the substrate.
  • a second transistor is provided that has a gate dielectric layer on the substrate, a second gate electrode on the gate dielectric layer, and a third source/drain region in the substrate in spaced-apart relation to the first source/drain region to define a second channel region.
  • FIG. 1 is a cross-sectional view of an exemplary embodiment of first and second transistors in accordance with the present invention
  • FIG. 2 is a cross-sectional view like FIG. 1 depicting formation of one of the two transistors in accordance with the present invention
  • FIG. 3 is a cross-sectional view like FIG. 1 following application of an interlevel dielectric layer and definition of an opening for the second transistor in accordance with the present invention
  • FIG. 4 is a cross-sectional view like FIG. 3 depicting an etch of a doped region of the substrate in accordance with the present invention
  • FIG. 5 is a cross-sectional view like FIG. 4 depicting formation of a high K insulating layer in accordance with the present invention
  • FIG. 6 is a cross-sectional view like FIG. 5 depicting formation of a gate electrode for the second transistor in accordance with the present invention
  • FIG. 7 is a cross-sectional view like FIG. 3 depicting an alternate exemplary process flow excluding the first transistor in accordance with the present invention
  • FIG. 8 is a cross-sectional view like FIG. 1 of an exemplary embodiment of an integrated circuit resistor in accordance with the present invention.
  • FIG. 9 is a cross-sectional view depicting the initial formation of the resistor shown in FIG. 8 in accordance with the present invention. MODES FOR CARRYING OUT THE INVENTION
  • FIG. 1 there is shown a cross-sectional view of an exemplary embodiment of an integrated circuit transistor 10 that is formed on a semiconductor substrate 12.
  • the semiconductor substrate 12 may be composed of n-doped, or p-doped silicon, silicon-on-insulator, or other suitable substrate materials.
  • the transistor 10 includes an insulating or gate dielectric layer 14 that is formed on the substrate 12 and a gate electrode 16 that is formed on the first insulating layer 14.
  • the first insulating layer 14 is formed with a generally channel or U-shaped cross-section that establishes parallel, laterally spaced vertical sidewalls 18 and 20.
  • the gate electrode 16 is positioned between the opposing sidewalls 18 and 20.
  • An adhesion layer 22 that has the same general cross-sectional shape as the first insulating layer 14 is positioned between the gate electrode 16 and the first insulating layer 14.
  • First and second source/drain regions 24 and 26 are formed in the substrate 12 and separated laterally by the first insulating layer 14 to define a channel region 28 in the substrate 12 beneath the gate electrode 16.
  • source/drain region(s) is used herein to describe a region that may serve as either a source or a drain. The skilled artisan will appreciate that a source/drain region may function as a source or a drain depending upon whether it is connected to V ss or V DD during metallization.
  • a second transistor 30 is formed on the substrate 12 in spaced-apart relation to the transistor 10.
  • the second transistor 30 includes a gate dielectric layer 32 formed on the substrate 12 and a gate electrode 34 formed on the gate dielectric layer 32.
  • the gate dielectric layer 32 and the gate electrode 34 are sandwiched between opposing dielectric sidewall spacers 36 and 38.
  • the transistor 30 shares the source/drain region 24 with the transistor 10 and is provided with an additional source/drain region 40 that is separated laterally in the substrate 12 from the source/drain region 24 to define a channel region 42 in the substrate 12 beneath the gate dielectric layer 32.
  • the exposed portions of the substrate 12 may be coated to facilitate enhanced ohmic contact with the source/drain regions 24, 26, and 40.
  • a suicide layer 44 is formed on the substrate 12 over the source/drain regions 24, 26, and 40.
  • the transistors 10 and 30 are covered by an interlevel dielectric layer 46. Vias are formed through the interlevel dielectric layer 46 and filled with contact plugs 48, 50, 52, 54, and 56 to establish ohmic contact, respectively, with the source/drain region 40, the gate electrode 34, the source/drain region 24, the gate electrode 16, and the source/drain region 26.
  • the second insulating layer 32 and the gate electrode 34 are initially deposited as layers on the substrate 12 and subsequently masked and anisotropically etched to yield the layer 32 and gate electrode 34 shown in FIG. 2.
  • the gate dielectric layer is advantageously composed of SiO,, or other suitable gate oxide material.
  • the gate dielectric layer 32 may be 20 to 50 A thick and is advantageously about 30 A thick.
  • the gate dielectric layer 32 may be grown by exposing the substrate 12 to an oxygen containing ambient at approximately 800 to 1050°C for approximately 10 to 60 seconds in a rapid thermal anneal process ("RTA"), or for approximately 5 to 20 minutes in a diffusion tube process.
  • RTA rapid thermal anneal process
  • a nitrogen bearing species, such as NO or N 2 0, may be added to the ambient to infuse nitrogen into the layer 32 to inhibit polysilicon depletion and reduce hot carrier effects.
  • the gate electrode 34 may be composed of a variety of conducting materials, such as, for example, amorphous silicon or polysilicon. In an exemplary embodiment, the gate electrode 34 is polysilicon. Well known techniques for applying polysilicon, such as CVD, may be used to deposit the gate electrode layer 34.
  • the polysilicon is deposited at or above about 625 °C to a thickness of 1000 to 2000 A, and advantageously to about 1300 A.
  • the upper regions of the substrate 12 are doped with a dopant specie to establish the source/drain region 40, and a doped region 58 that are generally self-aligned to the layer 32 and the gate electrode 34.
  • the doped region 58 will be partitioned into the source/drain region 24 and the source/drain region 26 shown in FIG. 1 through later processing.
  • the source/drain region 40 and the doped region 58 may be established in the substrate by ion implantation or diffusion as desired.
  • the source/drain region 40 and the doped region 58 are formed by implanting an n-type dopant, such as arsenic.
  • the dosage may be about 5E13 to 8E14 ions/cm 2 and is advantageously about 4E14 ions/cm 2
  • the energy may be about 5 to 30 keV and is advantageously about 20 keV.
  • the implant angle is advantageously 0°.
  • a double implant may be used to establish lightly doped drain (“LDD") structures (not shown) adjacent the gate 34. The energy and dosage of the implant(s) will depend upon the dopant type.
  • the dielectric sidewall spacers 36 and 38 may be formed.
  • the spacers 36 and 38 may be composed of a suitable sidewall spacer material, such as, for example, Si0 2 , Si 3 N 4 , or similar suitable sidewall spacer materials.
  • the spacers 36 and 38 may be fabricated by forming a layer of the selected material by oxidation, CVD, or other technique, followed by anisotropic etching to leave the spacers 36 and 38.
  • the spacers 36 and 38 are advantageously SiO : , may be 200 to 800 A wide and are advantageously about 500 A wide.
  • the process of forming the spacers 36 and 38 will typically incorporate a high temperature (> 1000 °C) step that will serve to anneal and activate drive the source/drain region 40 and the doped region 58, and set the position of the junction 59 of the doped region 58 and the length of the channel 42. Subsequent heating will result in some shifting of the channel length and position of the junction 59.
  • a high temperature > 1000 °C
  • the silicide layer 44 may be formed by depositing a silicide forming material, such as titanium, cobalt or like materials on the substrate 12, and heating the material to initiate a silicide forming reaction.
  • the material may be annealed in an inert ambient at approximately 650 to 700 °C for approximately 30 to 60 seconds in a
  • the substrate 12 is then subjected to RCA cleaning to remove any unreacted metal and leave the layer 44.
  • a final anneal is performed at approximately 700 to 800 °C for approximately 30 to 60 seconds to stabilize the silicide.
  • the resulting silicide layer 44 may have a thickness of 250 to 500 A and advantageously about 375
  • the interlevel dielectric layer 46 is formed on the substrate 12.
  • the layer 46 may be composed of silicon dioxide, tetra-ethyl-ortho-silicate ("TEOS"), or other suitable interlevel dielectric materials. If TEOS is selected, the layer 46 may be formed by CVD or like techniques.
  • the interlevel dielectric layer 46 may be about 0.75 ⁇ m to 1.2 ⁇ m thick and is advantageously about 1.0 ⁇ m thick.
  • the layer 46 is planarized to the desired thickness by chemical-mechanical-polishing ("CMP") or other planarization techniques.
  • CMP chemical-mechanical-polishing
  • the interlevel dielectric layer 46 is masked with photoresist 60.
  • the photoresist is patterned, i.e., exposed and developed to expose a portion of the interlevel dielectric layer 46 which is then etched back to the substrate 12 to form a via or opening 62.
  • the formation of the opening 62 is advantageously by an anisotropic etch process, such as reactive ion etching, chemical plasma etching, or other like anisotropic etching techniques. Referring now to FIGS. 3 and 4, the upper region of the substrate 12 exposed by the opening 62 is anisotropically etched to slightly below the junction 59 of the doped region 58.
  • the etch partitions the doped region 58 into the now established source/drain region 24 and the source/drain region 26.
  • the etch of the substrate 12 may be accomplished by reactive ion etching, chemical plasma etching or other suitable anisotropic etching techniques.
  • the photoresist 60 is stripped and the first insulating layer 14 is formed in the opening 62.
  • the first insulating layer 14 is advantageously composed of a high dielectric constant ("K") material that exhibits both a relatively thin equivalent thickness of oxide (“t ox ”) and resistance to dielectric breakdown and hot-carrier degradation.
  • Exemplary materials include TiO-, Ta 2 0 5 or like materials.
  • the layer 14 may be formed by depositing a layer of material that may form a high K metal oxide material.
  • the layer 14 is initially established by depositing titanium by chemical vapor deposition ("CVD") or other like techniques.
  • the deposition process establishes a conformal layer of titanium on the interlevel dielectric layer 46 and establishes the layer 14 in the opening 62 including the vertical sidewalls 18 and 20.
  • the layer 14 may be about 20 to 200 A thick and is advantageously about 120 A thick.
  • the layer of oxide forming material is then converted to an oxide by annealing in an oxygen containing ambient. The anneal may be conducted under a variety of conditions. For example, a rapid thermal anneal
  • RTA may be conducted with an ambient of about 50 % O- and a like percentage of an inert gas, such as argon, at about 850 to 1050°C for about 15 to 30 seconds.
  • concentration of the inert gas, such as argon may be varied to control the oxidation rate of the titanium to a desired level.
  • the anneal may be accomplished in a diffusion tube furnace process at about 700 to 800°C for about 15 minutes.
  • a nitrogen bearing specie, such as NO, N 2 , N,0, or other specie may be mixed into the anneal ambient to establish a nitrogen dopant concentration in the layer 14.
  • the ambient may contain 0 2 at about 50% concentration, 10% to 40% argon, and a balance of a nitrogen bearing species in the aforementioned RTA process. Incorporation of nitrogen into the layer 14 enhances the resistance of the layer 14 to the diffusion of material therethrough into the substrate 12.
  • the conversion of the titanium to titanium dioxide yields an insulating layer 14 with an equivalent t ox of approximately 5 to 25 A.
  • the result is a gate dielectric layer that exhibits not only a very thin equivalent t ox , but also better resistance to dielectric breakdown and hot-carrier degradation than conventional gate oxide.
  • Ti0 2 layer 14 is given by the following equation:
  • K Ti02 and si02 are the dielectric constants for Ti0 2 and SiO,. Note that the equation is expressed as an approximation since Ti0 2 and Si0 2 have a range of dielectric constants instead of a single value. For example, TiO, has a dielectric constant that may range from about 14 to 1 10.
  • Ta ⁇ is selected, the same general regimen may be used to achieve the same thin equivalent t ox .
  • the requisite thickness of the layer 14 of Ta ⁇ layer will be given by the above equation.
  • the layer 14 has been described in the context of a metal deposition followed by oxidation. However, the skilled artisan will appreciate that other techniques may be used.
  • the layer 14 may be applied as an oxide, e.g., TiO, by CVD, sputter or like techniques.
  • the adhesion layer 22 is formed on the first insulating layer 14.
  • the adhesion layer 22 is deposited as a conformal layer that coats the vertical sidewalls 18 and 20 and the bottom of first insulating layer 14.
  • the adhesion layer 22 is designed to facilitate adhesion of the later deposited gate electrode 16.
  • the adhesion layer is advantageously composed of titanium nitride.
  • the layer 22 may be deposited by CVD or other suitable TiN deposition techniques. Alternatively, the layer 22 may be composed of titanium, a combination of titanium and tungsten, a combination of titanium and TiN, or other suitable adhesion layer materials. The skilled artisan will appreciate that the layer 22 may be unnecessary where the material selected for the gate electrode 16 exhibits good adhesion to the insulating layer 14.
  • the gate electrode 16 is formed in the opening 62.
  • the gate electrode 16 may be composed of a variety of conducting materials, such as tungsten, aluminum, polysilicon, or like materials.
  • the gate electrode 16 is composed of tungsten and may be deposited by CVD in a silane reduction process or other suitable tungsten CVD deposition process. The CVD formation of the adhesion layer 22 and the gate electrode 16 will conformally coat the interlevel dielectric layer 46.
  • the layer 14, the layer 22, and the material used to form the gate electrode 16 are advantageously planarized by chemical mechanical polishing ("CMP"), by etchback planarization, or other suitable planarization techniques to remove the portions of the layer 14, the layer 22, and the material used to form the gate electrode 16 previously projecting above the upper surface of the interlevel dielectric layer 46.
  • CMP chemical mechanical polishing
  • a tungsten gate electrode 16 has the advantages of resistance to high temperature degradation and the elimination of polysilicon depletion that is frequently associated with polysilicon gate electrodes in p-channel devices. Accordingly, a tungsten gate electrode 16 enables greater flexibility in the thermal budgeting of the overall process flow.
  • the interlevel dielectric layer 46 may be thickened by thermal oxidation, CVD, or other techniques, and the contact plugs 48, 50, 52, 54, and 56 formed by etching openings through the interlevel dielectric layer 46 and forming the contact plugs 48, 50, 52, 54, and 56.
  • the plugs 48, 50, 52, 54, and 56 may be composed of tungsten, polysilicon, aluminum, or other suitable conducting materials.
  • the plugs 48, 50, 52, 54, and 56 are advantageously composed of tungsten and may be deposited by CVD using the same or a similar type of silane reduction reaction used to deposit the gate electrode 16.
  • Each of the plugs 48, 50, 52, 54, and 56 will normally be lined with an adhesion layer, like the layer 22, that is not shown in FIG. 1 for simplicity of illustration.
  • the process of fabricating the transistor 10 may be divorced from the fabrication of the transistor 30.
  • the doped region 58 may be established in the substrate 12 by ion implantation or diffusion as generally described above.
  • the interlevel dielectric layer 46 may then be applied, masked with photoresist 60, and etched to establish the opening 62 all as generally described above.
  • the substrate 12 may then be processed as described above and depicted in FIGS. 4, 5, and 6, albeit without the presence of the transistor 30.
  • FIGS. 8 and 9 depicts a cross-sectional view of an exemplary embodiment of an integrated circuit resistor 64.
  • the resistor 64 includes ohmic contacts 66 and 68 formed in spaced-apart openings 70 and 72.
  • the openings 70 and 72 extend at least through the interlevel dielectric layer 46 at least to the substrate 12, and may extend down into the substrate 12 as shown.
  • Each of the ohmic contacts 66 and 68 includes a conducting plug 74, the adhesion layer 22 and the insulating layer 14 configured generally like the gate electrode 16-insulating layer 14 combination shown in FIG. 1.
  • the bottom of the layer 14 for each contact 66 and 68 is eliminated to enable ohmic contact between the adhesion layer 22 of each contact 66 and 68 and the substrate 12.
  • An exemplary process flow for forming the resistor 64 may be understood by referring now also to FIG. 9. As shown in FIG.
  • the openings 70 and 72 may be formed in the interlevel dielectric layer 46 and filled with the insulating layer 14.
  • the formation of the openings 70 and 72 will define respective pluralities of sidewalls 76 and 78. The number of given sidewalls will depend on the geometry of the openings 70 and 72.
  • the bottom of the layer 14 in each opening 70 and 72 may be etched to expose the substrate 12.
  • the adhesion layer 22 and the plugs 74 may then be fabricated as generally described above in conjunction with the gate electrode 16. If desired, the resistance of the resistor 64 may be manipulated by doping the region 80 of the substrate 12 between the contacts 66 and 68 via ion implantation as shown or via diffusion.
  • the resistor 64 may be integrated with a transistor or other component.
  • the resistor 64 is connected to the source/drain region 26 of the transistor 10.
  • the electrical pathway is from the contact 66 through the substrate 12 to the source/drain region 26.
  • an opening may be established in the layer 46 by first establishing a structure on the substrate 12 by appropriate masking and etching, such as the combined gate electrode 16, adhesion layer 22 and insulating layer 14, and subsequently forming the layer 46 on either side of the structure. In either case, the structure and the layer 46 are integrated.
  • the process in accordance with the present invention yields a transistor that integrates a high K gate dielectric and a high temperature capable gate electrode with good conductivity.
  • a thin equivalent thickness of oxide is achieved with resistance to dielectric breakdown and hot-carrier degradation.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A transistor (10) and a method of making the same are provided. The transistor (10) includes a substrate (12) and an insulating layer (46) on the substrate (12) that has an opening therein (62) extending at least to the substrate (12). A gate electrode (16) is positioned in the opening (62). A first source/drain region (24) and a second source/drain region (26) are positioned in spaced-apart relation in the substrate (12). A metal oxide gate dielectric layer (14) in positioned between the gate electrode (16) and the substrate (12). The gate electrode (16) may be composed of a high temperature resistant material, such as tungsten. The gate dielectric layer (14) may be composed of a high K material with a thin equivalent thickness of oxide, such as TiO2.

Description

METHOD OF MAKING HIGH PERFORMANCE MOSFET USING Ti-LINER TECHNIQUE
1. Technical Field
This invention relates generally to semiconductor processing, and more particularly, to an integrated circuit transistor with an integrated metal gate electrode and high K. gate dielectric layer, and to a method of making the same.
2. Background Art
Insulated gate field effect transistors ("IGFE '), such as metal oxide semiconductor field effect transistors ("MOSFET"), are some of the most commonly used electronic components in modem integrated circuits. Embedded controllers, microprocessors, analog-to-digital converters, and many other types of devices now routinely include millions of field effect transistors. The dramatic proliferation of field effect transistors in integrated circuit design can be traced to their high switching speeds, potentially low power dissipation, and adaptability to semiconductor process scaling.
A typical field effect transistor implemented in silicon consists of a source and a drain formed in a silicon substrate, and separated laterally to define a channel region in the substrate. A gate electrode composed of a conducting material, such as aluminum or polysilicon, is disposed over the channel region and designed to emit an electric field into the channel region. Changes in the electric field emitted by the gate electrode enable, or alternatively, disable the flow of current between the source and the drain.
In a conventional process flow for forming a typical field effect transistor, a gate oxide layer is grown on a lightly doped silicon substrate and a layer of polysilicon is deposited on the gate oxide layer. The polysilicon and the gate oxide are then anisotropically etched back to the upper surface of the substrate leaving a polysilicon gate electrode stacked on top of a gate oxide layer. Following formation of the polysilicon gate electrode, a source and a drain are formed by implanting a dopant species into the substrate. The gate electrode acts as a hard mask against the implant so that the source and drain are formed in the substrate self-aligned to the gate electrode. Many conventional semiconductor fabrication processes employ a double implant process to form the source and drain. The first implant is performed self-aligned to the gate electrode to establish lightly doped drain ("LDD") structures. After the LDD implant, dielectric sidewall spacers are formed adjacent to the gate electrode by depositing and anisotropically etching a dielectric material, such as silicon dioxide. The second of the two source/drain implants is then performed self-aligned to the sidewall spacers. The substrate is then annealed to activate the dopant in the source and the drain. Salicidation steps frequently follow the formation of the source and drain.
Early MOS integrated circuits were implemented as p-channel enhancement mode devices using aluminum as the gate electrode material. Aluminum had the advantages of relatively low resistivity and material cost. Furthermore, there was already a large body of manufacturing experience with aluminum in the chip industry developed from bipolar integrated circuit processing.
A later process innovation that is still widely used today, involves the use of heavily doped polysilicon as a gate electrode material in place of aluminum. The switch to polysilicon as a gate electrode material was the result of certain disadvantages associated with aluminum in early fabrication technologies. In conventional semiconductor fabrication processing, aluminum must be deposited following completion of all high temperature process steps (including drive-in of the source and drain regions). As a result, an aluminum gate electrode must ordinarily be separately aligned to the source and drain. This alignment procedure can adversely affect both packing density and parasitic overlap capacitances between the gate and source/drain regions. In contrast, polysilicon with its much higher melting point, can be deposited prior to source and drain formation and therefore provide for self-aligned gate processing. Furthermore, the high temperature capability of polysilicon is routinely exploited to enable interlevel dielectric layers to be applied to provide multiple metallization layers with improved planarity.
Despite the several advantages of polysilicon over aluminum as a gate electrode material, polysilicon has the disadvantage of a much higher resistivity as compared to aluminum. Higher resistivity translates into higher values of interconnect line resistance that can lead to undesirably long RC time constants and DC voltage variations within VLSI or ULSI circuits. The development of polycide films on top of polysilicon layers has alleviated some of the resistivity shortcomings of polysilicon gate electrodes. However, the resistivity of polysilicon gate electrodes in conventional MOS integrated circuit processing still presents a potential impediment to successful process scaling through reductions in the operating voltages of VLSI and ULSI devices.
Another disadvantage of polysilicon as a gate electrode material is polysilicon depletion. In p-channel transistors, the source and drain are commonly formed in the substrate by implanting a p-type dopant, such as boron. The implant also deposits boron into the polysilicon of the gate electrode. Subsequent thermal processing steps to fabricate a conventional p-channel field effect transistor frequently cause boron to diffuse from the gate electrode through the gate oxide layer and into the channel region. If the amount of boron diffused is sufficiently high, the electrical performance of the field effect transistor may be severely degraded due to polysilicon depletion.
In addition to the drawbacks associated with conventional gate electrode manufacture, the gate dielectric formation aspects of conventional transistor fabrication present certain disadvantages. Silicon dioxide gate oxide layers are made as thin as possible to maximize drive current and to control short channel effects. The requirement for very thin gate oxide layers has become particularly important in sub-micron processing where process scaling has dramatically increased the potential for short channel effects. However, the scaling of silicon dioxide gate dielectric layers has introduced another set of problems. To begin with, very thin silicon dioxide layers have been historically difficult to fabricate with a consistent thickness across a given wafer, from wafer to wafer and from lot to lot. In addition, as the thickness of silicon dioxide is scaled downward, the potential for reliability problems associated with dielectric breakdown and hot-carrier-injection degradation increases. Hot carrier degradation can significantly reduce device performance, while dielectric breakdown can lead to complete device failure. The present invention is directed to overcoming or reducing the effects of one or more of the foregoing disadvantages. DISCLOSURE OF INVENTION
In accordance with one aspect of the present invention, a method of fabricating a transistor on a substrate is provided. The method includes the steps of forming a doped region in the substrate and forming a first insulating layer on the substrate. An opening is formed through the first insulating layer and the doped region that separates the doped region into first and second source/drain regions. A second insulating layer is formed in the opening and a gate electrode is formed in the opening. The gate electrode is insulated from the substrate by the second insulating layer.
In accordance with another aspect of the present invention, a method of fabricating a resistor on a substrate is provided. The method includes the steps of forming a first insulating layer on the substrate and forming a first opening and a second opening in the first insulating layer. The first and second openings extend at least to the substrate and respectively define first and second pluralities of sidewalls. A second insulating layer is formed on the first and second pluralities of sidewalls and a first ohmic contact is formed in the first opening and a second ohmic contact is formed in the second opening.
In accordance with another aspect of the present invention, an integrated circuit resistor is provided. The resistor includes a substrate, a first insulating layer on the substrate, and a first ohmic contact connected to the substrate. A second ohmic contact is connected to the substrate and a second insulating layer is positioned between each of the first and second ohmic contacts and the first insulating layer.
In accordance with another aspect of the present invention, a transistor is provided. The transistor includes a substrate and an insulating layer on the substrate and that has an opening therein extending at least to the substrate. A gate electrode is positioned in the opening. A first source/drain region and a second source/drain region are positioned in spaced-apart relation in the substrate and a metal oxide gate dielectric layer is positioned between the gate electrode and the substrate.
In accordance with another aspect of the present invention, an integrated circuit is provided. The integrated circuit includes a substrate and a first insulating layer on the substrate and that has an opening therein extending at least to the substrate. A first transistor is provided that has a first gate electrode positioned in the opening, first and second source/drain regions in the substrate in spaced-apart relation to define a first channel region, and a metal oxide gate dielectric layer positioned between the first gate electrode and the substrate. A second transistor is provided that has a gate dielectric layer on the substrate, a second gate electrode on the gate dielectric layer, and a third source/drain region in the substrate in spaced-apart relation to the first source/drain region to define a second channel region.
BRIEF DESCRIPTION OF THE DRAWINGS The foregoing and other advantages of the invention will become apparent upon reading the following detailed description and upon reference to the drawings in which:
FIG. 1 is a cross-sectional view of an exemplary embodiment of first and second transistors in accordance with the present invention;
FIG. 2 is a cross-sectional view like FIG. 1 depicting formation of one of the two transistors in accordance with the present invention; FIG. 3 is a cross-sectional view like FIG. 1 following application of an interlevel dielectric layer and definition of an opening for the second transistor in accordance with the present invention;
FIG. 4 is a cross-sectional view like FIG. 3 depicting an etch of a doped region of the substrate in accordance with the present invention; FIG. 5 is a cross-sectional view like FIG. 4 depicting formation of a high K insulating layer in accordance with the present invention;
FIG. 6 is a cross-sectional view like FIG. 5 depicting formation of a gate electrode for the second transistor in accordance with the present invention;
FIG. 7 is a cross-sectional view like FIG. 3 depicting an alternate exemplary process flow excluding the first transistor in accordance with the present invention;
FIG. 8 is a cross-sectional view like FIG. 1 of an exemplary embodiment of an integrated circuit resistor in accordance with the present invention; and
FIG. 9 is a cross-sectional view depicting the initial formation of the resistor shown in FIG. 8 in accordance with the present invention. MODES FOR CARRYING OUT THE INVENTION
In the drawings described below, reference numerals are generally repeated where identical elements appear in more than one figure. Turning now to the drawings, and in particular to FIG. 1, there is shown a cross-sectional view of an exemplary embodiment of an integrated circuit transistor 10 that is formed on a semiconductor substrate 12. The semiconductor substrate 12 may be composed of n-doped, or p-doped silicon, silicon-on-insulator, or other suitable substrate materials. The transistor 10 includes an insulating or gate dielectric layer 14 that is formed on the substrate 12 and a gate electrode 16 that is formed on the first insulating layer 14. The first insulating layer 14 is formed with a generally channel or U-shaped cross-section that establishes parallel, laterally spaced vertical sidewalls 18 and 20. The gate electrode 16 is positioned between the opposing sidewalls 18 and 20. An adhesion layer 22 that has the same general cross-sectional shape as the first insulating layer 14 is positioned between the gate electrode 16 and the first insulating layer 14. First and second source/drain regions 24 and 26 are formed in the substrate 12 and separated laterally by the first insulating layer 14 to define a channel region 28 in the substrate 12 beneath the gate electrode 16. The phrase
"source/drain region(s)" is used herein to describe a region that may serve as either a source or a drain. The skilled artisan will appreciate that a source/drain region may function as a source or a drain depending upon whether it is connected to Vss or VDD during metallization.
A second transistor 30 is formed on the substrate 12 in spaced-apart relation to the transistor 10. The second transistor 30 includes a gate dielectric layer 32 formed on the substrate 12 and a gate electrode 34 formed on the gate dielectric layer 32. The gate dielectric layer 32 and the gate electrode 34 are sandwiched between opposing dielectric sidewall spacers 36 and 38. The transistor 30 shares the source/drain region 24 with the transistor 10 and is provided with an additional source/drain region 40 that is separated laterally in the substrate 12 from the source/drain region 24 to define a channel region 42 in the substrate 12 beneath the gate dielectric layer 32. The exposed portions of the substrate 12 may be coated to facilitate enhanced ohmic contact with the source/drain regions 24, 26, and 40. In this regard, a suicide layer 44 is formed on the substrate 12 over the source/drain regions 24, 26, and 40.
The transistors 10 and 30 are covered by an interlevel dielectric layer 46. Vias are formed through the interlevel dielectric layer 46 and filled with contact plugs 48, 50, 52, 54, and 56 to establish ohmic contact, respectively, with the source/drain region 40, the gate electrode 34, the source/drain region 24, the gate electrode 16, and the source/drain region 26.
An exemplary process flow for forming the transistor 10 and the transistor 30 may be understood by referring now to FIGS. 2, 3, 4, 5, and 6, and initially to FIG. 2. The process will be described in the context of n-channel devices. However, the skilled artisan will appreciate that the transistors may be implemented as n- channel, p-channel or another type of device. The second insulating layer 32 and the gate electrode 34 are initially deposited as layers on the substrate 12 and subsequently masked and anisotropically etched to yield the layer 32 and gate electrode 34 shown in FIG. 2. The gate dielectric layer is advantageously composed of SiO,, or other suitable gate oxide material. The gate dielectric layer 32 may be 20 to 50 A thick and is advantageously about 30 A thick. If Si02 is selected, the gate dielectric layer 32 may be grown by exposing the substrate 12 to an oxygen containing ambient at approximately 800 to 1050°C for approximately 10 to 60 seconds in a rapid thermal anneal process ("RTA"), or for approximately 5 to 20 minutes in a diffusion tube process. A nitrogen bearing species, such as NO or N20, may be added to the ambient to infuse nitrogen into the layer 32 to inhibit polysilicon depletion and reduce hot carrier effects. The gate electrode 34 may be composed of a variety of conducting materials, such as, for example, amorphous silicon or polysilicon. In an exemplary embodiment, the gate electrode 34 is polysilicon. Well known techniques for applying polysilicon, such as CVD, may be used to deposit the gate electrode layer 34. In an exemplary embodiment, the polysilicon is deposited at or above about 625 °C to a thickness of 1000 to 2000 A, and advantageously to about 1300 A. Following definition of the second insulating layer 32 and the gate electrode 34, the upper regions of the substrate 12 are doped with a dopant specie to establish the source/drain region 40, and a doped region 58 that are generally self-aligned to the layer 32 and the gate electrode 34. The doped region 58 will be partitioned into the source/drain region 24 and the source/drain region 26 shown in FIG. 1 through later processing. The source/drain region 40 and the doped region 58 may be established in the substrate by ion implantation or diffusion as desired. In an exemplary embodiment, the source/drain region 40 and the doped region 58 are formed by implanting an n-type dopant, such as arsenic. The dosage may be about 5E13 to 8E14 ions/cm2 and is advantageously about 4E14 ions/cm2 The energy may be about 5 to 30 keV and is advantageously about 20 keV. The implant angle is advantageously 0°. If desired, a double implant may be used to establish lightly doped drain ("LDD") structures (not shown) adjacent the gate 34. The energy and dosage of the implant(s) will depend upon the dopant type.
Following establishment of the source/drain region 40 and the doped region 58, the dielectric sidewall spacers 36 and 38 may be formed. The spacers 36 and 38 may be composed of a suitable sidewall spacer material, such as, for example, Si02, Si3N4, or similar suitable sidewall spacer materials. The spacers 36 and 38 may be fabricated by forming a layer of the selected material by oxidation, CVD, or other technique, followed by anisotropic etching to leave the spacers 36 and 38. The spacers 36 and 38 are advantageously SiO:, may be 200 to 800 A wide and are advantageously about 500 A wide.
The process of forming the spacers 36 and 38 will typically incorporate a high temperature (> 1000 °C) step that will serve to anneal and activate drive the source/drain region 40 and the doped region 58, and set the position of the junction 59 of the doped region 58 and the length of the channel 42. Subsequent heating will result in some shifting of the channel length and position of the junction 59.
The silicide layer 44 may be formed by depositing a silicide forming material, such as titanium, cobalt or like materials on the substrate 12, and heating the material to initiate a silicide forming reaction. The material may be annealed in an inert ambient at approximately 650 to 700 °C for approximately 30 to 60 seconds in a
RTA. The substrate 12 is then subjected to RCA cleaning to remove any unreacted metal and leave the layer 44. A final anneal is performed at approximately 700 to 800 °C for approximately 30 to 60 seconds to stabilize the silicide. The resulting silicide layer 44 may have a thickness of 250 to 500 A and advantageously about 375
A. Referring now to FIG. 3, the interlevel dielectric layer 46 is formed on the substrate 12. The layer 46 may be composed of silicon dioxide, tetra-ethyl-ortho-silicate ("TEOS"), or other suitable interlevel dielectric materials. If TEOS is selected, the layer 46 may be formed by CVD or like techniques. The interlevel dielectric layer 46 may be about 0.75 μm to 1.2 μm thick and is advantageously about 1.0 μm thick. Follow deposition, the layer 46 is planarized to the desired thickness by chemical-mechanical-polishing ("CMP") or other planarization techniques.
The interlevel dielectric layer 46 is masked with photoresist 60. The photoresist is patterned, i.e., exposed and developed to expose a portion of the interlevel dielectric layer 46 which is then etched back to the substrate 12 to form a via or opening 62. The formation of the opening 62 is advantageously by an anisotropic etch process, such as reactive ion etching, chemical plasma etching, or other like anisotropic etching techniques. Referring now to FIGS. 3 and 4, the upper region of the substrate 12 exposed by the opening 62 is anisotropically etched to slightly below the junction 59 of the doped region 58. The etch partitions the doped region 58 into the now established source/drain region 24 and the source/drain region 26. The etch of the substrate 12 may be accomplished by reactive ion etching, chemical plasma etching or other suitable anisotropic etching techniques. Referring now to FIGS. 4 and 5, the photoresist 60 is stripped and the first insulating layer 14 is formed in the opening 62. The first insulating layer 14 is advantageously composed of a high dielectric constant ("K") material that exhibits both a relatively thin equivalent thickness of oxide ("tox") and resistance to dielectric breakdown and hot-carrier degradation. Exemplary materials include TiO-, Ta205 or like materials. The layer 14 may be formed by depositing a layer of material that may form a high K metal oxide material. In an exemplary embodiment, the layer 14 is initially established by depositing titanium by chemical vapor deposition ("CVD") or other like techniques. The deposition process establishes a conformal layer of titanium on the interlevel dielectric layer 46 and establishes the layer 14 in the opening 62 including the vertical sidewalls 18 and 20. The layer 14 may be about 20 to 200 A thick and is advantageously about 120 A thick. The layer of oxide forming material is then converted to an oxide by annealing in an oxygen containing ambient. The anneal may be conducted under a variety of conditions. For example, a rapid thermal anneal
("RTA") may be conducted with an ambient of about 50 % O- and a like percentage of an inert gas, such as argon, at about 850 to 1050°C for about 15 to 30 seconds. The concentration of the inert gas, such as argon, may be varied to control the oxidation rate of the titanium to a desired level. Alternatively, the anneal may be accomplished in a diffusion tube furnace process at about 700 to 800°C for about 15 minutes. A nitrogen bearing specie, such as NO, N2, N,0, or other specie may be mixed into the anneal ambient to establish a nitrogen dopant concentration in the layer 14. For example, the ambient may contain 02 at about 50% concentration, 10% to 40% argon, and a balance of a nitrogen bearing species in the aforementioned RTA process. Incorporation of nitrogen into the layer 14 enhances the resistance of the layer 14 to the diffusion of material therethrough into the substrate 12.
The conversion of the titanium to titanium dioxide yields an insulating layer 14 with an equivalent tox of approximately 5 to 25 A. The result is a gate dielectric layer that exhibits not only a very thin equivalent tox, but also better resistance to dielectric breakdown and hot-carrier degradation than conventional gate oxide. The mathematical relationship between the targeted equivalent tox for the layer 14 and the actual thickness of the
Ti02 layer 14 is given by the following equation:
Kτiθι • [Equivalent tox) = [Thickness ofTiOi Layer) E uation j
KsiOi
where KTi02and si02are the dielectric constants for Ti02 and SiO,. Note that the equation is expressed as an approximation since Ti02 and Si02 have a range of dielectric constants instead of a single value. For example, TiO, has a dielectric constant that may range from about 14 to 1 10.
If Ta^ is selected, the same general regimen may be used to achieve the same thin equivalent tox. The requisite thickness of the layer 14 of Ta ^ layer will be given by the above equation.
The application of the layer 14 has been described in the context of a metal deposition followed by oxidation. However, the skilled artisan will appreciate that other techniques may be used. For example, the layer 14 may be applied as an oxide, e.g., TiO, by CVD, sputter or like techniques.
It is anticipated that the high temperature anneal of the layer 14 will drive the depth of the junctions 59 of the source/drain regions 24 and 26 to below the interface between the substrate 12 and the first insulating layer 14. If desired, a second anneal step may be performed to drive the junctions of the source/drain regions 24 and 26 to a deeper depth. Referring now to FIG. 6, the adhesion layer 22 is formed on the first insulating layer 14. The adhesion layer 22 is deposited as a conformal layer that coats the vertical sidewalls 18 and 20 and the bottom of first insulating layer 14. The adhesion layer 22 is designed to facilitate adhesion of the later deposited gate electrode 16. In this regard, the adhesion layer is advantageously composed of titanium nitride. The layer 22 may be deposited by CVD or other suitable TiN deposition techniques. Alternatively, the layer 22 may be composed of titanium, a combination of titanium and tungsten, a combination of titanium and TiN, or other suitable adhesion layer materials. The skilled artisan will appreciate that the layer 22 may be unnecessary where the material selected for the gate electrode 16 exhibits good adhesion to the insulating layer 14.
Following formation of the adhesion layer 22, the gate electrode 16 is formed in the opening 62. The gate electrode 16 may be composed of a variety of conducting materials, such as tungsten, aluminum, polysilicon, or like materials. In an exemplary embodiment, the gate electrode 16 is composed of tungsten and may be deposited by CVD in a silane reduction process or other suitable tungsten CVD deposition process. The CVD formation of the adhesion layer 22 and the gate electrode 16 will conformally coat the interlevel dielectric layer 46. Accordingly, the layer 14, the layer 22, and the material used to form the gate electrode 16 are advantageously planarized by chemical mechanical polishing ("CMP"), by etchback planarization, or other suitable planarization techniques to remove the portions of the layer 14, the layer 22, and the material used to form the gate electrode 16 previously projecting above the upper surface of the interlevel dielectric layer 46. A tungsten gate electrode 16 has the advantages of resistance to high temperature degradation and the elimination of polysilicon depletion that is frequently associated with polysilicon gate electrodes in p-channel devices. Accordingly, a tungsten gate electrode 16 enables greater flexibility in the thermal budgeting of the overall process flow.
Referring now to FIGS. 1 and 6, the interlevel dielectric layer 46 may be thickened by thermal oxidation, CVD, or other techniques, and the contact plugs 48, 50, 52, 54, and 56 formed by etching openings through the interlevel dielectric layer 46 and forming the contact plugs 48, 50, 52, 54, and 56. The plugs 48, 50, 52, 54, and 56 may be composed of tungsten, polysilicon, aluminum, or other suitable conducting materials. In an exemplary embodiment, the plugs 48, 50, 52, 54, and 56, are advantageously composed of tungsten and may be deposited by CVD using the same or a similar type of silane reduction reaction used to deposit the gate electrode 16. Each of the plugs 48, 50, 52, 54, and 56, will normally be lined with an adhesion layer, like the layer 22, that is not shown in FIG. 1 for simplicity of illustration.
In an alternate exemplary process flow, the process of fabricating the transistor 10 may be divorced from the fabrication of the transistor 30. For example, as shown in FIG. 7, the doped region 58 may be established in the substrate 12 by ion implantation or diffusion as generally described above. The interlevel dielectric layer 46 may then be applied, masked with photoresist 60, and etched to establish the opening 62 all as generally described above. The substrate 12 may then be processed as described above and depicted in FIGS. 4, 5, and 6, albeit without the presence of the transistor 30. An alternate embodiment incorporating a high K layer into an integrated circuit resistor may be understood by referring now to FIGS. 8 and 9. FIG. 8 depicts a cross-sectional view of an exemplary embodiment of an integrated circuit resistor 64. The resistor 64 includes ohmic contacts 66 and 68 formed in spaced-apart openings 70 and 72. The openings 70 and 72 extend at least through the interlevel dielectric layer 46 at least to the substrate 12, and may extend down into the substrate 12 as shown. Each of the ohmic contacts 66 and 68 includes a conducting plug 74, the adhesion layer 22 and the insulating layer 14 configured generally like the gate electrode 16-insulating layer 14 combination shown in FIG. 1. However, note that the bottom of the layer 14 for each contact 66 and 68 is eliminated to enable ohmic contact between the adhesion layer 22 of each contact 66 and 68 and the substrate 12. An exemplary process flow for forming the resistor 64 may be understood by referring now also to FIG. 9. As shown in FIG. 9, the openings 70 and 72 may be formed in the interlevel dielectric layer 46 and filled with the insulating layer 14. The formation of the openings 70 and 72 will define respective pluralities of sidewalls 76 and 78. The number of given sidewalls will depend on the geometry of the openings 70 and 72. The bottom of the layer 14 in each opening 70 and 72 may be etched to expose the substrate 12. The adhesion layer 22 and the plugs 74 may then be fabricated as generally described above in conjunction with the gate electrode 16. If desired, the resistance of the resistor 64 may be manipulated by doping the region 80 of the substrate 12 between the contacts 66 and 68 via ion implantation as shown or via diffusion.
The resistor 64 may be integrated with a transistor or other component. For example, and as shown in FIG. 8, the resistor 64 is connected to the source/drain region 26 of the transistor 10. The electrical pathway is from the contact 66 through the substrate 12 to the source/drain region 26.
Reference herein has been made to forming various openings in the interlevel dielectric layer 46 by masking and etching the layer 46. However, it should be understood that an opening may be established in the layer 46 by first establishing a structure on the substrate 12 by appropriate masking and etching, such as the combined gate electrode 16, adhesion layer 22 and insulating layer 14, and subsequently forming the layer 46 on either side of the structure. In either case, the structure and the layer 46 are integrated.
The process in accordance with the present invention yields a transistor that integrates a high K gate dielectric and a high temperature capable gate electrode with good conductivity. A thin equivalent thickness of oxide is achieved with resistance to dielectric breakdown and hot-carrier degradation. While the invention may be susceptible to various modifications and alternative forms, specific embodiments have been shown by way of example in the drawings and have been described in detail herein. However, it should be understood that the invention is not intended to be limited to the particular forms disclosed. Rather, the invention is to cover all modifications, equivalents and alternatives falling within the spirit and scope of the invention as defined by the following appended claims.

Claims

CLAIMSWhat is claimed is:
1. A method of fabricating a transistor ( 10) on a substrate ( 12), comprising the steps of: forming a doped region (58) in the substrate (12); forming a first insulating layer (46) on the substrate (12); forming an opening (62) through the first insulating layer (46) and the doped region (58), the opening
(62) separating the doped region (58) into first and second source/drain regions (24), (26); forming a second insulating layer ( 14) in the opening (62); and forming a gate electrode ( 16) in the opening (62), the gate electrode ( 16) being insulated from the substrate (12) by the second insulating layer (14).
2. The method of claim 1 , wherein the step of forming the second insulating layer comprises applying a layer of Ta^.
3. The method of claim 1 , wherein the step of forming the gate electrode comprises depositing tungsten in the opening.
4. A method of fabricating a resistor on a substrate, characterized in that the method comprises: forming a first insulating layer (46) on the substrate (12); forming a first opening (70) and a second opening (72) in the first insulating layer 46, the first and second openings (70), (72) extending at least to the substrate (12) and respectively defining first and second pluralities of sidewalls (76), (78); forming a second insulating layer (14) on the first and second pluralities of sidewalls (76), (78); and forming a first ohmic contact (66) in the first opening (70) and a second ohmic contact (68) in the second opening (72).
5. The method of claim 4, wherein the step of forming the second insulating layer comprises applying a layer of Ta^.
6. The method of claims 2 or 5, wherein the step forming a layer of Ta20, comprises depositing tantalum and substantially converting the deposited tantalum to Ta2Os by thermal oxidation.
7. The method of claims 2 or 5, wherein the step of applying a layer of Ta- i comprises applying Ta^ by chemical vapor deposition.
8. The method of claim 4, wherein the step of forming the first and second ohmic contacts comprises depositing tungsten in the first and second openings.
9. The method of claims 1 or 4, wherein the step of forming the first insulating layer comprises forming a layer of TEOS.
10. The method of claim 4, comprising the step of doping the substrate between the first and second openings to manipulate the resistance of the substrate between the first and second ohmic contacts.
1 1. An integrated circuit resistor (64), comprising: a substrate (12); a first insulating layer (46) on the substrate (12); a first ohmic contact (66) connected to the substrate ( 12); a second ohmic contact (68) connected to the substrate; and a second insulating layer (14) positioned between each of the first and second ohmic contacts (66), (68) and the first insulating layer (46).
12. The integrated circuit resistor of claim 1 1 , wherein the second insulating layer comprises Ta205.
13. The integrated circuit resistor of claim 1 1, wherein the first and second ohmic contacts comprise tungsten plugs.
14. The integrated circuit resistor of claim 1 1 , comprising a transistor connected thereto and having first and second source/drain regions and a gate electrode.
15. A transistor (10), comprising: a substrate (12); an insulating layer (46) on the substrate (12) and having an opening (62) therein extending at least to the substrate (12); a gate electrode (16) positioned in the opening (62); a first source/drain region (24) and a second source/drain region (26) positioned in spaced-apart relation in the substrate (12); and a metal oxide gate dielectric layer (14) positioned between the gate electrode (16) and the substrate
(12).
16. The transistor of claim 15, wherein the metal oxide gate dielectric layer comprises Ta2Os.
17. The transistor of claim 15, wherein the gate electrode is composed of tungsten.
18. The transistor of claim 15, comprising a resistor connected thereto and having a first ohmic contact and a second ohmic contact connected to the substrate, the second insulating layer being positioned between each of the first and second ohmic contacts and the first insulating layer.
19. An integrated circuit, comprising: a substrate (12); a first insulating layer (46) on the substrate (12) and having an opening therein (62) extending at least to the substrate (12); a first transistor (10) having a first gate electrode ( 16) positioned in the opening (62), first and second source/drain regions (24), (26) in the substrate in spaced-apart relation to define a first channel region (28), and a metal oxide gate dielectric layer (14) positioned between the first gate electrode (16) and the substrate (12); and a second transistor (30) having a gate dielectric layer (32) on the substrate (12), a second gate electrode (34) on the gate dielectric layer (32), and a third source/drain region (40) in the substrate (12) in spaced-apart relation to the first source/drain region (24) to define a second channel region
(42).
20. The integrated circuit of claim 19, wherein the metal oxide gate dielectric layer comprises Ta^.
21. The integrated circuit of claim 19, wherein the gate electrode is composed of tungsten.
PCT/US1999/002449 1998-07-28 1999-02-05 METHOD OF MAKING HIGH PERFORMANCE MOSFET USING Ti-LINER TECHNIQUE WO2000007237A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12365598A 1998-07-28 1998-07-28
US09/123,655 1998-07-28

Publications (1)

Publication Number Publication Date
WO2000007237A1 true WO2000007237A1 (en) 2000-02-10

Family

ID=22410025

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/002449 WO2000007237A1 (en) 1998-07-28 1999-02-05 METHOD OF MAKING HIGH PERFORMANCE MOSFET USING Ti-LINER TECHNIQUE

Country Status (1)

Country Link
WO (1) WO2000007237A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001086708A2 (en) * 2000-05-09 2001-11-15 Motorola, Inc. Amorphous metal oxide gate dielectric structure
US6392280B1 (en) * 2000-10-19 2002-05-21 Advanced Micro Devices, Inc. Metal gate with PVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process
CN100461412C (en) * 2005-11-21 2009-02-11 台湾积体电路制造股份有限公司 Ic chip, semiconductor structure and manufacturing method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0799310A (en) * 1993-05-24 1995-04-11 Nec Corp Field-effect transistor and manufacture thereof
US5543362A (en) * 1995-03-28 1996-08-06 Motorola, Inc. Process for fabricating refractory-metal silicide layers in a semiconductor device
US5780920A (en) * 1995-10-06 1998-07-14 Micron Technology, Inc. Method of forming a resistor and integrated circuitry having a resistor construction

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0799310A (en) * 1993-05-24 1995-04-11 Nec Corp Field-effect transistor and manufacture thereof
US5543362A (en) * 1995-03-28 1996-08-06 Motorola, Inc. Process for fabricating refractory-metal silicide layers in a semiconductor device
US5780920A (en) * 1995-10-06 1998-07-14 Micron Technology, Inc. Method of forming a resistor and integrated circuitry having a resistor construction

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 095, no. 007 31 August 1995 (1995-08-31) *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001086708A2 (en) * 2000-05-09 2001-11-15 Motorola, Inc. Amorphous metal oxide gate dielectric structure
WO2001086708A3 (en) * 2000-05-09 2002-02-28 Motorola Inc Amorphous metal oxide gate dielectric structure
US6392280B1 (en) * 2000-10-19 2002-05-21 Advanced Micro Devices, Inc. Metal gate with PVD amorphous silicon layer for CMOS devices and method of making with a replacement gate process
CN100461412C (en) * 2005-11-21 2009-02-11 台湾积体电路制造股份有限公司 Ic chip, semiconductor structure and manufacturing method thereof

Similar Documents

Publication Publication Date Title
US6225168B1 (en) Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6118163A (en) Transistor with integrated poly/metal gate electrode
US6835995B2 (en) Low dielectric constant material for integrated circuit fabrication
US6495437B1 (en) Low temperature process to locally form high-k gate dielectrics
KR100307124B1 (en) Semiconductor device and method for manufacturing the same
US6879009B2 (en) Integrated circuit with MOSFETS having bi-layer metal gate electrodes
US6894357B2 (en) Gate stack for high performance sub-micron CMOS devices
US6514828B2 (en) Method of fabricating a highly reliable gate oxide
US6110784A (en) Method of integration of nitrogen bearing high K film
US6518113B1 (en) Doping of thin amorphous silicon work function control layers of MOS gate electrodes
US6084280A (en) Transistor having a metal silicide self-aligned to the gate
US6100204A (en) Method of making ultra thin gate oxide using aluminum oxide
US6583012B1 (en) Semiconductor devices utilizing differently composed metal-based in-laid gate electrodes
US6252283B1 (en) CMOS transistor design for shared N+/P+ electrode with enhanced device performance
US5981365A (en) Stacked poly-oxide-poly gate for improved silicide formation
US6174794B1 (en) Method of making high performance MOSFET with polished gate and source/drain feature
US20220223688A1 (en) Field effect transistor (fet) stack and methods to form same
US6140191A (en) Method of making high performance MOSFET with integrated simultaneous formation of source/drain and gate regions
US7329599B1 (en) Method for fabricating a semiconductor device
US6117739A (en) Semiconductor device with layered doped regions and methods of manufacture
US11527617B2 (en) MOS transistor with folded channel and folded drift region
US6057583A (en) Transistor with low resistance metal source and drain vertically displaced from the channel
US6078089A (en) Semiconductor device having cobalt niobate-metal silicide electrode structure and process of fabrication thereof
US6303962B1 (en) Dielectrically-isolated transistor with low-resistance metal source and drain formed using sacrificial source and drain structures
WO2000007237A1 (en) METHOD OF MAKING HIGH PERFORMANCE MOSFET USING Ti-LINER TECHNIQUE

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase