WO1998000796A2 - Advanced modular cell placement system - Google Patents

Advanced modular cell placement system Download PDF

Info

Publication number
WO1998000796A2
WO1998000796A2 PCT/US1997/011096 US9711096W WO9800796A2 WO 1998000796 A2 WO1998000796 A2 WO 1998000796A2 US 9711096 W US9711096 W US 9711096W WO 9800796 A2 WO9800796 A2 WO 9800796A2
Authority
WO
WIPO (PCT)
Prior art keywords
elements
cell
cells
net
region
Prior art date
Application number
PCT/US1997/011096
Other languages
French (fr)
Other versions
WO1998000796A3 (en
Inventor
Ranko Scepanovic
James S. Koford
Alexander E. Andreev
Original Assignee
Lsi Logic Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/672,535 external-priority patent/US5872718A/en
Priority claimed from US08/672,335 external-priority patent/US5892688A/en
Priority claimed from US08/672,423 external-priority patent/US5971588A/en
Application filed by Lsi Logic Corporation filed Critical Lsi Logic Corporation
Publication of WO1998000796A2 publication Critical patent/WO1998000796A2/en
Publication of WO1998000796A3 publication Critical patent/WO1998000796A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Definitions

  • the present invention generally relates to the art of microelectronic integrated circuits, and more specifically to a system for placement of cells on integrated circuit chips.
  • Microelectronic integrated circuits consist of a large number of electronic components which are fabricated by layering several different materials on a silicon base or wafer.
  • the design of an integrated circuit transforms a circuit description into a geometric description which is known as a layout.
  • a layout consists of a set of planar geometric shapes in the various layers of the silicon chip.
  • the process of converting the specifications of an electrical circuit into a layout is called the physical design.
  • Physical design requires arranging elements, wires, and predefined cells on a fixed area, and the process can be tedious, time consuming, and prone to many errors due to tight tolerance requirements and the minuteness of the individual components.
  • the minimum geometric feature size of a component is on the order of 0.5 microns.
  • Feature size may be reduced to 0.1 micron within several years. This small feature size allows fabrication of as many as 10 million transistors or 1 million gates of logic on a 25 millimeter by 25 millimeter chip. This feature size decrease/transistor increase trend is expected to continue, with even smaller feature geometries and more circuit elements on an integrated circuit. Larger chip sizes will allow far greater numbers of circuit elements.
  • the object of physical chip design is to determine an optimal arrangement of devices in a plane and to find an efficient interconnection or routing scheme between the devices to obtain the desired functionality. Since space on the chip surface is at a premium, algorithms must use the space very efficiently to lower costs and improve yield.
  • the arrangement of individual cells in an integrated circuit chip is known as a cell placement.
  • Each microelectronic circuit device or cell includes a plurality of pins or terminals, each of which is connected to pins of other cells by a respective electrical interconnect wire network or net.
  • a goal of me optimization process is to determine a cell placement such that all of the required interconnects can be made, and the total wirelength and interconnect congestion are minimized.
  • Prior art methods for achieving this goal comprise generating one or more initial placements, modifying the placements using optimization methodologies including genetic algorithms such as simulated evolution, force directed placement or simulated annealing, described hereinbelow, and comparing the resulting placements using a cost criteria.
  • placement algorithms are classified into two major groups, constructive placement and iterative improvement methods.
  • the input to the constructive placement algorithms consists of a set of blocks along with the netlist.
  • the algorithm provides locations for the blocks.
  • Iterative improvement algorithms start with an initial placement. These algorithms modify the initial placement in search of a better placement.
  • the algorithms are applied in a recursive or an iterative manner until no further improvement is possible, or the solution is considered to be satisfactory based on a predetermined criteria.
  • simulated annealing simulated evolution
  • simulated evolution simulates the biological process of evolution
  • force directed placement simulates a system of bodies attached by springs.
  • N N factorial
  • each arrangement of cells will be referred to as a placement. In a practical integrated circuit chip, the number of cells can be hundreds of thousands or millions. Thus, the number of possible placements is extremely large.
  • Interactive algorithms function by generating large numbers of possible placements and comparing them in accordance with some criteria which is generally referred to as fitness.
  • the fitness of a placement can be measured in a number of different ways, for example, overall chip size. A small size is associated with a high fitness and vice versa. Another measure of fitness is the total wire length of the integrated circuit. A high total wire length indicates low fitness and vice versa.
  • This parameter controls the probability of accepting moves that result in increased cost. More of such moves are accepted at higher values of temperature than at lower values.
  • the algorithm starts with a very high value of temperature that gradually decreases so that moves that increase cost have a progressively lower probability of being accepted. Finally, the temperature reduces to a very low value which requires that only moves that reduce costs are to be accepted. In this way, the algorithm converges to an optimal or near optimal configuration.
  • the placement is shuffled randomly to get a new placement.
  • This random shuffling could be achieved by transposing a cell to a random location, a transposition of two cells, or any other move that can change the wire length or other cost criteria.
  • the change in cost is evaluated. If there is a decrease in cost, the configuration is accepted. Otherwise, the new configuration is accepted with a probability that depends on the temperature.
  • the temperature is then lowered using some function which, for example, could be exponential in nature.
  • the process is stopped when the temperature is dropped to a certain level.
  • a number of variations and improvements on the basic simulated annealing algorithm have been developed. An example is described in an article entitled "Timberwolf 3.2 A New Standard Cell Placement and Global Routing Package" by Carl Sechen, et al., IEEE 23rd Designed Automation Conference paper 26.1 , pages 432 to 439. b. Simulated Evolution
  • Simulated evolution which is also known as the genetic algorithm, is analogous to the natural process of mutation of species as they evolve to better adapt to their environment.
  • the algorithm starts with an initial set of placement configurations which is called the population.
  • the initial placement can be generated randomly.
  • the individuals in the population represent a feasible placement to the optimization problem and are actually represented by a string of symbols.
  • the symbols used in the solution string are called genes.
  • a solution string made up of genes is called a chromosome.
  • a schema is a set of genes that make up a partial solution.
  • the simulated evolution or genetic algorithm is iterated, and each iteration is called a generation. During each iteration, the individual placements of the population are evaluated on the basis of fitness or cost. Two individual placements among the population are selected as parents, with probabilities based on their fitness. A better fitness for an individual placement increases the probability that the placement will be chosen.
  • the genetic operators are called crossover, mutation and inversion, which are analogous to their counterparts in the evolution process, are applied to the parents to combine genes from each parent to generate a new individual called the offspring or child.
  • the offspring are evaluated, and a new generation is formed by including some of the parents and the offspring on the basis of their fitness in a manner such that the size of the population remains the same. As the tendency is to select high fitness individuals to generate offspring, and the weak individuals are deleted, the next generation tends to have individuals that have good fitness.
  • the first main operator of the genetic algorithm is crossover, which generates offspring by combining schemata of two individuals at a time.
  • Combining schemata entails choosing a random cut point and generating the offspring by combining the left segment of one parent with the right segment of the other. However, after doing so, some cells may be duplicated while other cells are deleted. This problem will be described in detail below.
  • the amount of crossover is controlled by the crossover rate, which is defined as the ratio of the number of offspring produced by crossing in each generation to the population size.
  • Crossover attempts to create offspring with fitness higher than either parent by combining the best genes from each. Mutation creates incremental random changes. The most commonly used mutation is pairwise interchange or transposition. This is the process by which new genes that did not exist in the original generation, or have been lost, can be generated.
  • the mutation rate is defined as the ratio of the number of offspring produced by mutation in each generation to the population size. It must be carefully chosen because while it can introduce more useful genes, most mutations are harmful and reduce fitness.
  • the primary application of mutation is to pull the algorithm out of local optima. Inversion is an operator that changes the representation of a placement without actually changing the placement itself so that an offspring is more likely to inherit certain schema from one parent.
  • individual placements for the next generation are chosen based on some criteria. Numerous selection criteria are available, such as total chip size and wire length as described above. In competitive selection, all the parents and offspring compete with each other, and the fittest placements are selected so that the population remains constant. In random selection, the placements for the next generation are randomly selected so that the population remains constant. The latter criteria is often advantageous considering the fact that by selecting the fittest individuals, the population converges to individuals that share the same genes and the search may not converge to an optimum. However, if the individuals are chosen randomly there is no way to gain improvement from an older generation to a new generation. By combining both methods, stochastic selection chooses probabilities based on the fitness of each individual. c. Force Directed Placement
  • the force exerted due to the stretching of the springs is proportional to the distance between the bodies connected to the spring. If the bodies are allowed to move freely, they would move in the direction of the force until the system achieved equilibrium.
  • the same idea is used for placing the cells.
  • the final configuration of the placement of cells is the one in which the system achieves a solution that is closest to actual equilibrium.
  • the problem of cell placement is compounded by external requirements specific to each individual integrated circuit chip.
  • the positions of certain "unmovable" cells are fixed a priori by the designer. Given those fixed positions, the rest of the cells are then placed on the chip. Since the unmovable cells and pads are located or placed before the placement for the rest of the cells of chip has been decided on, it is unlikely that the chosen positions will be optimal.
  • the general partitioning methodology is to hierarchically partition a large circuit into a group of smaller subcircuits until each subcircuit is small enough to be designed efficiently. Because the quality of the design may suffer due to the partitioning, the partitioning of a circuit requires care and precision.
  • partitioning One of the most common objectives of partitioning is to minimize the cutsize which is defined as a number of nets crossing a cut. Also the number of partitions often appears as a constraint with upper and lower bounds. At chip level, the number of partitions is determined, in part, by the capability of the placement algorithm.
  • the prior art accomplishes partitioning by means of a series of "bipartitioning" problems, in which a decision is made to assign a component to one of two regions. Each component is hierarchically bipartitioned until the desired number of components is achieved.
  • Numerous alternate methodologies for cell placement and assignment are known in the art. These include quadratic optimization as disclosed in an article entitled "GORDIAN: VLSI Placement by Quadratic Programming and Slicing Optimization", by J.
  • prior art methods do not provide any metric for specifying distances between cells based on netlist connections. An initial placement must be performed to establish physical locations for cells and thereby distances therebetween. Also, prior art methods fix cells in clusters at the beginning of optimization, and do not provide any means for allowing cells to move between clusters as optimization proceeds. This can create areas of high routing congestion, which cannot be readily eliminated because cell movements between clusters which could relieve the congestion are not allowed. In summary, the problem inherent in these prior cell placement methods is that repeated iterations generally do not tend to converge to a satisfactory relatively uniform overall cell placement for large numbers of cells. The aforementioned methods can take several days to place a large number of cells, and repeating these methods with different parameters or different initial arrangements may not necessarily provide improvements to cell placement.
  • Typical methods for using these designs involve using a chosen method until a particular parameter, for example wire length, achieves a certain criteria or the method fails to achieve this criteria for a predetermined number of runs.
  • the results are inherently non-optimal for other placement fitness measurements, having optimized the method based only on a single parameter. Further, results of these placement techniques frequently cannot be wired properly, or alternately, the design does not meet timing requirements. For example, with respect to simulated annealing, setting the temperature to different values may, under certain circumstances, improve placement, but efficient and uniform placement of the cells is not guaranteed.
  • a method for locating a plurality of cells on the surface of a semiconductor chip associated by a netlist comprising the steps of initially forming a neighborhood defined as a set of cells located a relatively short distance from a target cell and ordering cells within each neighborhood according to their relative distance from the target cell.
  • the system further comprises preplacing these cells within a two-dimensional abstraction of the chip surface and iteratively subdividing the surface into a plurality of regions.
  • the system assigns cells to the regions and moves the cells based on affinities for relocating the cells.
  • Cell density is then levelized over the surface based on relationships between cells, and any overlapping cells are relocated. Finally, the system performs a final cell adjustment and positions the cells.
  • the system establishes an initial location for all cells, iteratively performs a one dimensional preplacement optimization technique, subdivides the surface into subregions by dividing the surface in one direction, performs a levelizing cut point procedure and a median control procedure, and iterates the levelizing cut point procedure and median control procedure for a first predetermined number of times.
  • the system then computes a cost function and repeats the iterating and computing steps until a predetermined ending criterion is achieved.
  • the iterative performance step comprises computing coordinates for related cells, determining a weight associated with each cell, and calculating a new cell coordinate for each cell based on the coordinates from the computing step and weights from the determining step.
  • the cell moving step comprises computing a combination of affinities from among the group of affinities based on region capacity, and affinities based on groups crossing divisions between regions.
  • Cell ordering comprises assigning coordinates to each cell, iterating cell coordinates, and assigning the cells to neighborhoods.
  • FIG. 1A is a flow chart illustrating the main steps of the process according to the present invention
  • FIG. IB is a flow chart illustrating the main steps of the process according to the present invention
  • FIG. 2 is an illustration of an exemplary integrated circuit chip
  • FIG. 3 is an illustration of a cell that has one pin connected to each net
  • FIG. 4 illustrates seven nets, each of which interconnect a plurality of cells;
  • FIG. 5 is a flowchart illustrating the formation of a cell cluster or
  • FIG. 6 is an illustration of a center cell and nets
  • FIG. 7 shows that the cell v is assigned a coordinate between 0 and 1;
  • FIG. 8 is a flowchart illustrating the iteration of the recomputing of the net and cell coordinates
  • FIG. 9 illustrates a cell having several pins which belong to the net
  • FIG. 10 is an illustration of a core divided into subregions
  • FIG. 11 is an illustration of a moveable cell within the core region
  • FIG. 12 is a flowchart that demonstrates me procedure for obtaining an initial one dimensional placement of the movable cells
  • FIG. 13 is an illustration of the coordinates of the nets along an imaginary line
  • FIG. 14 is an illustration of the coordinates of the nets along an imaginary line
  • FIG. 15 is an illustration of the placement of nets along the line in the direction partitioned along a dividing line providing two subregions containing the cell positions;
  • FIG. 16 is an illustration of a region physically divided in half by a dividing point;
  • FIG. 17 is an illustration of one cell located in each of the ten subintervals
  • FIG. 18 is a flowchart relating finding a levelizing cut point
  • FIG. 19 is an illustration of the calculation step which determines the offset of the cut line from the dividing line
  • FIG. 20 is an illustration of the two regions that are divided using two dividing lines
  • FIG. 21 is an illustration of adjacent cell location step which initially considers moving a cell from its current position to each of the adjacent regions, as well as considering leaving the cell in the current region;
  • FIG. 22 is an illustration of the (A,B) interval which is subdivided into equal subintervals in subdivision step 450;
  • FIG. 23 is an illustration of the cell region having a certain number of columns, or possibly rows, located therein;
  • FIG. 24 is an illustration of the penalty calculation step 501;
  • FIG. 25 is an illustration of a three pin net
  • FIG. 26 is an illustration of each dividing line partitions regions, and each of these regions has a capacity denoting the volume of cells which can fit within the region;
  • FIG. 27 represents a region having indices (TX, TY).
  • FIG. 28 illustrates an ordering of cells within the neighborhood
  • FIG. 29 is an illustration of the weight assignment step which assigns each cell a weight equal to the size of the neighborhood minus the index of the cell;
  • FIG. 30 is an illustration of the weights of the neighborhood attraction in a direction
  • FIG. 31 is an illustration of the system which iterates a predetermined number of times, preferably once, calculating affinities
  • FIG. 32 is a flowchart associated with the density driven spring system
  • FIG. 33 is an illustration of a portion of the chip that has seven columns which are partitioned into maximal segments without blockages
  • FIG. 34 is a preferred order for scanning the regions
  • FIG. 35 is an illustration that denotes the top and bottom of the column
  • FIG. 36 is a flowchart of a preferred process adjusting cell spacing in the column to remove overlap with minimal noise
  • FIG. 37 illustrates a column containing cells of specified heights
  • FIG. 38 is an illustration of the cells that are set to the grids by increasing the coordinate until the bottom of each cell reaches the closest horizontal grid line.
  • FIG. 1 An overall block diagram of the preferred implementation of the current invention is presented in FIG. 1. As will become apparent from the following detailed description, other embodiments can be implemented with highly effective results while still within the scope of me invention.
  • Section 1 System Overview.
  • FIGS. 1A and IB comprise a flow chart that illustrates the main steps of the process according to the present invention.
  • a brief description of the various steps of the process is presented with reference to FIGS. 1A and IB.
  • this disclosure is divided into sections. This first section is a general overview of the process according to the present invention. Subsequent sections describe and explain the algorithms and process steps shown in FIGS. 1A and IB with reference to other figures of the drawings as appropriate.
  • the cell placement system performs placement as either a uniprocessor or parallel processor procedure. Unlike previous systems in which a constructive heuristic provided an initial placement followed by a statistical improvement technique, the process according to the present invention constructs and optimizes placements in a series of highly integrated steps.
  • Subsection 1A Data Preparation
  • the use of placement techniques must, of course, be preceded by the step 1 of preparation of the neUist.
  • preparation of the netlist is a major design effort that includes system specification, synthesis, debugging, preliminary timing, and final netlist construction. The specifics of these complex design steps are not the subject of the present disclosure.
  • the description of the present invention begins by assuming that the system to be implemented on the chip has been designed and that the resulting correct netlist is available.
  • the techniques for preparing a netlist are well known in the art.
  • the process according to the present invention constructs a neighborhood 2 for each cell in the netlist. Neighborhood construction is discussed in more detail in ⁇ 2 below.
  • the neighborhoods are preferably constructed according to the neighborhood construction process described below. After the neighborhood of a cell is constructed, coordinates are assigned to each cell, as described in detail subsequently in ⁇ 3, which describes a preferred technique for optimization of the cell neighborhoods.
  • Subsection 1C Placement Iteration
  • the cell coordinates are then iteratively optimized under the iterative ID preplacement optimization procedure described in ⁇ 4.
  • the purpose of this iterative ID preplacement optimization procedure is to get a fast, good cell preplacement.
  • the cells are pre -placed on a two-dimensional abstraction of the chip surface.
  • the iterative one -dimensional preplacement optimization procedure begins with the step 3 of initializing the coordinates of all cells at the center of the surface, and then performing the iterations described in ⁇ 4 in the x- and y-directions.
  • a density -driven one dimensional preplacement is performed 4 to assign cells to regions in accordance with the capacities of each region of the chip.
  • a preferred process for assigning cells to regions in accordance with region capacity is described in ⁇ 5.
  • the surface abstraction is divided into subregions by bisection in a selected direction.
  • a preferred levelization by bisection process 5 is described in ⁇ 5.
  • a median control procedure 6 is then used to modify coordinates to the cells.
  • the ID preplacement optimization procedure of ⁇ 4, the cut-point procedure of ⁇ 5 and the median control procedure of ⁇ 6 are then iterated for a specified number of times, and the average value of the cost function (e.g. wire length) for the iterations is computed.
  • the ID preplacement optimization procedure of ⁇ 4, the cut- point procedure of ⁇ 5 and the median control procedure of ⁇ 6 are then again iterated for the specified number of times as a block 20 and the average cost function is recomputed. If the average cost function is decreased by less than a specified amount, usually 10 '3 , this step (block 20) is exited. Otherwise this step (block 20) is again iterated with the average cost function again computed and compared to the previous average cost function value. At the end of this step the cells have been assigned to subregions in such a way that the capacities of the subregions are not exceeded.
  • the cost function e.g. wire length
  • An affinity-driven placement optimization 7 is performed to improve cell placement and to minimize the cost function.
  • a preferred affinity-driven placement optimization process is described in ⁇ 7.
  • the affinity optimizations are driven by considerations of cost functions as described in ⁇ 8-11.
  • a functional sieve optimization technique is also used to perform the cell movement.
  • the level of the subdivision of the chip surface is checked 8. If the level of subdivision is not at the specified highest level (i.e. , the level of hierarchy with the smallest desired regions), block 21 is repeated the chip is further subdivided in the y-dimension; the preplacement iteration of ⁇ 4-6, is reentered with that level of subdivision for the y-coordinate.
  • the preferred highest level is where the region is one column wide.
  • the x-coordinate is processed, etc. , as x and y alternate.
  • the typical number of iterations of block 21 is 21og 2 N where N equals the number of columns in the chip. If, for example, the chip has 8 columns, the number of iterations is 21og 2 8, or 6. After a certain level of hierarchy is achieved, it may be desirable to stop the process at this point and not continue with further cell placement. This is especially true if one wants to obtain a fast estimate of cell placement.
  • the process enters a cell density levelization procedure as shown at the beginning of FIG. IB.
  • various combinations of the algorithmic steps described herein can be used. Algorithmic steps can be deleted as desired and as appropriate for the particular circumstances presented.
  • the cell density levelization preferably begins with a dispersion -driven step 9 as described in ⁇ 16. As this step is entered, the cells have been placed in positions on the surface that optimize given cost functions. However, the cell layout may not be feasible because cells may overlap, and the cells may have not been assigned to proper cell columns.
  • the sinusoidal optimization step 10 of ⁇ 15, the dispersion -driven levelizing system 9 of ⁇ 16 are performed globally to levelize the density of cells using global levelization procedures. Steps 9 and 10 are iterated as a block 22. Typically, about 5 iterations has proven effective.
  • the sinusoidal optimization procedure 12 of ⁇ 15 is applied to the chip surface subdivided into cell columns.
  • the densities of cells in the columns are controlled to prohibit overflow and ensure that the cells are evenly assigned to the columns required by the structure of the final design.
  • the sinusoidal optimization procedure is iterated as a block 23, generally, for a specified number of times, such as 5 times.
  • the overlap removal procedure 13 of ⁇ 14 is applied again without controlling the maximum distance between adjacent cells.
  • the step removes any overlap that exists among cell outlines. This step is used to produce a physically feasible layout. Applying the overlap removal procedure at this part of the process removes cell overlap with minimal increase in average wire length.
  • the crystallization step places the cells in correct, final positions. Proper vertical cell spacing are computed so that horizontal wires can be routed over and between cells in the vertical columns. Vertical and local-horizontal "swaps" may be performed if doing so improves the cost functions. Cells must be assigned proper geometric coordinates so that their positions correspond to legal grid positions specified by the underlying chip architecture. All of these steps 14, 15, 16, 17, 18 and 19 are performed by the crystallization procedures described in ⁇ 17. These procedure "freeze" the cells into their final positions. Steps 14, 15 and 16 are iterated as a block 24, generally a specified number of times, such as 10 times. Steps 18 and 19 are also iterated as a block 25 a specified number of times. At this point, the placement process is completed, and a data structure is prepared that can be read by the routing system for chip routing and design completion.
  • the circuit 26 includes a semiconductor substrate 26A on which are formed a number of functional circuit blocks that can have different sizes and shapes. Some are relatively large, such as a central processing unit (CPU) 27, a read-only memory (ROM) 28, a clock/timing unit 29, one or more random access memories (RAM) 30 and an input/output (I/O) interface unit 31. These blocks, commonly known as macroblocks, can be considered as modules for use in various circuit designs, and are represented as standard designs in circuit libraries.
  • the integrated circuit 26 further comprises a large number, which can be tens of thousands, hundreds of thousands or even millions or more of small cells 32. Each cell 32 represents a single logic element, such as a gate, or several logic elements interconnected in a standardized manner to perform a specific function. Cells that consist of two or more interconnected gates or logic elements are also available as standard modules in circuit libraries.
  • the cells 32 and the other elements of the circuit 26 described above are interconnected or routed in accordance witii the logical design of the circuit to provide the desired functionality.
  • the various elements of the circuit 26 are interconnected by electrically conductive lines or traces that are routed, for example, through vertical channels 33 and horizontal channels 34 that run between the cells 32.
  • the problem for the chip designer is in constructing a layout indicating the positions of the modules such that the area on the chip surface occupied by wires and the overall layout area are minimized.
  • the system shown in Figs. 1A and IB receives inputs for a user-specified integrated circuit design which includes a netlist.
  • a connection between two or more interconnected elements of the circuit is known as a wiring net, or net.
  • a netlist is a list of cells and nets.
  • a hyperedge is a series of pins which are interconnected, i. e. , wired together with an electrically common connection.
  • a hyperedge having pins A, B, and C means that pins A, B, and C are all connected together with a common metal wire.
  • a particular cell, especially a large cell, can have two or more pins that are interconnected by one net q, and for this reason
  • each cell has only one pin connected to each net.
  • FIG. 3 An example is illustrated in FIG. 3.
  • a net q 40 is shown as interconnecting 5 cells 34, 35, 36, 37 and 38, that are collectively designated as w.
  • the cells w are illustrated as being spaced from each other and enclosed in an oblong shape which represents the net q. This is for illustrative purposes only, as it will be understood that the net q does not have any specific shape, and merely specifies that individual pins (not shown) of the cells w are to be interconnected. This arrangement is referred to as a hyperedge.
  • a distance p(v,,v 2 ) between two given vertices v, and v 2 is defined as the length of the shortest path between the vertices, where the length of a path is the sum of the lengths of the nets (hyperedges) that constitute the path.
  • FIG. 4 illustrates seven nets q, to q 7 , each of which interconnect a plurality of cells w.
  • the distance between two given cells or vertices v, and v 2 is the length of the shortest path through the nets q, to q 7 that interconnects the cells.
  • the cell v is common to the nets q, and q 2 . However, there is no path from the cell v, to the cell v 2 through the net q ⁇
  • the path through the nets q 2 , q 3 and q 4 has the shorter length, more specifically 6. If there is no other path (not shown) that is shorter, the distance between the cells v, and v 2 is defined as the length of this path.
  • FIG. 4 also illustrates how to measure a distance p(v,q) between a cell v and a net q.
  • to the vertex v 2 through the nets q 2 , q 3 and q 4 is the shorter path between the cell v, and any cell w in the net q 7 , having a value of 6 as described above. If there is no other path (not shown) that is shorter, the distance between the vertex v, and the net q 7 is therefore defined to be 6.
  • the range is the distance from the center cell v to the net q plus the length of the net.
  • a "border” is a list of all nets that have ranges equal to the index of the border.
  • the borders can be considered as a series of concentric shells or quantum levels, with each border having an incrementally higher index and including nets having an incrementally higher range than the border with the next lower index.
  • FIG. 5 is a flowchart illustrating the formation of a cell cluster or
  • neighborehood N(v,M) in accordance with the present invention.
  • the term “neighborhood” is illustrative of the fact that the clusters can be “fuzzy”,with one cell being included in two or more clusters, and two or more clusters being allowed to overlap.
  • a target number M of cells are designated to be included in a neighborhood.
  • a number of cells between 15 and 30 tends to work best, with the optimal number being about 20 cells in each neighborhood.
  • the algorithm outlined below is executed until C,; * M cells are collected within various neighborhoods.
  • C is a predetermined parameter.
  • the preferred value of C is 2.
  • the first step is to specify a particular cell v to constitute the center of the cluster N, and a value for M as indicated in a step 100.
  • the flowchart of FIG. 5 includes a plurality of nested loops indicated by broken lines. This notation indicates that all of the steps included within each loop are to be performed for all outer loops.
  • a step 102 which follows the first step 100 of inputting values of v and M is to determine which nets include the center cell v c , and assigning all these nets to corresponding borders.
  • the next step, designated as 104, is to examine all borders, starting with border,, in increasing order of index.
  • the next step 106 is to assign nets to borders in index order.
  • a step 108 includes assigning all cells which are not in the neighborhood from the nets in the current border to the neighborhood.
  • a step 110 includes assigning all nets which contain cells just included in the neighborhood, if these nets have not been previously assigned to any border, to corresponding borders.
  • the cluster or neighborhood N(v,m) is output in a step 112.
  • FIG. 5 The method of FIG. 5 will be described further with reference being made to an example illustrated in FIG. 6.
  • This example includes a center cell v c and nets q, to q 8 .
  • Step 102 includes assigning all nets that include the center cell v to borders.
  • the nets q,, q ⁇ and q 3 all include the center cell v. Since the distances from the center cell v c to these nets is zero, the ranges of these nets are equal to their lengths.
  • the nets q 2 and q 3 have lengths 3 and 4, and are assigned to the borders border 3 and border 4 respectively.
  • step 104 and 106 the borders are examined in increasing order of index to determine if they include any nets. Border, does not include any nets. Border 2 includes the net q, . Therefore, step 108 is performed, in which all cells w in the net q, are assigned to the cluster or neighborhood N(v,j).
  • step 110 it is determined if there are any other nets connected to cells that were just assigned to the neighborhood. In this case, there are not, and the processing returns to step 104 to examine the next border.
  • the next border is border 3 which contains the net . All of the cells w in the net q 2 (except v) are assigned to the neighborhood.
  • the method then performs step 110 to determine if any other nets q include any of the cells w (just included in the neighborhood) of the net q 2 .
  • the nets q 5 and q 6 include cells which are also included in the net q 2 , and are thereby connected to the net q 2 . If these nets have not been assigned to borders, then they are now assigned to the borders having indices equal to their ranges respectively. The ranges of both nets q 5 and q 6 are 5, so these nets are assigned to border 5 .
  • step 104 The steps 104 and 106 are then performed for the next border, more specifically border 4 which includes the net q 3 .
  • step 108 all cells of the net q 3 are assigned to the cluster or neighborhood.
  • step 110 is performed to determine if any other nets q " include cells which are also included in the net q 3 .
  • the net q 4 is connected to the net q 3 .
  • the net q 4 has a range of 5, and is assigned to border 5 .
  • border 5 contains the nets q 4 , q 5 and q 6 . No other nets are connected to q 4 and q 5 , but all of the cells of the nets q 4 and q 5 are assigned to the cluster. All of the cells of the net q 6 are also assigned to the cluster. The net q 6 is connected to the net q 7 , and q 7 is added to border 6 .
  • border 6 Examination of the next border, border 6 , indicates it contains the net q 7 . All cells of the net q 7 are assigned to the cluster, if tfiey were not assigned previously. Since the net q g is connected to the net q 7 , the net q 8 may also be added to the cluster. The net q 8 has a range of 11, and may be assigned to border,, .
  • a list of the nets processed is generated. That list of nets includes all nets incident to cells included in the neighborhood.
  • coordinates are assigned to each individual cell.
  • the neighborhood of the cell is constructed and optimized using the cell as the center.
  • a target number of cells C, * M for the neighborhood is also defined.
  • An alternative measure for the parameter M is the total height of all cells in the neighborhood, with height defined as the physical y-axis dimension of an individual cell. A maximum total cell height may alternately be used to define the neighborhood size.
  • Procedure 1 The new net coordinates are computed such that for any net q within the set of nets Q,
  • the new cell coordinate Z[v] is equal to:
  • the iteration procedure is generally accomplished for a pre-determined number of times, preferably 15 to 20 times.
  • Section 4 Iterative One Dimensional Preplacement Optimization
  • a one dimensional iterative optimization initially provides a fast, good cell coordinate placement.
  • the one dimensional iterative optimization is performed in both the x and y directions.
  • the iterative optimization may be performed in the y direction initially, but the preferred method is to perform it in the x direction.
  • a netlist or hypergraph H includes the set V of cells v and the set Q of nets q.
  • FIG. 9 illustrates a cell v 102 having several pins which belong to the net q 104.
  • the origin 106 of the cell defines the default "position" of the cell. If a net q 104 is being evaluated by the system, then the pin 108 on the cell v 102 which is on the net q 104 is positionally defined relative to the origin 106. Any point may be defined as the origin of the cell, including its center of mass, but the preferred embodiment is to define the origin at the physical lower left corner of the cell as shown in FIG. 9.
  • the core 201 is divided into subregions R. Initially, the preferred value of R is one, indicating the core is not subdivided.
  • the subdivision of the core is represented by an array X[i] of x-coordinates of vertical dividing lines 202(1) through 202(n) and an array Yfj] of y-coordinates of horizontal dividing lines. For each interval X[i] and X[i + l], a dividing point is calculated to determine finer resolution. Each cell v belongs to some of these intervals, and the interval function I[v] is equal to i if the cell v belongs to the interval Xfi], X[i + 1].
  • the current coordinates of the cell v are denoted as Z[v], while the coordinates of the net q are denoted by Z[q].
  • each movable cell coordinate is assigned a coordinate of a dividing point of the interval the cell occupies.
  • Z[v] DX[I[v]j.
  • DX is typically the midpoint of the interval, but the dividing point may be at a different location in each interval while still within the scope of the current invention.
  • the movable cell coordinate 203 is located at the dividing point 204 of the interval, which is a point at a percentage of the width or length of the core region 201.
  • the preferred implementation is locating the dividing point 204 at the center point of the surface abstraction of the core region. Fixed cells are assigned their real coordinates.
  • the 12 demonstrates the procedure for obtaining an initial one dimensional placement of the movable cells.
  • the movable cells are assigned the coordinate of the center of the region where they are located in initialization step 250.
  • net coordinate computation step 251 new net coordinates are computed. These new net coordinates are computed such that for any net q within the set of nets Q,
  • Z * (q,i) is calculated by determining a temporary value a, where a initially equals Zfq]. If a is greater than Xfi + 1], or is outside the interval, then a is set to the greater border condition, or equal to X[i + 1]. If a is less than Xfi], again outside the interval, then a is set to the lesser border condition Xfi]. Finally, Z * (q,i) is set equal to a.
  • This set of steps places the coordinates of the nets along an imaginary line as shown in FIG. 13, line 225. Cells are placed along this line based on the results of the initial Z(v) calculation described above, and these positions are subsequently iteratively moved to new positions in the region. The positions of cells within the region are shown in FIG. 14, which includes positions where some of the nets are initially outside the region. These out of bounds nets are then set to the edge of the region.
  • the difference between the previous value of the cost function and the new value of the cost function is determined in step 253.
  • the typical cost function used is wire length and the cost function is computed as the average of the half-perimeters of boundary boxes for all nets.
  • the typical cost function used is wire length and the cost function is computed as the average of the half-perimeters of boundary boxes for all nets. This difference is evaluated in step 254, and if the difference is not below a predetermined threshold, the cell positions are iterated by repeating net coordinate computation step 251 and new cell coordinate computation step 252.
  • This iteration procedure is repeated for a number of times, such as one hundred times, or until the difference in cost function of two consecutive iterations is less than a predetermined threshold, such as .001 microns.
  • a predetermined threshold such as .001 microns.
  • a unit may be any measure, but the preferred distance threshold is .001 microns. The number of iterations and the threshold may vary while still within the scope of the invention.
  • Section 5 Fast Procedure for Finding a Levelizing Cut Point
  • the surface abstraction, or core region 201 denotes a region R[i,j].
  • the previous one dimensional fast preplacement procedure provides a given dividing point DXfi], if the region is divided horizontally, or DYfj] if the region is divided vertically. This dividing point may be anywhere along the line containing the cells from the previous procedure, but the preferred location is the midpoint of the line.
  • the dividing point in the current example generates two subregions in the region Rfij].
  • the placement of nets along the line in the x direction is partitioned along a dividing line 300 providing two subregions containing the cell positions.
  • the capacity of each of these regions is the area of the regions without all fixed cells or blockages.
  • the capacities of the two regions are capO and capl .
  • a cut point, ZQ is desired which divides the cells such that a percentage of cells or cell heights is proportional to the size of the region. For example, if a 50% cut point is desired, the required location for the cut point is where the two regions, defined by the physical 50% border dividing the surface abstraction, would have equal numbers of cells or of cell heights. As shown in FIG.
  • a region physically divided in half by a dividing point 300 may not have a cut point and cut line 301 coexistent with the region dividing point.
  • the sum of all heights of all cells having coordinates lower than Z 0 , or of the total quantity of cells having coordinates lower than Z 0 must not be greater than
  • Initialization step 326 initializes an array Sfk] having N elements, stores the sum of heights of all cells having subintervals with indices less than or equal to k, and initializes all the elements of array Sfk] with the value zero. For each cell v within the region Rfij], index calculation step 327 computes an index n(v) of the subinterval where the cell v coordinate is located:
  • (A,B) is an interval from 0 to 200 and 10 equal subintervals are desired. In fact, a number in the range of 1000 such intervals would normally be desired, but 10 is used here for purposes of illustration. Further, assume that one cell is located in each of the ten subintervals, as shown in FIG. 17, although it would be probable that subintervals would contain more than one cell. Assigning A has a value of zero, and B a value of 200, n(v) for a cell in this arrangement is equal to the minimum integer value greater than Z(v)/10 for the cell.
  • ]x[ denotes take the minimum integer greater than x, such that for x having a value of 1.3, the value of ]x[ is 2. This results in an integer value for a subinterval within the (A,B) region where the individual cell is located.
  • Height accumulation step 328 accumulates the heights of the cells in each subinterval within ⁇ he array Sfk] according to the relationship:
  • step 329 calculates the values witiiin Sfk] by iterating for k equal to 2, k being less than or equal to N, incrementing k,
  • Cut point index locator step 330 locates the minimal index k ⁇ such that
  • the levelizing cut point, where cell height is equivalent to the percentage of area within the surface abstraction is equal to
  • the subinterval where the levelizing outpoint is located may be again divided into N subintervals in subdivision step 332 and the procedure repeated, locating a second levelizing cutpoint.
  • Section 6 Median Control and Increase in Resolution
  • the levelizing cut point Z 0 divides the cloud of cells proportionally to the capacities of the subregions induced by the dividing point D.
  • offset calculation step 350 determines the offset of the cut line from the dividing line, where ⁇ is the difference between the coordinates of dividing point D and the levelizing cut point Z 0 . Coordinates for each cell in the region are modified such that for any cell v within the region Rfij], the cloud of cells is shifted in shifting step 351 such that the cut point is collocated with the dividing point:
  • a is greater than Xflfv] + 1] then a is equal to Xflfv] + 1] . If X is less than X[i[v]], then a is equal to Xflfv]]. Zfv] is then set equal to this value a.
  • the system initially places all cells at the center of the two-dimensional abstraction of the chip surface.
  • the system then performs a predetermined number of iterations of the One Dimensional Preplacement Optimization in one direction, such as the x direction.
  • the surface abstraction is then subdivided into sub-regions by dividing the surface abstraction in the opposite direction.
  • the system uses the Levelizing Cut Point procedure to partition the cells into groups proportional to the capacities of the subregions.
  • the Median Control procedure modifies the coordinates of the cells.
  • the Levelizing Cut Point and Median Control procedures are iterated a specified number of times (preferably 6) with the specified number of iterations comprising a Block.
  • the average cost function is computed after a Block is performed.
  • an overall cost function is computed. After repeating this Block a predetermined number of times (typically 10), the system computes the average cost of each cost calculated during these Block iterations. The current average cost value is compared with the previous average cost value, and if the difference between the average value and the previous value is less than a predetermined value (such as 10 " 3 ), the procedure for the first level of hierarchy is complete. Cells are then assigned to the respective subregions depending on the calculated coordinates.
  • the system may repeat the aforementioned procedures based on a cut in the opposite direction. If, for example, the initial iterative one-dimensional preplacement optimization divides the available space on the surface abstraction by a vertical line, or divides in the x direction, the system executes the finding of a levelizing cut point procedure and the median control and resolution increase procedure in this direction.
  • the cells are assigned to one of the two regions, and the procedure may be repeated in the y- direction, based on the cells located in the two regions, after other optimization procedures discussed below are completed.
  • the two regions are divided using two dividing lines in the y direction and cells are placed along these two lines.
  • the system locates a levelizing cut point for each region and partitions out the cells to the four remaining cells. This division in the y direction creates a second level of hierarchy.
  • hierarchy levels are determined based on the number of divisions of the surface abstraction.
  • the level of hierarchy is the sum of the number of times the surface abstraction is divided into separate regions. For example, if the surface abstraction has been divided three times in the x direction and two times in the y direction, the system has reached the fifth level of hierarchy.
  • the total number of regions is equal to 2 ⁇ Levelx + ve] with "Levelx” meaning the number of occasions that the surface abstraction has been divided in the x direction and "Levely” means the number of occasions that the surface abstraction has been divided in the y direction.
  • 32 regions exist at the fifth level of hierarchy.
  • Section 7 Universal Affinity Driven Discrete Placement Optimization After each surface abstraction division, the system performs a discrete placement optimization. For purposes of illustrating this procedure, it is assumed that the previous routines have furnished two sets of cells partitioned into two regions on the surface abstraction. All cells are located in the centers of each region. The system calculates affinities and cost functions for the arrangement. An affinity is calculated based on current cell placement and blockages in a chip. Affinities are heuristically connected with a desired cost function, which should be minimized. Affinities can be driven by cell density, wire length, minimizing maximal cut, clustering, etc. , or some combination of these parameters depending on the goal sought to be achieved. Affinities may be positive or negative, and relate to the quality of an alternate placement of a cell. For example, having a cell with a higher affinity at a first location and a lower affinity at a second location indicates that the preferred placement of the cell is the first location.
  • the parameter of the discrete placement optimization is e, which represents the accuracy of the placement, and is a small number, such as 10 3 or 10 "4 .
  • adjacent cell location step 400 initially considers moving a cell from its current position to each of the adjacent regions, as well as considering leaving the cell in the current region. For higher levels of hierarchy (i.e. , a. substantial number of regions), nine total regions are evaluated for cells not located on an edge of the surface abstraction.
  • Affinity calculation step 401 calculates, for each adjacent region, the maximum affinity of the cell is moved to these adjacent regions. The total number of cells having an affinity greater than a predetermined value p is denoted N p .
  • the affinities for the cells are calculated and ordered according to these affinities.
  • Global threshold evaluation step 402 finds a threshold number, Globthresh, such that the total number of cells, N G
  • a threshold number Globthresh
  • the overall global threshold is determined using a similar procedure to that described above with reference to the procedure for finding the levelizing cut point.
  • the affinities are ordered sequentially, and all cells are defined to be within an interval (A,B), exclusive of negative affinities.
  • the (A,B) interval is subdivided into k equal subintervals in subdivision step 450.
  • Initialization step 451 defines an affinity array Afi] having i elements. The initial value of all elements of this array is 0.
  • Afi] stores the number of cells whose affinities are greater than or equal to (k-i)*L, where L is the length of the subinterval within (A,B).
  • calculation step 452 computes an index i(v) of the subinterval where the cell v affinity is located:
  • Cell affinity summation array step 453 calculates the value of Afi] by iterating for i equal to 2, i being less than or equal to k, incrementing i,
  • A[i] A[i-1] + A[i]
  • Global affinity evaluation step 454 determines the global affinity threshold GlobThresh using the previous equation such that GlobThresh is equal to i where i is the minimum i such that N 0 • e is less than or equal to Afi].
  • the system After calculating GlobThresh, the system evaluates the list of all cells in a predetermined sequential order. Affinity comparison step 455 calculates the maximal affinity for the present region and for each adjacent region. If the affinity for an adjacent region is greater than the global threshold GlobThresh, the cell is placed in the new region in cell repositioning step 456. The original cell position data structure is updated in data structure update step 457. Then steps 455, 456 and 457 are iterated as a block 458, generally 3 times.
  • the result of this procedure is a global threshold for all cells. Some cells have been moved to adjacent regions, altering affinities of other cells. The procedure is then repeated two more times, for a total of three iterations, through the list of all movable cells using the same threshold.
  • a local threshold can be calculated in addition to the global threshold. The local threshold is calculated in the same fashion as the global threshold, but with respect to only the cells from the region where the cell is located. If we use this additional, optional procedure, we move the cell only if the maximal affinity is greater than both the global threshold and the local threshhold.
  • An average cost function representing the average of the three values of the cost function calculated after each iteration, is computed. Now we compute a new threshold as described above in step 454. This entire procedure, from threshold computation through cost function computation (block 459), is repeated a predetermined number of times (usually 10 times). Each time block 459 is repeated the predetermined number of times, the average value of the average cost function is calculated and compared with the previous average value of the average cost function value. If
  • the surface abstraction is partitioned alternately in the vertical and horizontal directions, where each division denotes an additional level of hierarchy.
  • the levels of hierarchy, Lev x and Lev determine the number of hierarchy levels.
  • the number of grids, or channels of regions, in each direction are given as Grid x , equal to 2 Levx , and Grid ⁇ , equal to 2 Levy .
  • Each region on each level of hierarchy is determined by (Lev x , Lev y , i, j) where i and j are the indices of corresponding surface abstraction segments.
  • the capacity of each region is a function of these four parameters. The system then calculates the sum of the heights in each region.
  • the system calculates a region capacity in terms of the heights of cells which can be located within a single region.
  • This capacity of cell heights accounts for rows or columns of locations where cells may be located. As shown in FIG. 23, the cell region will have a certain number of columns, or possibly rows, located therein.
  • the cell height capacity represents the space available to individual cells within the region and is based on the hierarchy of the surface abstraction. As outlined below, the highest level of hierarchy defines a single column per region. As may be appreciated by one of ordinary skill in the art, rows may be used rather than columns to define a total cell width capacity rather than a height capacity.
  • All cells are located at the center of a region during some phases of the placement procedure.
  • the height of a single cell may extend into more than one region.
  • a parameter ColKey is assigned to this placement system process.
  • the center of each cell is assigned to the center of the region it occupies. If ColKey has a value of 0, the entire height of the cell is located within a single region. If ColKey is equal to 1, the height of the cell is distributed to the regions the cell overlaps. For example, if a cell has a height of 16 units while the region has a height of ten units, three units are assigned to the cell above and three to the cell below the current cell.
  • Cells located in an edge region are assigned to the region away from the edge, and not to any region outside the edge. Hence in the example previously presented, ten units of the cell would be assigned to the edge region and three to the region above the edge region. Movement of the cells from one region to another requires updating the total of the heights in each region.
  • Each cell v is located within regions with indices Ifv] and Jfv], in the x and y directions, respectively. Movement of a cell to an adjacent region is denoted by ⁇ , and ⁇ where ⁇ , is a movement in the horizontal direction, with a rightward movement being + 1 , and ⁇ j representing vertical movement, upward yielding a + 1 value.
  • ⁇ , and A ⁇ each are set at either -1, 0 or + 1.
  • penalty calculation step 501 computes a penalty, PenCapB(v,o:, ⁇ I , ⁇ J ), equal to the following values:
  • Prior level calculation step 502 computes the penalty for the regions three levels before the current level. For example, if the current hierarchy divides the surface abstraction into 64 by 64 total regions, then three levels before has 8 by 8 regions. A total of 64 eight by eight regions will fit into a 64 by 64 area.
  • the total penalty, PenCap8(v, ⁇ ,, ⁇ j ) is calculated in the same manner as the PenCapB calculation in total penalty computation step 503.
  • is the capacity penalty weight in the total affinity
  • Sw is a switch parameter set to 0 or 1 depending on whether use of the PenCap ⁇ variable is desired.
  • PenCap ⁇ is used only when the area is divided into 16 by 16 regions or more.
  • are the relative weights of corresponding penalties. The use of these various penalties allow the user to drive the placement based on predetermined desired characteristics. If capacity in individual columns is to be penalized more than other capacity weights, then the value of ⁇ co , is greater than the other ⁇ factors, i.e. ⁇ and ⁇ ad , where all ⁇ factors are between zero and one. While design and performance are generally a matter of choice, experience has demonstrated that ⁇ may initially be set to the following value:
  • ⁇ 0 ranges between .5 and 1.5
  • CoreX is the x dimension of the core.
  • the values initially selected for ⁇ col and ⁇ ad are 16 and 16.
  • Section 9 Wire Length Driven Affinity System An alternate embodiment of the current design is to calculate affinities and penalties according to the relative wire lengths of different designs. This procedure provides a set of affinities providing the mudimal wire length over all feasible placement solutions.
  • X(w) is the current coordinate of the cell origin
  • x(v,q) is a pin offset from the origin where the pin belongs to the net q.
  • the y component penalties are similar:
  • the penalty vector for cell v and net q in the x-direction is: (PenHP x (v,q,-l), PenHP x (v,q,0), PenHP x (v,q,l))
  • the penalty vector for cell v and net q in the y-direction is: (PenHP ⁇ (v,q,-l), PenHP Y (v,q,0), PenHP ⁇ (v,q,l)) These values correspond respectively to movement of the cell upward, nonmovement of the cell in the vertical direction, and movement of the cell downward.
  • the penalty vector for the individual situation is as follows. If X max is less than X, then the vector representation for the penalty in the x direction is (-1 ,0, 1), indicating zero penalty for keeping the cell in its current location, a penalty of one for moving the cell to the right, and a penalty of -1 for moving the cell to the left. This indicates that a cell in the net is outside the left boundary of the region, and movement of the entire net to the right would be a penalty for the wire length. Movement of the cell to the left would be a negative penalty, or benefit, to the wire length. For X m ⁇ n greater than X r , the penalty vector is (1 ,0,-1).
  • the penalty vector is (0,0,1). For X m less than X, and X mdX greater than X r , the penalty vector is (0,0,0). For X m ⁇ n greater than or equal to X, and X max less than or equal to X r , the penalty vector is (1 ,0,1). For X m ⁇ n greater than or equal to X, and X max greater than X r , the penalty vector is (1,0,0). Similar vectors result for positions of the cells in the y direction.
  • the total penalty for a cell v in the X direction is a normalized sum of the penalties in the X direction over all nets incident to the cell v:
  • PenHP v, ⁇ (X/[l] - PenHP v,q,A)
  • PenHP 1 v J) ( [l] - [ ]) * PenHP l y,q,A J)
  • PenHP(v 9 ⁇ p Ap PenHP ⁇ (v,A) + PenHP ⁇ A
  • QEF(v) represents a scaling factor having the following parameters:
  • Height(v) represents the height of the cell v.
  • Another parameter used to produce an affinity for improving cell placement is minimizing the maximal number of nets that intersect the unit segment of the grid system imposed of the surface abstraction of the chip. Net overlap inherently yields inefficiency of wiring, and thus minimizing the number of nets which cross other nets improves overall system efficiency.
  • the number and position of the vertical and horizontal lines which induced the level of partitioning hierarchy are evaluated, including determining the number of nets which intersect a line partitioning the cell into regions. Initially, the system determines the number of nets which intersect the lines and the relative affinities for these line crossings. The system moves the cells and the nets change position based on relative affinities, and then the number of net crossings and affinities are recomputed.
  • each dividing line partitions regions, and each of these regions has a capacity denoting the volume of cells which can fit within the region.
  • the system performs the following procedure once after each bisection.
  • the system calculates the capacities as an average capacity of regions adjacent to the dividing line.
  • the capacity of dividing line X(i) is defined as the average capacity of all regions to the left of the line and all regions to the right of the line.
  • the system calculates average vertical line capacity and average horizontal line capacity for all lines, representing the amount of wiring which is available over the entire surface abstraction.
  • the capacity may also represent available space for wiring available on multiple layers of the chip.
  • the capacity of each horizontal and vertical line is then divided by the corresponding horizontal or vertical average values.
  • the relative cut of the line is 1.5.
  • the ratio of the number of nets crossing a line and the capacity of the line are defined as the relative cut.
  • the system calculates a midcut for the surface abstraction.
  • the midcut represents the average relative cut over all lines of the surface abstraction.
  • FIG. 27 represents a region having indices (TX, TY).
  • the number of cuts represents, with the current cell configuration, the number of times a net crosses a boundary, while the capacity of the line represents the total number of possible crossings of the particular boundary.
  • Discrete affinities in the x and y direction represent the numbers of nets whose halfperimeter decreases on movement of cells across the boundary minus the number of nets whose halfperimeter increases when a cell moves in a given direction.
  • Affinity for zero movement represents the numbers calculated above. Movement of a cell in a particular direction, such as crossing a boundary line, induces an affinity for that cell. From FIG. 27, movement of the cell to the right and up decreases the penalty, or increases the affinity for the cell. Thus affinity in the x direction, AffX, for movement to the right is -1 , to the left is 1, and affinity for movement in the y direction, AffY for movement of the cell upward is -1 , and downward is 1. Affinity for keeping the cell in its current position is 0.
  • Total affinities for movement of the cell in the vertical and horizontal directions are the summation of affinities in the X and Y directions:
  • Each moveable cell v is located within a neighborhood Neigh(v) constructed in accordance with the optimization of cell neighborhood system procedure outlined above. That procedure yields an ordering of cells according to the cells' distance from the center of the neighborhood, after optimization.
  • weight assignment step 601 assigns each cell a weight equal to the size of the neighborhood M minus the index of the cell i. Thus, for a neighborhood of size 20, the 20th cell has a weight of 0, while the first cell has a weight of 19.
  • An alternate preferred method of assigning weights is to declare a number L, where L equals M plus some positive integer, such as 2, and weights range from 21 down to 2. The reason for this shift is that the weight accorded to a factor of 1 is infinitely greater in terms of multiplications than a factor of zero. Thus relative weights may be misleading if low number factors, such as zero and one, are used as weighting factors. Any monotonically decreasing function may be employed in defining the weights accorded the cells within the neighborhood.
  • the system then calculates attraction weights in step 602.
  • affinity definition step 603 the system then defines the following neighborhood affinities for movement of cells in each particular direction:
  • Grid x and Grid ⁇ are identical to the values outlined above in reference to the density driven capacity penalty system, and represent the number of grids, or lines of regions, in the X and Y directions.
  • Grid x is equal to 2 Lev and Grid ⁇ is equal to 2 Levy , where Lev x and Lev y define the number of hierarchy levels.
  • the number M represents the number of cells in the neighborhood.
  • M is equal to five and we are in the fourth level of hierarchy.
  • AffNeighborhood x (v,0) equals 0, and AffNeighborhood x (v,-l) equals (2 * 2/(2 + 2)) * 1/5 2 * (17 - 5 - 3), or 9/25.
  • AffNeighborhood x (v,l) equals (2 * 2/(2 + 2)) * 1/5 2 * (3 - 5 - 17), or -19/25.
  • the X affinities for this example are (9/25, 0, -19/25) for leftward, center, and rightward movement, respectively.
  • the Y affinities for this example are (-17/25, 0, and -15/25).
  • QEF(v) represents the capacity penalty influence factor, which is a function of cell v relative height.
  • Such a combination of affinities takes into account cell position as well as relative weight accorded to an individual neighborhood.
  • the combination of affinities introduces an element of randomization.
  • a deterministic system for combining affinities which converges at a relatively rapid rate is desired to optimally utilize affinities.
  • Such a system which iteratively optimizes cell placement using a combination of affinities is the functional sieve approach.
  • the functional sieve performs several calculated iterations of combining affinities and moving cells based on relative affinities and then computing cost functions for the new cell positions.
  • the functional sieve utilizes the following basic formula:
  • Affiy i ⁇ j ⁇ , * Ajf ⁇ leighborhood(v,Ai,Ap + ⁇ 2 * AffHP(v,A p Ap + QEF(v) * AffC p(v,A it A j )
  • the system in step 651 iterates a predetermined number of times, preferably once, calculating the above affinities with ⁇ , equal to one and ⁇ 2 equal to zero.
  • This iterative procedure produces affinities and cells which are then repositioned based on the combined neighborhood and capacity affinities.
  • the system in step 652 performs a predetermined number of optimization iterations with ⁇ , equal to zero and ⁇ 2 equal to one, moving the cells based on cut and capacity affinities.
  • the first iterative procedure involving neighborhood and capacity affinities combined with the second iterative procedure entailing cut and capacity affinities define a major iteration.
  • the system in step 653 calculates the value of the cost function.
  • the preferred cost function is wire length.
  • the system After computing the cost function, the system performs a predetermined number of major iterations and calculates the cost function after each major iteration.
  • the preferred number of major iterations and cost function calculations is six.
  • the system computes the average cost value for all of the costs calculated in the previous steps. This procedure steps through different affinity evaluations and obtains a preferred overall movement of cells on the surface abstraction.
  • the functional sieve optimization process is halted when two consecutive cost average function values satisfy a given accuracy, such as 10 "3 or W 4 .
  • the ⁇ , parameter is utilized in a larger number of iterations than the ⁇ 2 parameter.
  • the system performs several iterations with ⁇ , equal to zero.
  • the entire block is iterated a predetermined number of times in this discrete placement procedure, typically three to five times.
  • a crystallization procedure produces fine placement of the cells after the aforementioned functional sieve procedure is completed.
  • the system uses a small nonzero value, such as 10 "2 , for ⁇ , a predetermined number of times, such as once, in the major iteration and the zero value several times. This procedure produces a detailed placement of cells.
  • An alternate embodiment of the current functional sieve alters the multiplying factors for the various affinities. Such an embodiment is particularly useful in crowded net situations, and emphasizes cross cuts while taking advantage of open nets.
  • is a number between zero and one, depending on the emphasis desired placed on the number of cuts.
  • affinity combinations disclosed within this functional sieve operation are not limited to those disclosed here, and may include other combinations using other weighting factors. Such an alternate weighting and affinity scheme would produce a desirable placement of cells and still within the scope of the present invention.
  • a coarse overflow remover procedure is applied on the highest level of the chip core region hierarchy when each region contains a piece of only one column.
  • the list of cells is scanned in the order of decreasing heights in order to find a new region for each of them.
  • a list of cells in order of decreasing cell height is made. If the height of a cell is smaller than the available space in the corresponding column segment, then the cell retains its location. Most of the cells will keep their previous positions if the initial cell density is acceptable.
  • FIG. 33 represents a portion of the chip that has seven columns 800-806. As shown in FIG. 33, the cell columns 800-806 are partitioned into maximal segments without blockages. A plurality of megacells 810 may be located in the upper left corner. The megacells 810 are shown to extend across the columns 800-802. A first blockage 830 extends across the second and third columns 801 and 802, and a second blockage 832 extends across the column 806.
  • Column 800 has two adjacent regions 812 and 814 that are assigned to a single column segment 815. Each of the column segments actually consists of a few regions, and each region belongs to exactly one of the segments. For each segment the total height of all cells assigned to the segment is retained.
  • the column 806 segment includes a region 816 that has a cell height of twenty -two and an adjacent region 818 that has a cell height of six. Therefore, the column segment 806 includes a column segment 820 that has a cell height of twenty -eight. This process is applied only to cells that have been already scanned, i.e. in the beginning of the process all those sums are equal to 0.
  • the capacity of a column segment is its height.
  • the next cell from the list will get a new position according to the following rule: look for the closest (using Manhattan distance) region to the current cell so that the corresponding column segment will not have an overflow capacity if the next cell is assigned to that region.
  • a preferred order for scanning the regions is shown in FIG. 34. First consider the original region (marked with the numeral 0) and then consider the regions having a distance of 1 , then consider the regions having a distance of 2, etc. This step considers only cells that already were assigned new positions and the current one. Usually, a cell is going to stay on the old position. As soon as the region is found that satisfies mis condition, the region scanning is stopped, and assign the cell to that region. If the original region satisfies the condition, the cell is reassigned to the original region.
  • FIG. 36 is a flow chart of an overlap remover according to the invention.
  • the overlap remover process is applied separately to each column of cells. It is assumed that each column is continuously connected with no blockages between cells of the same column.
  • FIG. 35 denote the top 750 and bottom 751 of the column 752 with index j by _Tj] and _?[j], respectively.
  • the top 753 and bottom 754 of column k 755 are denoted by Tfk] and Bfk], respectively.
  • the vertical grid step is used as the unit of measure. First the cells in a column are sorted in the order of increasing cell bottom y coordinates. Denote cells in that order by
  • the parameter zaz 756 is defined as the distance between the top of one cell 757 in a column and the bottom of the next cell 758 upward. There must be at least one grid space between adjacent cells to have a feasible layout.
  • the parameter of the overlap remover process is integer values of minzaz, which can be positive or negative.
  • the process further includes the step of modifying the array zaz such that all its elements are not less than minzaz.
  • the array elements are processed forward and backward alternately.
  • the following procedure is executed: (a) At the beginning of the process the counter is initialized to zero. If the processing element is less than minzaz, then the element is increased by 1 and the counter is decreased by 1 and the next element is processed.
  • FIG. 36 is a flowchart of a preferred process adjusting cell spacing in the column to remove overlap with minimal noise.
  • zaz(i) is not less than minzaz and the condition count > 0 and zaz(i) ⁇ maxzaz is not satisfied.
  • zaz(i) is compared to maxzaz. If zaz(i) is greater than maxzaz, then zaz(i) is replaced by maxzaz in a step 916. The count then is incremented by the quantity [zaz(i) -maxzaz] in a step 918.
  • the steps 902, 908, 914, 916 and 918 are repeated for the selected cell until the condition zaz(i) > maxzaz of step 914 is not satisfied.
  • the parameter ⁇ is compared to the negative of the count to determine whether ⁇ ⁇ -count. If ⁇ is not less than or equal to -count, then the parameter ⁇ is set equal to -count in a step 926; and the process proceeds to a step 928. If in the step 924, the parameter ⁇ is less than or equal to -count, then the process proceeds to the step 928 where zaz(i) is replaced with zaz(i) - ⁇ . The count is then incremented by parameter ⁇ in a step 930, and the process of adjusting cell spacing is completed.
  • the result of adjusting the cell spacing in accordance with this preferred process is that overlap between cells is removed and spacing that were too large have been reduced to acceptable values.
  • Cells that previously overlapped now have a spacing zaz(i) of one grid space.
  • Cells that were too far apart now have spacings zaz(i) such that minzaz ⁇ zaz(i) ⁇ maxzaz.
  • T, B[l] + zaz [0];
  • the ColKey parameter has been discussed above in the section that describes the density -driven capacity penalty system.
  • the ColKey parameter should be set to 1. Setting the ColKey parameter to 1 means that the height of a cell is distributed over all regions with which the cell overlaps. Precisely, if the cell has been assigned to the highest level hierarchy region with an index j, it is assumed that the cell center is in the center of the region. Depending on the real height of the cell, the occupancy is updated for all regions the cell with which the cell overlaps. The region occupancy is updated after every cell move. Because the number of cells higher than the smallest region height is relatively small, updating the region occupancy is not going to affect the complexity of the optimization. In addition to the basic region capacity penalty, which is calculated taking into account real cell dimensions as described above, the segment column capacity penalty is also used now. It is necessary to consider the capacity penalty to achieve more uniform distribution of big cells on the chip.
  • the main block of the sinusoidal optimization procedure comprises a number of big iterations of the discrete placement optimization described previously herein with reference to FIGS. 21 and 22. Denote that main block by Optim (k), where k is the number of iterations.
  • the main parameter is the capacity penalty influence parameter ⁇ , which has been described previously with reference to FIGS. 23 and 24. The value of the capacity penalty influence parameter ⁇ will be changed during the sinusoidal optimization process.
  • Steps that preferably are included in the sinusoidal optimization procedure are as follows: ⁇
  • sinusoidal optimization There are two types of sinusoidal optimization. One type is unconstrained and contains standard discrete placement optimization. The other type of sinusoidal optimization controls cell column densities inside the discrete placement optimization.
  • Section 16 Dispersion -Driven Levelizing System This procedure does smooth continuous cell density levelization on the chip and is illustrated by FIG. 32.
  • a new coordinate system is introduced on the chip by imposing a mesh on the chip 700 and assigning integer coordinates to the nodes of the mesh 701.
  • the nodes of the mesh are classified as to whether they are movable or fixed 702. Nodes of a square that overlaps with a blockage or a megacell are fixed. All other nodes are movable.
  • the densities of the square regions are calculated as a sum of portions of the height of the cells that overlap the region 703.
  • the node coordinates are transformed such that the squares defined by the mesh are deformed into arbitrary equilaterals 704.
  • a constraint on the deformation of the mesh is that regions that overlap with megacells are not deformed.
  • the coordinates of the movable nodes are iteratively recalculated to minimize the special cost function density dispersion 705.
  • the whole optimization procedure is organized hierarchically. Starting from the mesh square regions the hierarchy is built up using quadragrouping (reverse quadrasection).
  • k denote by den (k, i,j) the density of the region (k, i,j), and by sfk, i,j) the area of the region.
  • the total density DEN will be the sum of the densities of the regions for all and j.
  • deri j are the densities of the four adjacent regions
  • S j (x,y) are the areas of the images after deformation of the original regions assuming A has coordinates
  • the local cost function is defined as
  • the coordinates for A are chosen in order to minimize the local cost function 706.
  • An algorithm for minimizing the local cost is to separately move each point A(x,y) a distance ⁇ to the left or right (up or down for the y coordinate).
  • the value of ⁇ can change with each coordinate.
  • the value of the cost function is calculated for each move. In each local region the set of the coordinates that minimizes the cost function is chosen for the cells.
  • the purpose of this procedure is to get final cell placement. First, the height of each cell is increased by one grid plus ⁇ , percent of the remaining available space. Then, the dispersion driven levelizing system and the sinusoidal optimization procedures are iterated k, times (e.g. 5 times).
  • the original height of each cell is increased by one grid plus a certain percentage of the remaining available space. For this purpose, 72% is preferable.
  • k 2 may be 10 for example.
  • the vertical optimization is performed for k3 iterations. During one iteration, the list of cells is scanned. For each cell the change in the cost function is calculated if the cell is moved down for a (parameter). The change in cost function is calculated if the cell is moved up. The move that improves the cost function the most (if any) is performed. 2. Overlap remover with minimal noise. 3. Next k 4 iterations of optimal permutations are performed. In this process the cost function is calculated if vertically adjacent cells are interchanged. Any such change that improves the cost function is performed. Referring to FIG. 1, if two cells C, and C 2 are interchanged, the space between them is maintained the same as before the interchange. The area occupied by these two cells is kept at a constant value.
  • the cells are set to the grids by increasing the y-coordinate until the bottom of each cell reaches the closest horizontal grid line. At this point, most of the cells are close to their final positions.
  • the crystallization step places them in correct, final positions. Proper vertical cell spacings are computed, so that horizontal wires can be routed over and between cells in the vertical columns. Vertical and local-horizontal "swaps" may be performed if doing so improves the cost functions. Cells must be assigned proper geometric coordinates so that their positions correspond to legal grid positions specified by the underlying chip architecture. All of these steps are performed by the crystallization process described above, and the cells are frozen into their final positions. At this point, the placement process according to the invention system has completed its work.
  • a data structure is prepared that can be read by a routing system (not shown) for chip routing and design completion. While the invention has been described in connection with specific embodiments thereof, it will be understood that the invention is capable of further modifications. This application is intended to cover any variations, uses or adaptations of the invention following, in general, the principles of the invention, and including such departures from the present disclosure as come within known and customary practice within the art to which the invention pertains.

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

A system for optimally locating cells on the surface of an integrated circuit chip is presented herein. The system comprises constructing a plurality of neighborhoods containing elements positionally related to one another; initially evaluating the lowest level of region hierarchy; iteratively developing a logical one-dimensional preplacement of elements on said surface; performing an affinity driven discrete preplacement optimization; evaluating whether a highest level of regional hierarchy has been attained; iteratively performing a dispersion driven spring system to levelize cell density and an unconstrained sinusoidal optimization; executing a density levelizing procedure; iteratively optimizing while controlling element densities; removing element overlap; iteratively optimizing for desired spacing between elements, adjusting element spacing, and permuting elements; locating elements on grid lines; and iteratively performing a functional sieve crystallization.

Description

ADVANCED MODULAR CELL PLACEMENT SYSTEM
BACKGROUND OF THE INVENTION
Field of the Invention The present invention generally relates to the art of microelectronic integrated circuits, and more specifically to a system for placement of cells on integrated circuit chips.
Description of the Related Art Microelectronic integrated circuits consist of a large number of electronic components which are fabricated by layering several different materials on a silicon base or wafer. The design of an integrated circuit transforms a circuit description into a geometric description which is known as a layout. A layout consists of a set of planar geometric shapes in the various layers of the silicon chip. The process of converting the specifications of an electrical circuit into a layout is called the physical design. Physical design requires arranging elements, wires, and predefined cells on a fixed area, and the process can be tedious, time consuming, and prone to many errors due to tight tolerance requirements and the minuteness of the individual components. Currently, the minimum geometric feature size of a component is on the order of 0.5 microns. Feature size may be reduced to 0.1 micron within several years. This small feature size allows fabrication of as many as 10 million transistors or 1 million gates of logic on a 25 millimeter by 25 millimeter chip. This feature size decrease/transistor increase trend is expected to continue, with even smaller feature geometries and more circuit elements on an integrated circuit. Larger chip sizes will allow far greater numbers of circuit elements.
Due to the large number of components and the exacting details required by the fabrication process, physical design is not practical without the aid of computers. As a result, most phases of physical design extensively use Computer Aided Design (CAD) tools, and many phases have already been partially or fully automated. Automation of the physical design process has increased the level of integration, reduced turn around time and enhanced chip perfoπnance.
The object of physical chip design is to determine an optimal arrangement of devices in a plane and to find an efficient interconnection or routing scheme between the devices to obtain the desired functionality. Since space on the chip surface is at a premium, algorithms must use the space very efficiently to lower costs and improve yield. The arrangement of individual cells in an integrated circuit chip is known as a cell placement.
Each microelectronic circuit device or cell includes a plurality of pins or terminals, each of which is connected to pins of other cells by a respective electrical interconnect wire network or net. A goal of me optimization process is to determine a cell placement such that all of the required interconnects can be made, and the total wirelength and interconnect congestion are minimized.
Prior art methods for achieving this goal comprise generating one or more initial placements, modifying the placements using optimization methodologies including genetic algorithms such as simulated evolution, force directed placement or simulated annealing, described hereinbelow, and comparing the resulting placements using a cost criteria.
Depending on the input, placement algorithms are classified into two major groups, constructive placement and iterative improvement methods. The input to the constructive placement algorithms consists of a set of blocks along with the netlist. The algorithm provides locations for the blocks. Iterative improvement algorithms start with an initial placement. These algorithms modify the initial placement in search of a better placement. The algorithms are applied in a recursive or an iterative manner until no further improvement is possible, or the solution is considered to be satisfactory based on a predetermined criteria.
Iterative algorithms can be divided into three general classifications: simulated annealing, simulated evolution and force directed placement. The simulated annealing algoriύ m simulates the annealing process that is used to temper metals. Simulated evolution simulates the biological process of evolution, while the force directed placement simulates a system of bodies attached by springs. Assuming that a number N of cells are to be optimally arranged and routed on an integrated circuit chip, the number of different ways that the cells can be arranged on the chip, or the number of permutations, is equal to N! (N factorial). In the following description, each arrangement of cells will be referred to as a placement. In a practical integrated circuit chip, the number of cells can be hundreds of thousands or millions. Thus, the number of possible placements is extremely large.
Interactive algorithms function by generating large numbers of possible placements and comparing them in accordance with some criteria which is generally referred to as fitness. The fitness of a placement can be measured in a number of different ways, for example, overall chip size. A small size is associated with a high fitness and vice versa. Another measure of fitness is the total wire length of the integrated circuit. A high total wire length indicates low fitness and vice versa.
The relative desirability of various placement configurations can alternatively be expressed in terms of cost, which can be considered as the inverse of fitness, with high cost corresponding to low fitness and vice versa. a. Simulated Annealing
Basic simulated annealing per se is well known in the art and has been successfully used in many phases of VLSI physical design such as circuit partitioning. Simulated annealing is used in placement as an iterative improvement algorithm. Given a placement configuration, a change to that configuration is made by moving a component or interchanging locations of two components. Such interchange can be alternatively expressed as transposition or swapping.
In the case of a simple pairwise interchange algorithm, it is possible that a configuration achieved has a cost higher than that of the optimum, but no single interchange can cause further cost reduction. In such a situation, the algorithm is trapped at a local optimum and cannot proceed further. This happens quite often when the algorithm is used in practical applications. Simulated annealing helps to avoid getting achieving and maintaining a local optima by occasionally accepting moves that result in a cost increase. In simulated annealing, all moves that result in a decrease in cost are accepted. Moves that result in an increase in cost are accepted with a probability that decreases over time as the iterations proceed. The analogy to the actual annealing process is heightened with the use of a parameter called temperature T. This parameter controls the probability of accepting moves that result in increased cost. More of such moves are accepted at higher values of temperature than at lower values. The algorithm starts with a very high value of temperature that gradually decreases so that moves that increase cost have a progressively lower probability of being accepted. Finally, the temperature reduces to a very low value which requires that only moves that reduce costs are to be accepted. In this way, the algorithm converges to an optimal or near optimal configuration.
In each stage, the placement is shuffled randomly to get a new placement. This random shuffling could be achieved by transposing a cell to a random location, a transposition of two cells, or any other move that can change the wire length or other cost criteria. After the shuffle, the change in cost is evaluated. If there is a decrease in cost, the configuration is accepted. Otherwise, the new configuration is accepted with a probability that depends on the temperature.
The temperature is then lowered using some function which, for example, could be exponential in nature. The process is stopped when the temperature is dropped to a certain level. A number of variations and improvements on the basic simulated annealing algorithm have been developed. An example is described in an article entitled "Timberwolf 3.2 A New Standard Cell Placement and Global Routing Package" by Carl Sechen, et al., IEEE 23rd Designed Automation Conference paper 26.1 , pages 432 to 439. b. Simulated Evolution
Simulated evolution, which is also known as the genetic algorithm, is analogous to the natural process of mutation of species as they evolve to better adapt to their environment. The algorithm starts with an initial set of placement configurations which is called the population. The initial placement can be generated randomly. The individuals in the population represent a feasible placement to the optimization problem and are actually represented by a string of symbols. The symbols used in the solution string are called genes. A solution string made up of genes is called a chromosome. A schema is a set of genes that make up a partial solution. The simulated evolution or genetic algorithm is iterated, and each iteration is called a generation. During each iteration, the individual placements of the population are evaluated on the basis of fitness or cost. Two individual placements among the population are selected as parents, with probabilities based on their fitness. A better fitness for an individual placement increases the probability that the placement will be chosen.
The genetic operators are called crossover, mutation and inversion, which are analogous to their counterparts in the evolution process, are applied to the parents to combine genes from each parent to generate a new individual called the offspring or child. The offspring are evaluated, and a new generation is formed by including some of the parents and the offspring on the basis of their fitness in a manner such that the size of the population remains the same. As the tendency is to select high fitness individuals to generate offspring, and the weak individuals are deleted, the next generation tends to have individuals that have good fitness.
The fitness of the entire population improves with successive generations. Consequently, overall placement quality improves over iterations. At the same time, some low fitness individual cell placements are reproduced from previous generations to maintain diversity even though the probability of doing so is quite low. In this way, it is assured that the algorithm does not lock into a local optimum.
The first main operator of the genetic algorithm is crossover, which generates offspring by combining schemata of two individuals at a time. Combining schemata entails choosing a random cut point and generating the offspring by combining the left segment of one parent with the right segment of the other. However, after doing so, some cells may be duplicated while other cells are deleted. This problem will be described in detail below.
The amount of crossover is controlled by the crossover rate, which is defined as the ratio of the number of offspring produced by crossing in each generation to the population size. Crossover attempts to create offspring with fitness higher than either parent by combining the best genes from each. Mutation creates incremental random changes. The most commonly used mutation is pairwise interchange or transposition. This is the process by which new genes that did not exist in the original generation, or have been lost, can be generated. The mutation rate is defined as the ratio of the number of offspring produced by mutation in each generation to the population size. It must be carefully chosen because while it can introduce more useful genes, most mutations are harmful and reduce fitness. The primary application of mutation is to pull the algorithm out of local optima. Inversion is an operator that changes the representation of a placement without actually changing the placement itself so that an offspring is more likely to inherit certain schema from one parent.
After the offspring are generated, individual placements for the next generation are chosen based on some criteria. Numerous selection criteria are available, such as total chip size and wire length as described above. In competitive selection, all the parents and offspring compete with each other, and the fittest placements are selected so that the population remains constant. In random selection, the placements for the next generation are randomly selected so that the population remains constant. The latter criteria is often advantageous considering the fact that by selecting the fittest individuals, the population converges to individuals that share the same genes and the search may not converge to an optimum. However, if the individuals are chosen randomly there is no way to gain improvement from an older generation to a new generation. By combining both methods, stochastic selection chooses probabilities based on the fitness of each individual. c. Force Directed Placement
Force directed placement exploits the similarity between the placement problem and the classical mechanics problem of a system of bodies attached to springs. In this method, the blocks connected to each other by nets are supposed to exert attractive forces on each other. The magnitude of this force is directly proportional to the distance between the blocks. Additional proportionality is achieved by connecting more "springs" between blocks that "talk" to each other more (volume, frequency, etc.) and fewer "springs" where less extensive communication occurs between each block.
According to Hooke's Law, the force exerted due to the stretching of the springs is proportional to the distance between the bodies connected to the spring. If the bodies are allowed to move freely, they would move in the direction of the force until the system achieved equilibrium. The same idea is used for placing the cells. The final configuration of the placement of cells is the one in which the system achieves a solution that is closest to actual equilibrium. The problem of cell placement is compounded by external requirements specific to each individual integrated circuit chip. In conventional chip design, the positions of certain "unmovable" cells (external interconnect terminals or pads, large "megacells" etc.) are fixed a priori by the designer. Given those fixed positions, the rest of the cells are then placed on the chip. Since the unmovable cells and pads are located or placed before the placement for the rest of the cells of chip has been decided on, it is unlikely that the chosen positions will be optimal.
In this manner, a number of regions, which may have different sizes and shapes, are defined on the chip for placement of the rest of the cells.
It is desirable to assign individual microelectronic devices or cells to the regions, or "partition" the placement such that the total interconnect wirelength is minimized. However, methodologies for accomplishing this goal efficiently have not been proposed heretofore.
The general partitioning methodology is to hierarchically partition a large circuit into a group of smaller subcircuits until each subcircuit is small enough to be designed efficiently. Because the quality of the design may suffer due to the partitioning, the partitioning of a circuit requires care and precision.
One of the most common objectives of partitioning is to minimize the cutsize which is defined as a number of nets crossing a cut. Also the number of partitions often appears as a constraint with upper and lower bounds. At chip level, the number of partitions is determined, in part, by the capability of the placement algorithm. The prior art accomplishes partitioning by means of a series of "bipartitioning" problems, in which a decision is made to assign a component to one of two regions. Each component is hierarchically bipartitioned until the desired number of components is achieved. Numerous alternate methodologies for cell placement and assignment are known in the art. These include quadratic optimization as disclosed in an article entitled "GORDIAN: VLSI Placement by Quadratic Programming and Slicing Optimization", by J. Kleinhans et al, IEEE Trans, on CAD, 1991, pp. 356-365, and simulated annealing as described in an article entitled "A Loosely Coupled Parallel Algorithm for Standard Cell Placement", by W. Sun and C. Sechan, Proceedings of IEEE/A CM IC-CAD Conference, 1994, pp. 137-144.
These prior art methods cannot simultaneously solve the partitioning problem and the problem of placing partitions on the chip, and thus the applicability of such methods to physical design automation systems for integrated circuit chip design is limited.
More specifically, prior art methods do not provide any metric for specifying distances between cells based on netlist connections. An initial placement must be performed to establish physical locations for cells and thereby distances therebetween. Also, prior art methods fix cells in clusters at the beginning of optimization, and do not provide any means for allowing cells to move between clusters as optimization proceeds. This can create areas of high routing congestion, which cannot be readily eliminated because cell movements between clusters which could relieve the congestion are not allowed. In summary, the problem inherent in these prior cell placement methods is that repeated iterations generally do not tend to converge to a satisfactory relatively uniform overall cell placement for large numbers of cells. The aforementioned methods can take several days to place a large number of cells, and repeating these methods with different parameters or different initial arrangements may not necessarily provide improvements to cell placement. Typical methods for using these designs involve using a chosen method until a particular parameter, for example wire length, achieves a certain criteria or the method fails to achieve this criteria for a predetermined number of runs. The results are inherently non-optimal for other placement fitness measurements, having optimized the method based only on a single parameter. Further, results of these placement techniques frequently cannot be wired properly, or alternately, the design does not meet timing requirements. For example, with respect to simulated annealing, setting the temperature to different values may, under certain circumstances, improve placement, but efficient and uniform placement of the cells is not guaranteed.
SUMMAR Y OF THE INVENTION
According to the present invention, there is herein provided a method for locating a plurality of cells on the surface of a semiconductor chip associated by a netlist, comprising the steps of initially forming a neighborhood defined as a set of cells located a relatively short distance from a target cell and ordering cells within each neighborhood according to their relative distance from the target cell. The system further comprises preplacing these cells within a two-dimensional abstraction of the chip surface and iteratively subdividing the surface into a plurality of regions. The system then assigns cells to the regions and moves the cells based on affinities for relocating the cells. Cell density is then levelized over the surface based on relationships between cells, and any overlapping cells are relocated. Finally, the system performs a final cell adjustment and positions the cells.
With respect to preplacement, the system establishes an initial location for all cells, iteratively performs a one dimensional preplacement optimization technique, subdivides the surface into subregions by dividing the surface in one direction, performs a levelizing cut point procedure and a median control procedure, and iterates the levelizing cut point procedure and median control procedure for a first predetermined number of times. The system then computes a cost function and repeats the iterating and computing steps until a predetermined ending criterion is achieved. The iterative performance step comprises computing coordinates for related cells, determining a weight associated with each cell, and calculating a new cell coordinate for each cell based on the coordinates from the computing step and weights from the determining step.
The cell moving step comprises computing a combination of affinities from among the group of affinities based on region capacity, and affinities based on groups crossing divisions between regions. Cell ordering comprises assigning coordinates to each cell, iterating cell coordinates, and assigning the cells to neighborhoods.
Other objects, features, and advantages of the present invention will become more apparent from a consideration of the following detailed description and from the accompanying drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1A is a flow chart illustrating the main steps of the process according to the present invention; FIG. IB is a flow chart illustrating the main steps of the process according to the present invention;
FIG. 2 is an illustration of an exemplary integrated circuit chip;
FIG. 3 is an illustration of a cell that has one pin connected to each net;
FIG. 4 illustrates seven nets, each of which interconnect a plurality of cells; FIG. 5 is a flowchart illustrating the formation of a cell cluster or
"neighborhood" in accordance with the present invention;
FIG. 6 is an illustration of a center cell and nets;
FIG. 7 shows that the cell v is assigned a coordinate between 0 and 1;
FIG. 8 is a flowchart illustrating the iteration of the recomputing of the net and cell coordinates;
FIG. 9 illustrates a cell having several pins which belong to the net;
FIG. 10 is an illustration of a core divided into subregions;
FIG. 11 is an illustration of a moveable cell within the core region;
FIG. 12 is a flowchart that demonstrates me procedure for obtaining an initial one dimensional placement of the movable cells;
FIG. 13 is an illustration of the coordinates of the nets along an imaginary line; FIG. 14 is an illustration of the coordinates of the nets along an imaginary line;
FIG. 15 is an illustration of the placement of nets along the line in the direction partitioned along a dividing line providing two subregions containing the cell positions; FIG. 16 is an illustration of a region physically divided in half by a dividing point;
FIG. 17 is an illustration of one cell located in each of the ten subintervals;
FIG. 18 is a flowchart relating finding a levelizing cut point;
FIG. 19 is an illustration of the calculation step which determines the offset of the cut line from the dividing line;
FIG. 20 is an illustration of the two regions that are divided using two dividing lines;
FIG. 21 is an illustration of adjacent cell location step which initially considers moving a cell from its current position to each of the adjacent regions, as well as considering leaving the cell in the current region;
FIG. 22 is an illustration of the (A,B) interval which is subdivided into equal subintervals in subdivision step 450;
FIG. 23 is an illustration of the cell region having a certain number of columns, or possibly rows, located therein; FIG. 24 is an illustration of the penalty calculation step 501;
FIG. 25 is an illustration of a three pin net;
FIG. 26 is an illustration of each dividing line partitions regions, and each of these regions has a capacity denoting the volume of cells which can fit within the region; FIG. 27 represents a region having indices (TX, TY).
FIG. 28 illustrates an ordering of cells within the neighborhood;
FIG. 29 is an illustration of the weight assignment step which assigns each cell a weight equal to the size of the neighborhood minus the index of the cell;
FIG. 30 is an illustration of the weights of the neighborhood attraction in a direction; FIG. 31 is an illustration of the system which iterates a predetermined number of times, preferably once, calculating affinities;
FIG. 32 is a flowchart associated with the density driven spring system;
FIG. 33 is an illustration of a portion of the chip that has seven columns which are partitioned into maximal segments without blockages;
FIG. 34 is a preferred order for scanning the regions;
FIG. 35 is an illustration that denotes the top and bottom of the column;
FIG. 36 is a flowchart of a preferred process adjusting cell spacing in the column to remove overlap with minimal noise; FIG. 37 illustrates a column containing cells of specified heights; and
FIG. 38 is an illustration of the cells that are set to the grids by increasing the coordinate until the bottom of each cell reaches the closest horizontal grid line.
DESCRIPTION OF THE PREFERRED EMBODIMENTS An overall block diagram of the preferred implementation of the current invention is presented in FIG. 1. As will become apparent from the following detailed description, other embodiments can be implemented with highly effective results while still within the scope of me invention.
Section 1 : System Overview.
FIGS. 1A and IB comprise a flow chart that illustrates the main steps of the process according to the present invention. A brief description of the various steps of the process is presented with reference to FIGS. 1A and IB. To facilitate describing and understanding the invention, this disclosure is divided into sections. This first section is a general overview of the process according to the present invention. Subsequent sections describe and explain the algorithms and process steps shown in FIGS. 1A and IB with reference to other figures of the drawings as appropriate.
The specific algorithms described herein, as well as the basic steps which they represent (even if they are replaced by different algorithms), are designed for implementation in a general purpose computer. Furthermore, each of the algorithms described herein, as well as the basic step it represents, can be encoded on computer storage media such as CD Roms, floppy disks and computer harddrives, whether alone or in combination with one or more of the algorithms and steps described herein. Given only the netlist, before the cells have been placed on the chip, there is no way using prior art techniques to compute the conventional geometric distance between two cells (the "Euclidean distance") because no geometric coordinates exist for any cell. A new mathematical form of distance is defined in the algorithms according to the present invention in which the distance between cells can be calculated from the way in which connections in the netlist interconnect its cells. This distance measure plays a critical role in the analysis of the netlist for placement by the algorithms.
The cell placement system according to the present invention performs placement as either a uniprocessor or parallel processor procedure. Unlike previous systems in which a constructive heuristic provided an initial placement followed by a statistical improvement technique, the process according to the present invention constructs and optimizes placements in a series of highly integrated steps. Subsection 1A: Data Preparation The use of placement techniques must, of course, be preceded by the step 1 of preparation of the neUist. For a large chip, preparation of the netlist is a major design effort that includes system specification, synthesis, debugging, preliminary timing, and final netlist construction. The specifics of these complex design steps are not the subject of the present disclosure. The description of the present invention begins by assuming that the system to be implemented on the chip has been designed and that the resulting correct netlist is available. The techniques for preparing a netlist are well known in the art.
Subsection IB: Neighborhood Construction
As shown at the start of the flowchart of FIG. 1A, the process according to the present invention constructs a neighborhood 2 for each cell in the netlist. Neighborhood construction is discussed in more detail in §2 below. The neighborhoods are preferably constructed according to the neighborhood construction process described below. After the neighborhood of a cell is constructed, coordinates are assigned to each cell, as described in detail subsequently in §3, which describes a preferred technique for optimization of the cell neighborhoods. Subsection 1C: Placement Iteration
The cell coordinates are then iteratively optimized under the iterative ID preplacement optimization procedure described in §4. The purpose of this iterative ID preplacement optimization procedure is to get a fast, good cell preplacement. In the iterative one -dimensional preplacement optimization procedure of §4, the cells are pre -placed on a two-dimensional abstraction of the chip surface. The iterative one -dimensional preplacement optimization procedure begins with the step 3 of initializing the coordinates of all cells at the center of the surface, and then performing the iterations described in §4 in the x- and y-directions.
A density -driven one dimensional preplacement is performed 4 to assign cells to regions in accordance with the capacities of each region of the chip. A preferred process for assigning cells to regions in accordance with region capacity is described in §5.
The surface abstraction is divided into subregions by bisection in a selected direction. A preferred levelization by bisection process 5 is described in §5. A median control procedure 6 is then used to modify coordinates to the cells.
A preferred median control process is described in §6.
The ID preplacement optimization procedure of §4, the cut-point procedure of §5 and the median control procedure of §6 are then iterated for a specified number of times, and the average value of the cost function (e.g. wire length) for the iterations is computed. The ID preplacement optimization procedure of §4, the cut- point procedure of §5 and the median control procedure of §6 are then again iterated for the specified number of times as a block 20 and the average cost function is recomputed. If the average cost function is decreased by less than a specified amount, usually 10'3, this step (block 20) is exited. Otherwise this step (block 20) is again iterated with the average cost function again computed and compared to the previous average cost function value. At the end of this step the cells have been assigned to subregions in such a way that the capacities of the subregions are not exceeded.
Subsection ID: Affinity-Driven Placement Optimization
An affinity-driven placement optimization 7 is performed to improve cell placement and to minimize the cost function. A preferred affinity-driven placement optimization process is described in §7. The affinity optimizations are driven by considerations of cost functions as described in §§8-11. Referring to §12, a functional sieve optimization technique is also used to perform the cell movement. When the affinity-driven optimization is complete, the level of the subdivision of the chip surface is checked 8. If the level of subdivision is not at the specified highest level (i.e. , the level of hierarchy with the smallest desired regions), block 21 is repeated the chip is further subdivided in the y-dimension; the preplacement iteration of §4-6, is reentered with that level of subdivision for the y-coordinate. The preferred highest level is where the region is one column wide. After processing for the y-coordinate is complete, the x-coordinate is processed, etc. , as x and y alternate.
The typical number of iterations of block 21 is 21og2 N where N equals the number of columns in the chip. If, for example, the chip has 8 columns, the number of iterations is 21og2 8, or 6. After a certain level of hierarchy is achieved, it may be desirable to stop the process at this point and not continue with further cell placement. This is especially true if one wants to obtain a fast estimate of cell placement.
Subsection IE: Cell Density Levelization
Finally, when the highest level of hierarchy is reached 8, the process enters a cell density levelization procedure as shown at the beginning of FIG. IB. It should be noted that various combinations of the algorithmic steps described herein can be used. Algorithmic steps can be deleted as desired and as appropriate for the particular circumstances presented. Once the cell placement is determined by the methods in accordance with the present invention, the cells can then be placed on a silicon wafer in order to construct the desired integrated circuit. The cell density levelization preferably begins with a dispersion -driven step 9 as described in §16. As this step is entered, the cells have been placed in positions on the surface that optimize given cost functions. However, the cell layout may not be feasible because cells may overlap, and the cells may have not been assigned to proper cell columns. Furthermore, the cell density may be very uneven, with resulting serious consequences for routing the wires on the chip. The sinusoidal optimization step 10 of §15, the dispersion -driven levelizing system 9 of §16 are performed globally to levelize the density of cells using global levelization procedures. Steps 9 and 10 are iterated as a block 22. Typically, about 5 iterations has proven effective.
Continuing with FIG. IB, after global levelization has been performed, there may still be some density peaks in the core area of the chip. A preferred procedure for density peaks removal is described in §13. The procedure for density peaks removal 11 is sometimes referred to herein as the "bulldozer. " The bulldozer is applied to remove the density peaks.
The sinusoidal optimization procedure 12 of §15 is applied to the chip surface subdivided into cell columns. The densities of cells in the columns are controlled to prohibit overflow and ensure that the cells are evenly assigned to the columns required by the structure of the final design. The sinusoidal optimization procedure is iterated as a block 23, generally, for a specified number of times, such as 5 times.
Subsection IE: Cell Overlap Removal
The overlap removal procedure 13 of §14 is applied again without controlling the maximum distance between adjacent cells. The step removes any overlap that exists among cell outlines. This step is used to produce a physically feasible layout. Applying the overlap removal procedure at this part of the process removes cell overlap with minimal increase in average wire length.
Subsection IF: Final Placement Crystallization.
After applying the overlap removal procedure to remove the overlap, most of the cells are close to their final positions. The crystallization step places the cells in correct, final positions. Proper vertical cell spacing are computed so that horizontal wires can be routed over and between cells in the vertical columns. Vertical and local-horizontal "swaps" may be performed if doing so improves the cost functions. Cells must be assigned proper geometric coordinates so that their positions correspond to legal grid positions specified by the underlying chip architecture. All of these steps 14, 15, 16, 17, 18 and 19 are performed by the crystallization procedures described in §17. These procedure "freeze" the cells into their final positions. Steps 14, 15 and 16 are iterated as a block 24, generally a specified number of times, such as 10 times. Steps 18 and 19 are also iterated as a block 25 a specified number of times. At this point, the placement process is completed, and a data structure is prepared that can be read by the routing system for chip routing and design completion.
An exemplary integrated circuit chip is illustrated in FIG. 2 and generally designated by the reference numeral 26. The circuit 26 includes a semiconductor substrate 26A on which are formed a number of functional circuit blocks that can have different sizes and shapes. Some are relatively large, such as a central processing unit (CPU) 27, a read-only memory (ROM) 28, a clock/timing unit 29, one or more random access memories (RAM) 30 and an input/output (I/O) interface unit 31. These blocks, commonly known as macroblocks, can be considered as modules for use in various circuit designs, and are represented as standard designs in circuit libraries. The integrated circuit 26 further comprises a large number, which can be tens of thousands, hundreds of thousands or even millions or more of small cells 32. Each cell 32 represents a single logic element, such as a gate, or several logic elements interconnected in a standardized manner to perform a specific function. Cells that consist of two or more interconnected gates or logic elements are also available as standard modules in circuit libraries.
The cells 32 and the other elements of the circuit 26 described above are interconnected or routed in accordance witii the logical design of the circuit to provide the desired functionality. Although not visible in the drawing, the various elements of the circuit 26 are interconnected by electrically conductive lines or traces that are routed, for example, through vertical channels 33 and horizontal channels 34 that run between the cells 32. For a particular electrical circuit having predefined input and output terminals and interconnected in a predetermined way, the problem for the chip designer is in constructing a layout indicating the positions of the modules such that the area on the chip surface occupied by wires and the overall layout area are minimized. The system shown in Figs. 1A and IB receives inputs for a user-specified integrated circuit design which includes a netlist. A connection between two or more interconnected elements of the circuit is known as a wiring net, or net. A netlist is a list of cells and nets.
Section 2: Neighborhood Construction
A hyperedge is a series of pins which are interconnected, i. e. , wired together with an electrically common connection. For example, a hyperedge having pins A, B, and C means that pins A, B, and C are all connected together with a common metal wire. The "length" l(q) of a wiring net or hyperedge is equal to the number of pins (vertices) that are interconnected by the net minus one. This can be represented mathematically as l(q) = | q | - 1 , where q is the net and | q | is the number of pins that are interconnected by the net q.
A particular cell, especially a large cell, can have two or more pins that are interconnected by one net q, and for this reason | q | is the number of pins rather than the number of cells interconnected by a net q. However, for simplicity of description and illustration, the following examples will assume that each cell has only one pin connected to each net.
An example is illustrated in FIG. 3. A net q 40 is shown as interconnecting 5 cells 34, 35, 36, 37 and 38, that are collectively designated as w. The length of the net q is (5 - 1) = 4. The cells w are illustrated as being spaced from each other and enclosed in an oblong shape which represents the net q. This is for illustrative purposes only, as it will be understood that the net q does not have any specific shape, and merely specifies that individual pins (not shown) of the cells w are to be interconnected. This arrangement is referred to as a hyperedge. A distance p(v,,v2) between two given vertices v, and v2 is defined as the length of the shortest path between the vertices, where the length of a path is the sum of the lengths of the nets (hyperedges) that constitute the path.
FIG. 4 illustrates seven nets q, to q7, each of which interconnect a plurality of cells w. The distance between two given cells or vertices v, and v2 is the length of the shortest path through the nets q, to q7 that interconnects the cells.
The cell v, is common to the nets q, and q2. However, there is no path from the cell v, to the cell v2 through the net q^
There is a path from the cell v, to the cell v2 through the nets q2, q3 and q4, and another path through the nets q2, q3 and q^. The lengths of the nets q2, q3, q4 and q5 are (3 - 1) = 2, (4 - 1) = 3, (2 - 1) = 1 , and (3 - 1) = 2 respectively. The length of the path through the nets q2, q3 and q4 is (2 + 3 + 1) = 6, and the length of the path through the nets q^ q3 and q5 is (2 + 3 + 2) = 7. The path through the nets q2, q3 and q4 has the shorter length, more specifically 6. If there is no other path (not shown) that is shorter, the distance between the cells v, and v2 is defined as the length of this path.
FIG. 4 also illustrates how to measure a distance p(v,q) between a cell v and a net q. This distance can be expressed mathematically as p(v,q) = minwtqp(v,w),and is the shortest path between the vertex v and any pin w in the net q. Measurement of the distance between the cell v, and the net q7 will be taken by way of example. There is a path from the cell v, to a cell v3 in the net q7. The length of this path is the sum of the lengths of the nets q2 and q6, which has a value of (2 + 6) = 8. However, the path from the cell V| to the vertex v2 through the nets q2, q3 and q4 is the shorter path between the cell v, and any cell w in the net q7, having a value of 6 as described above. If there is no other path (not shown) that is shorter, the distance between the vertex v, and the net q7 is therefore defined to be 6.
In accordance with the present metric, a "range" rangev(q) of a net q from a center cell v of a cluster or neighborhood (to be described in detail below) can be expressed mathematically as rangev(q) = p(v,q) + l(q). In other words, the range is the distance from the center cell v to the net q plus the length of the net. The range of the net q7 from the cell v, , for example, is equal to the distance ρ(v,q) from the cell v, to the net q7, plus the length of the net q7, or (6 + 2) = 8.
One further definition is necessary for understanding the present invention. A "border" is a list of all nets that have ranges equal to the index of the border. For example, a border having an index of 7 (border7) is a list of all nets having ranges of 7. This can be expressed mathematically as borderv(j) = all nets q such that p(v,q) < r and rangev(q) = j, where j is the index of the border and r is a predetermined maximum distance from the center cell v (to be described in detail below) to the net q- The borders can be considered as a series of concentric shells or quantum levels, with each border having an incrementally higher index and including nets having an incrementally higher range than the border with the next lower index.
FIG. 5 is a flowchart illustrating the formation of a cell cluster or
"neighborhood" N(v,M) in accordance with the present invention. The term "neighborhood" is illustrative of the fact that the clusters can be "fuzzy",with one cell being included in two or more clusters, and two or more clusters being allowed to overlap.
Initially, a target number M of cells are designated to be included in a neighborhood. A number of cells between 15 and 30 tends to work best, with the optimal number being about 20 cells in each neighborhood. The algorithm outlined below is executed until C,; * M cells are collected within various neighborhoods. C, is a predetermined parameter. The preferred value of C, is 2.
The first step is to specify a particular cell v to constitute the center of the cluster N, and a value for M as indicated in a step 100. The flowchart of FIG. 5 includes a plurality of nested loops indicated by broken lines. This notation indicates that all of the steps included within each loop are to be performed for all outer loops.
A step 102 which follows the first step 100 of inputting values of v and M is to determine which nets include the center cell vc, and assigning all these nets to corresponding borders. The next step, designated as 104, is to examine all borders, starting with border,, in increasing order of index.
The next step 106 is to assign nets to borders in index order. A step 108 includes assigning all cells which are not in the neighborhood from the nets in the current border to the neighborhood. A step 110 includes assigning all nets which contain cells just included in the neighborhood, if these nets have not been previously assigned to any border, to corresponding borders. The cluster or neighborhood N(v,m) is output in a step 112.
The method of FIG. 5 will be described further with reference being made to an example illustrated in FIG. 6. This example includes a center cell vc and nets q, to q8.
Step 102 includes assigning all nets that include the center cell v to borders. The nets q,, q^ and q3 all include the center cell v. Since the distances from the center cell vc to these nets is zero, the ranges of these nets are equal to their lengths. The net q, has a length of (3 - 1) = 2, and is assigned to border2. The nets q2 and q3 have lengths 3 and 4, and are assigned to the borders border3 and border4 respectively.
In steps 104 and 106, the borders are examined in increasing order of index to determine if they include any nets. Border, does not include any nets. Border2 includes the net q, . Therefore, step 108 is performed, in which all cells w in the net q, are assigned to the cluster or neighborhood N(v,j).
In step 110, it is determined if there are any other nets connected to cells that were just assigned to the neighborhood. In this case, there are not, and the processing returns to step 104 to examine the next border. The next border is border3 which contains the net . All of the cells w in the net q2 (except v) are assigned to the neighborhood. The method then performs step 110 to determine if any other nets q include any of the cells w (just included in the neighborhood) of the net q2. In the illustrated example, the nets q5 and q6 include cells which are also included in the net q2, and are thereby connected to the net q2. If these nets have not been assigned to borders, then they are now assigned to the borders having indices equal to their ranges respectively. The ranges of both nets q5 and q6 are 5, so these nets are assigned to border5.
The steps 104 and 106 are then performed for the next border, more specifically border4 which includes the net q3. In step 108, all cells of the net q3 are assigned to the cluster or neighborhood. Then, step 110 is performed to determine if any other nets q" include cells which are also included in the net q3. In this case, the net q4 is connected to the net q3. The net q4 has a range of 5, and is assigned to border5.
The next border is border5, which contains the nets q4, q5 and q6. No other nets are connected to q4 and q5, but all of the cells of the nets q4 and q5 are assigned to the cluster. All of the cells of the net q6 are also assigned to the cluster. The net q6 is connected to the net q7, and q7 is added to border6.
Examination of the next border, border6, indicates it contains the net q7. All cells of the net q7 are assigned to the cluster, if tfiey were not assigned previously. Since the net qg is connected to the net q7, the net q8 may also be added to the cluster. The net q8 has a range of 11, and may be assigned to border,, .
In this manner, clusters or neighborhoods are grown one border at a time until a maximum size is reached. In addition, the borders are grown by "hitting" nets having corresponding ranges through net interconnections starting at the center cell v.
Section 3: Optimization of Cell Neighborhood System
In the foregoing process of constructing neighborhoods, a list of the nets processed is generated. That list of nets includes all nets incident to cells included in the neighborhood. Once the neighborhood is established, coordinates are assigned to each individual cell. For each cell v, the neighborhood of the cell is constructed and optimized using the cell as the center. A target number of cells C, * M for the neighborhood is also defined. For purposes of relatively large VLSI chips, testing and operation has shown that about a twenty cell neighborhood yields effective convergence results. Larger or smaller neighborhood sizes may also be employed while still within the scope of the invention. An alternative measure for the parameter M is the total height of all cells in the neighborhood, with height defined as the physical y-axis dimension of an individual cell. A maximum total cell height may alternately be used to define the neighborhood size.
In accordance with the current invention, we assign coordinates to each cell and to each net in the neighborhood. We assign the center Vc of the neighborhood the coordinate 0.0. We also assign the coordinates 1.0 to all cells not included in the neighborhood. Neighborhood cell assignment step assigns a cell v from the neighborhood coordinate values equal to ρ(vc, v)/R, where ρ(vc, v) equals the length of the shortest path between the vertices vc and v, and R is the maximum radius value for the neighborhood. As seen in FIG. 7, v is necessarily not less than 0 and not greater than 1. We term the assigned coordinates "Z(v)" for each v.
As shown in FIG. 8, we then iterate recomputing of net and cell coordinates by iterating two procedures, as follow:
Procedure 1 : The new net coordinates are computed such that for any net q within the set of nets Q,
Figure imgf000025_0001
where | q | is the number of pins of the net q. This equation sums the total of the current coordinates of the cell v and sums this for all cells in an individual net, then divides by the total number of pins on the net. The result of the summation and division is the coordinate of the net q.
Procedure 2: In new cell coordinate computation, for each cell v, the weight β[v] is represented by:
Figure imgf000025_0002
where for a net q, v is an element of q.
The new cell coordinate Z[v] is equal to:
Figure imgf000026_0001
We apply the iteration procedure only on cells from the neighborhood except the center and only on nets that have at least one cell in the neighborhood. The iteration is generally accomplished for a pre-determined number of times, preferably 15 to 20 times.
Section 4: Iterative One Dimensional Preplacement Optimization A one dimensional iterative optimization initially provides a fast, good cell coordinate placement. The one dimensional iterative optimization is performed in both the x and y directions. As may be appreciated by one of ordinary skill in the art, the iterative optimization may be performed in the y direction initially, but the preferred method is to perform it in the x direction. In the x direction, a netlist or hypergraph H includes the set V of cells v and the set Q of nets q. In addition, it should be noted that where "x" or "X" is used below for calculation in the x- direction, when calculating in the y-direction, "y" or "Y" would be used. As used herein, "z" and "Z" are universal notations representing either "x" and "X", on the one hand, or "y" and "Y" on the other, depending on which direction is being considered.
FIG. 9 illustrates a cell v 102 having several pins which belong to the net q 104. For purposes of pin offset definition for cell shifting and exact positioning purposes, the origin 106 of the cell defines the default "position" of the cell. If a net q 104 is being evaluated by the system, then the pin 108 on the cell v 102 which is on the net q 104 is positionally defined relative to the origin 106. Any point may be defined as the origin of the cell, including its center of mass, but the preferred embodiment is to define the origin at the physical lower left corner of the cell as shown in FIG. 9. As shown in FIG. 10, the core 201 is divided into subregions R. Initially, the preferred value of R is one, indicating the core is not subdivided. The subdivision of the core is represented by an array X[i] of x-coordinates of vertical dividing lines 202(1) through 202(n) and an array Yfj] of y-coordinates of horizontal dividing lines. For each interval X[i] and X[i + l], a dividing point is calculated to determine finer resolution. Each cell v belongs to some of these intervals, and the interval function I[v] is equal to i if the cell v belongs to the interval Xfi], X[i + 1]. The current coordinates of the cell v are denoted as Z[v], while the coordinates of the net q are denoted by Z[q]. In initial placement optimization initialization step 250, each movable cell coordinate is assigned a coordinate of a dividing point of the interval the cell occupies. Thus Z[v] = DX[I[v]j. DX is typically the midpoint of the interval, but the dividing point may be at a different location in each interval while still within the scope of the current invention. As shown in FIG. 11, for each moveable cell within the core region 201, the movable cell coordinate 203 is located at the dividing point 204 of the interval, which is a point at a percentage of the width or length of the core region 201. The preferred implementation is locating the dividing point 204 at the center point of the surface abstraction of the core region. Fixed cells are assigned their real coordinates. The flowchart of FIG. 12 demonstrates the procedure for obtaining an initial one dimensional placement of the movable cells. The movable cells are assigned the coordinate of the center of the region where they are located in initialization step 250. In net coordinate computation step 251, new net coordinates are computed. These new net coordinates are computed such that for any net q within the set of nets Q,
Figure imgf000027_0001
where j q | is the number of pins of the net q. This equation sums the total of the current coordinates of the cell v and the z-offset (x or y depending on the direction) of the pin on the cell which belongs to the net q, and sums this for all cells in an individual net, then divides by the total number of pins on the net. The result of the summation and division is the coordinate of the net q.
In new cell coordinate computation step 252, for each cell v, the weight β[v] is represented by:
β[v] =
Figure imgf000028_0001
where for a net q, v is an element of q. For each interval Xfi], Xfi - 1] and each cell v from that interval, the new cell coordinate Zfv] is equal to:
Figure imgf000028_0002
Z* (q,i) is calculated by determining a temporary value a, where a initially equals Zfq]. If a is greater than Xfi + 1], or is outside the interval, then a is set to the greater border condition, or equal to X[i + 1]. If a is less than Xfi], again outside the interval, then a is set to the lesser border condition Xfi]. Finally, Z* (q,i) is set equal to a.
This set of steps places the coordinates of the nets along an imaginary line as shown in FIG. 13, line 225. Cells are placed along this line based on the results of the initial Z(v) calculation described above, and these positions are subsequently iteratively moved to new positions in the region. The positions of cells within the region are shown in FIG. 14, which includes positions where some of the nets are initially outside the region. These out of bounds nets are then set to the edge of the region.
Once the new cell coordinates are computed, the difference between the previous value of the cost function and the new value of the cost function is determined in step 253. The typical cost function used is wire length and the cost function is computed as the average of the half-perimeters of boundary boxes for all nets. The typical cost function used is wire length and the cost function is computed as the average of the half-perimeters of boundary boxes for all nets. This difference is evaluated in step 254, and if the difference is not below a predetermined threshold, the cell positions are iterated by repeating net coordinate computation step 251 and new cell coordinate computation step 252. This iteration procedure is repeated for a number of times, such as one hundred times, or until the difference in cost function of two consecutive iterations is less than a predetermined threshold, such as .001 microns. A unit may be any measure, but the preferred distance threshold is .001 microns. The number of iterations and the threshold may vary while still within the scope of the invention.
Section 5: Fast Procedure for Finding a Levelizing Cut Point
The surface abstraction, or core region 201, denotes a region R[i,j]. The previous one dimensional fast preplacement procedure provides a given dividing point DXfi], if the region is divided horizontally, or DYfj] if the region is divided vertically. This dividing point may be anywhere along the line containing the cells from the previous procedure, but the preferred location is the midpoint of the line.
The dividing point in the current example generates two subregions in the region Rfij]. As shown in FIG. 15, the placement of nets along the line in the x direction is partitioned along a dividing line 300 providing two subregions containing the cell positions. The capacity of each of these regions is the area of the regions without all fixed cells or blockages. The capacities of the two regions are capO and capl . A cut point, ZQ, is desired which divides the cells such that a percentage of cells or cell heights is proportional to the size of the region. For example, if a 50% cut point is desired, the required location for the cut point is where the two regions, defined by the physical 50% border dividing the surface abstraction, would have equal numbers of cells or of cell heights. As shown in FIG. 16, a region physically divided in half by a dividing point 300 may not have a cut point and cut line 301 coexistent with the region dividing point. The sum of all heights of all cells having coordinates lower than Z0, or of the total quantity of cells having coordinates lower than Z0 must not be greater than
capO capO+capl
and the sum of all heights of all cells having coordinates greater than Z0, or of the total quantity of cells having coordinates greater than Z0 must not be greater than
capl capO+capl
It is preferable to use the total of all cell heights, but other parameters, such as the number of cells, may be used while still within the scope of the invention.
Initially, if all cells within a given region Rfij] are within an interval (A,B), the (A,B) interval is subdivided into N equal subintervals in subdivision step 325 as shown in FIG. 18. Initialization step 326 initializes an array Sfk] having N elements, stores the sum of heights of all cells having subintervals with indices less than or equal to k, and initializes all the elements of array Sfk] with the value zero. For each cell v within the region Rfij], index calculation step 327 computes an index n(v) of the subinterval where the cell v coordinate is located:
, , (Z(v)-_ ) r (B-A)IN) As an example, assume (A,B) is an interval from 0 to 200 and 10 equal subintervals are desired. In fact, a number in the range of 1000 such intervals would normally be desired, but 10 is used here for purposes of illustration. Further, assume that one cell is located in each of the ten subintervals, as shown in FIG. 17, although it would be probable that subintervals would contain more than one cell. Assigning A has a value of zero, and B a value of 200, n(v) for a cell in this arrangement is equal to the minimum integer value greater than Z(v)/10 for the cell. The designation "]x[" denotes take the minimum integer greater than x, such that for x having a value of 1.3, the value of ]x[ is 2. This results in an integer value for a subinterval within the (A,B) region where the individual cell is located. Height accumulation step 328 accumulates the heights of the cells in each subinterval within ϋhe array Sfk] according to the relationship:
S[n(v)] = S[n(v)] + h(v)
where h(v) is the height of cell v. Value of array elements step 329 calculates the values witiiin Sfk] by iterating for k equal to 2, k being less than or equal to N, incrementing k,
Sfk] = Sfk-1] + Sfk]
Cut point index locator step 330 locates the minimal index kø such that
capO
*S[N] ≤ s[kj capO+capl
The levelizing cut point, where cell height is equivalent to the percentage of area within the surface abstraction is equal to
Z0=A+(k0-0.5) *-^ Clustering of cells within a single region, or at a border of a region, may provide an inaccurate cut point. In such a case, where the levelizing cut point requires a higher accuracy, the subinterval where the levelizing outpoint is located may be again divided into N subintervals in subdivision step 332 and the procedure repeated, locating a second levelizing cutpoint.
Once the levelizing cutpoint is located, all cells are shifted according to the following procedure.
Section 6: Median Control and Increase in Resolution For a given region Rfij] having a dividing point D, the levelizing cut point Z0 divides the cloud of cells proportionally to the capacities of the subregions induced by the dividing point D. From FIG. 19, offset calculation step 350 determines the offset of the cut line from the dividing line, where Δ is the difference between the coordinates of dividing point D and the levelizing cut point Z0. Coordinates for each cell in the region are modified such that for any cell v within the region Rfij], the cloud of cells is shifted in shifting step 351 such that the cut point is collocated with the dividing point:
a = Zfv] + Δ
For cells outside the region, those cells are placed at the border of the region. If a is greater than Xflfv] + 1] then a is equal to Xflfv] + 1] . If X is less than X[i[v]], then a is equal to Xflfv]]. Zfv] is then set equal to this value a.
In the preferred embodiment, the system initially places all cells at the center of the two-dimensional abstraction of the chip surface. The system then performs a predetermined number of iterations of the One Dimensional Preplacement Optimization in one direction, such as the x direction. The surface abstraction is then subdivided into sub-regions by dividing the surface abstraction in the opposite direction. The system then uses the Levelizing Cut Point procedure to partition the cells into groups proportional to the capacities of the subregions. The Median Control procedure then modifies the coordinates of the cells. The Levelizing Cut Point and Median Control procedures are iterated a specified number of times (preferably 6) with the specified number of iterations comprising a Block. The average cost function is computed after a Block is performed. After each Block, an overall cost function, described below, is computed. After repeating this Block a predetermined number of times (typically 10), the system computes the average cost of each cost calculated during these Block iterations. The current average cost value is compared with the previous average cost value, and if the difference between the average value and the previous value is less than a predetermined value (such as 10" 3), the procedure for the first level of hierarchy is complete. Cells are then assigned to the respective subregions depending on the calculated coordinates.
If the average cost function has not decreased by a specified amount, further Blocks of computations are required. At the end of this iterative procedure the cells are assigned to subregions in such a way that the capacities of the subregions are not violated. After assignment of the cells to a respective subregion, as is described in §1 above, the system may repeat the aforementioned procedures based on a cut in the opposite direction. If, for example, the initial iterative one-dimensional preplacement optimization divides the available space on the surface abstraction by a vertical line, or divides in the x direction, the system executes the finding of a levelizing cut point procedure and the median control and resolution increase procedure in this direction. Upon completion of these procedures, the cells are assigned to one of the two regions, and the procedure may be repeated in the y- direction, based on the cells located in the two regions, after other optimization procedures discussed below are completed. As shown in FIG. 20, the two regions are divided using two dividing lines in the y direction and cells are placed along these two lines. The system locates a levelizing cut point for each region and partitions out the cells to the four remaining cells. This division in the y direction creates a second level of hierarchy.
For purposes of this patent specification, hierarchy levels are determined based on the number of divisions of the surface abstraction. The level of hierarchy is the sum of the number of times the surface abstraction is divided into separate regions. For example, if the surface abstraction has been divided three times in the x direction and two times in the y direction, the system has reached the fifth level of hierarchy. The total number of regions is equal to 2<Levelx + ve] with "Levelx" meaning the number of occasions that the surface abstraction has been divided in the x direction and "Levely" means the number of occasions that the surface abstraction has been divided in the y direction. Thus, in the previous example, 32 regions exist at the fifth level of hierarchy.
Section 7: Universal Affinity Driven Discrete Placement Optimization After each surface abstraction division, the system performs a discrete placement optimization. For purposes of illustrating this procedure, it is assumed that the previous routines have furnished two sets of cells partitioned into two regions on the surface abstraction. All cells are located in the centers of each region. The system calculates affinities and cost functions for the arrangement. An affinity is calculated based on current cell placement and blockages in a chip. Affinities are heuristically connected with a desired cost function, which should be minimized. Affinities can be driven by cell density, wire length, minimizing maximal cut, clustering, etc. , or some combination of these parameters depending on the goal sought to be achieved. Affinities may be positive or negative, and relate to the quality of an alternate placement of a cell. For example, having a cell with a higher affinity at a first location and a lower affinity at a second location indicates that the preferred placement of the cell is the first location.
The parameter of the discrete placement optimization is e, which represents the accuracy of the placement, and is a small number, such as 103 or 10"4. From FIG. 21, adjacent cell location step 400 initially considers moving a cell from its current position to each of the adjacent regions, as well as considering leaving the cell in the current region. For higher levels of hierarchy (i.e. , a. substantial number of regions), nine total regions are evaluated for cells not located on an edge of the surface abstraction. Affinity calculation step 401 calculates, for each adjacent region, the maximum affinity of the cell is moved to these adjacent regions. The total number of cells having an affinity greater than a predetermined value p is denoted Np. For the initial placement received from the preceding procedural steps, the affinities for the cells are calculated and ordered according to these affinities.
Global threshold evaluation step 402 finds a threshold number, Globthresh, such that the total number of cells, NG|0bThresh, having an affinity greater than the threshold number, is less than e multiplied by the number of all cells having positive affinities, or N0. Practice has shown that optimal results occur for e having a value of from 30 to 40 per cent.
The overall global threshold is determined using a similar procedure to that described above with reference to the procedure for finding the levelizing cut point. The affinities are ordered sequentially, and all cells are defined to be within an interval (A,B), exclusive of negative affinities. With reference to FIG. 22, the (A,B) interval is subdivided into k equal subintervals in subdivision step 450. Initialization step 451 defines an affinity array Afi] having i elements. The initial value of all elements of this array is 0. Afi] stores the number of cells whose affinities are greater than or equal to (k-i)*L, where L is the length of the subinterval within (A,B). For each cell v, calculation step 452 computes an index i(v) of the subinterval where the cell v affinity is located:
i(v) = K+ l-]MaxAff(v)/L[
MaxAff(v) is the maximum affinity over all adjacent regions for the cell v. This calculation yields an integer value denoting a subinterval within the (A,B) region where the individual cell affinity is located. Now for each cell v we increase the appropriate element of the array by 1 such that A(i(v)) = A(i(v))-f- l. Cell affinity summation array step 453 calculates the value of Afi] by iterating for i equal to 2, i being less than or equal to k, incrementing i,
A[i] = A[i-1] + A[i] Global affinity evaluation step 454 determines the global affinity threshold GlobThresh using the previous equation such that GlobThresh is equal to i where i is the minimum i such that N0 e is less than or equal to Afi].
After calculating GlobThresh, the system evaluates the list of all cells in a predetermined sequential order. Affinity comparison step 455 calculates the maximal affinity for the present region and for each adjacent region. If the affinity for an adjacent region is greater than the global threshold GlobThresh, the cell is placed in the new region in cell repositioning step 456. The original cell position data structure is updated in data structure update step 457. Then steps 455, 456 and 457 are iterated as a block 458, generally 3 times.
The result of this procedure is a global threshold for all cells. Some cells have been moved to adjacent regions, altering affinities of other cells. The procedure is then repeated two more times, for a total of three iterations, through the list of all movable cells using the same threshold. As an additional and optional procedure, a local threshold can be calculated in addition to the global threshold. The local threshold is calculated in the same fashion as the global threshold, but with respect to only the cells from the region where the cell is located. If we use this additional, optional procedure, we move the cell only if the maximal affinity is greater than both the global threshold and the local threshhold.
An average cost function, representing the average of the three values of the cost function calculated after each iteration, is computed. Now we compute a new threshold as described above in step 454. This entire procedure, from threshold computation through cost function computation (block 459), is repeated a predetermined number of times (usually 10 times). Each time block 459 is repeated the predetermined number of times, the average value of the average cost function is calculated and compared with the previous average value of the average cost function value. If
AvgCost., < (1 + δ) * AvgCost,
then the optimization process is halted, δ is a small number, typically 10"3 or 10"4. Section 8: Density Driven Capacity Penalty System
The surface abstraction is partitioned alternately in the vertical and horizontal directions, where each division denotes an additional level of hierarchy. The levels of hierarchy, Levx and Lev determine the number of hierarchy levels. The number of grids, or channels of regions, in each direction are given as Gridx, equal to 2Levx, and Gridγ, equal to 2Levy. Each region on each level of hierarchy is determined by (Levx, Levy, i, j) where i and j are the indices of corresponding surface abstraction segments. The capacity of each region is a function of these four parameters. The system then calculates the sum of the heights in each region. The system then calculates a region capacity in terms of the heights of cells which can be located within a single region. This capacity of cell heights accounts for rows or columns of locations where cells may be located. As shown in FIG. 23, the cell region will have a certain number of columns, or possibly rows, located therein. The cell height capacity represents the space available to individual cells within the region and is based on the hierarchy of the surface abstraction. As outlined below, the highest level of hierarchy defines a single column per region. As may be appreciated by one of ordinary skill in the art, rows may be used rather than columns to define a total cell width capacity rather than a height capacity.
All cells are located at the center of a region during some phases of the placement procedure. The height of a single cell may extend into more than one region. A parameter ColKey is assigned to this placement system process. The center of each cell is assigned to the center of the region it occupies. If ColKey has a value of 0, the entire height of the cell is located within a single region. If ColKey is equal to 1, the height of the cell is distributed to the regions the cell overlaps. For example, if a cell has a height of 16 units while the region has a height of ten units, three units are assigned to the cell above and three to the cell below the current cell. Cells located in an edge region are assigned to the region away from the edge, and not to any region outside the edge. Hence in the example previously presented, ten units of the cell would be assigned to the edge region and three to the region above the edge region. Movement of the cells from one region to another requires updating the total of the heights in each region.
Each cell v is located within regions with indices Ifv] and Jfv], in the x and y directions, respectively. Movement of a cell to an adjacent region is denoted by Δ, and Δ where Δ, is a movement in the horizontal direction, with a rightward movement being + 1 , and Δj representing vertical movement, upward yielding a + 1 value. Δ, and A} each are set at either -1, 0 or + 1. From FIG. 24, penalty calculation step 501 computes a penalty, PenCapB(v,o:, ΔIJ), equal to the following values:
SumHeight[l\vW M * 2* C p[Hv]][J[v ]
for Δ, and Δj both equal to zero; and
Figure imgf000038_0001
+Δ ][ [v] +Δy] + a *h(v) k Cαp[/[v] +Δ .][ [vj +Δ ] *
otherwise (i.e..either or both Δ, and Δj have a value other than 0 (-1 or + 1)). a represents the degree of counting, which affects the movability of a cell v to a new region, c. will typically have a value between .1 and 1. Prior level calculation step 502 computes the penalty for the regions three levels before the current level. For example, if the current hierarchy divides the surface abstraction into 64 by 64 total regions, then three levels before has 8 by 8 regions. A total of 64 eight by eight regions will fit into a 64 by 64 area. The total penalty, PenCap8(v, Δ,,Δj), is calculated in the same manner as the PenCapB calculation in total penalty computation step 503. The total capacity penalty is calculated in step 504 according to the following formula: PenCap(v, A„A = λ * PenCapB(v,cv, A„^) +
Sw * λad . PenCap8(v, Δ„Δj) + ColKey * λcol * PenCapCol(v,β, Δ„Δj)
where λ is the capacity penalty weight in the total affinity, and Sw is a switch parameter set to 0 or 1 depending on whether use of the PenCapδ variable is desired. PenCapδ is used only when the area is divided into 16 by 16 regions or more. λad and λC0| are the relative weights of corresponding penalties. The use of these various penalties allow the user to drive the placement based on predetermined desired characteristics. If capacity in individual columns is to be penalized more than other capacity weights, then the value of λco, is greater than the other λ factors, i.e. λ and λad, where all λ factors are between zero and one. While design and performance are generally a matter of choice, experience has demonstrated that λ may initially be set to the following value:
λ = λΛ C°reX
GridX * GridY
where λ0 ranges between .5 and 1.5, and CoreX is the x dimension of the core. The values initially selected for λcol and λad are 16 and 16.
Section 9: Wire Length Driven Affinity System An alternate embodiment of the current design is to calculate affinities and penalties according to the relative wire lengths of different designs. This procedure provides a set of affinities providing the miriimal wire length over all feasible placement solutions.
For each cell v and net q, the minimum and maximum values for the X component penalties are as follows: min(V>4) = W <~XW + X W^
XmJy>ώ = maXw*v, ve<7 (XW + X(W>4»
where X(w) is the current coordinate of the cell origin, x(v,q) is a pin offset from the origin where the pin belongs to the net q. The y component penalties are similar:
Figure imgf000040_0001
Yv yd) = mw≠V)Weq (γW + y(w,«
These equations define a bounding box 550 containing the net q 551, as shown for a three pin net in FIG. 25.
The borders of the region where the cell v is located are denoted by: X,(v) = Xflfv]]
Xr(v) = Xflfv] + 1] Y,(v) = Yflfv]] Y„(v) = Yflfv] + 1]
The penalty vector for cell v and net q in the x-direction is: (PenHPx(v,q,-l), PenHPx(v,q,0), PenHPx(v,q,l))
These values correspond respectively to movement of the cell to the left, nonmovement of the cell in the horizontal direction, and movement of the cell to the right.
The penalty vector for cell v and net q in the y-direction is: (PenHPγ(v,q,-l), PenHPY(v,q,0), PenHPγ(v,q,l)) These values correspond respectively to movement of the cell upward, nonmovement of the cell in the vertical direction, and movement of the cell downward.
The penalty vector for the individual situation is as follows. If Xmax is less than X,, then the vector representation for the penalty in the x direction is (-1 ,0, 1), indicating zero penalty for keeping the cell in its current location, a penalty of one for moving the cell to the right, and a penalty of -1 for moving the cell to the left. This indicates that a cell in the net is outside the left boundary of the region, and movement of the entire net to the right would be a penalty for the wire length. Movement of the cell to the left would be a negative penalty, or benefit, to the wire length. For Xmιn greater than Xr, the penalty vector is (1 ,0,-1). For Xmιn less than X, and Xmax less than or equal to Xr, the penalty vector is (0,0,1). For Xm less than X, and XmdX greater than Xr, the penalty vector is (0,0,0). For Xmιn greater than or equal to X, and Xmax less than or equal to Xr, the penalty vector is (1 ,0,1). For Xmιn greater than or equal to X, and Xmax greater than Xr, the penalty vector is (1,0,0). Similar vectors result for positions of the cells in the y direction.
The total penalty for a cell v in the X direction is a normalized sum of the penalties in the X direction over all nets incident to the cell v:
PenHP v,Δ = (X/[l] - PenHP v,q,A)
Figure imgf000041_0001
In the Y direction,
PenHP 1 v J) = ( [l] - [ ]) * PenHP l y,q,A J)
Figure imgf000041_0002
The total penalty is the sum of the x and y components: PenHP(v9ΔpAp = PenHPχ(v,A) + PenHP^A
The affinity is the opposite of the penalty:
AffHP(v,Ai9A = -PenHP^A- j)
and a first combined affinity is calculated based on both capacity and wire length:
4/J[v,Δ .,Δ .) = AffHPiy - ) + QEF(v) * AffCap(y, pAp
QEF(v) represents a scaling factor having the following parameters:
log( Hei8hW ) + A
OEF(v) = Λvg Hei8ht °f AU ells
B
where Height(v) represents the height of the cell v. Although any values may be used for A and B in this equation, experience and testing has shown that the values of 5 and 5 produce me most beneficial results.
Section 10: Minimizing Maximal Cut Driven Affinity System
Another parameter used to produce an affinity for improving cell placement is minimizing the maximal number of nets that intersect the unit segment of the grid system imposed of the surface abstraction of the chip. Net overlap inherently yields inefficiency of wiring, and thus minimizing the number of nets which cross other nets improves overall system efficiency. For each level of chip core partitioning hierarchy, the number and position of the vertical and horizontal lines which induced the level of partitioning hierarchy are evaluated, including determining the number of nets which intersect a line partitioning the cell into regions. Initially, the system determines the number of nets which intersect the lines and the relative affinities for these line crossings. The system moves the cells and the nets change position based on relative affinities, and then the number of net crossings and affinities are recomputed.
As shown in FIG. 26, each dividing line partitions regions, and each of these regions has a capacity denoting the volume of cells which can fit within the region. The system performs the following procedure once after each bisection. The system calculates the capacities as an average capacity of regions adjacent to the dividing line. In FIG. 26, the capacity of dividing line X(i) is defined as the average capacity of all regions to the left of the line and all regions to the right of the line. The system calculates average vertical line capacity and average horizontal line capacity for all lines, representing the amount of wiring which is available over the entire surface abstraction. The capacity may also represent available space for wiring available on multiple layers of the chip. The capacity of each horizontal and vertical line is then divided by the corresponding horizontal or vertical average values. Hence, if the capacity of the line represented by X(i) in FIG. 26 has a capacity of 1500 cells and the average capacity of all vertical lines on the surface abstraction is 1000 cells, the relative cut of the line is 1.5. The ratio of the number of nets crossing a line and the capacity of the line are defined as the relative cut.
Before each optimization step in the affinity driven discrete placement optimization procedure, and particularly before calculation of global and/or local thresholds, the system calculates a midcut for the surface abstraction. The midcut represents the average relative cut over all lines of the surface abstraction. FIG. 27 represents a region having indices (TX, TY). The number of cuts represents, with the current cell configuration, the number of times a net crosses a boundary, while the capacity of the line represents the total number of possible crossings of the particular boundary. The system calculates four penalties which represent the cost of a change for a halfperimeter move of cells within the region one unit to the right, left, up, and down: DXL = (X.T1J - X_TO]) * (l - + p *( CutX[TX I (MidCut)))
CapX[TX]
DXR = (XJ[1] - / (MidCut)))
Figure imgf000044_0001
DYB = (YJ[1) - K/[0]) * (l-^ ^ *( CutY[7Y J {MidCut)))
CapY[TY]
DYT = (YJ[1] - YJ[0]) * -r- +P *( CUtY[TY+1 / (MidCut)))
CapY[ Y+l]
These equations, as illustrated in FIG. 27, represent the number of cuts over region dividing lines TX, TX + 1, TY, and TY+ 1 relative to the capacity of the dividing lines. The XI and YJ factors represent the size of one region. The factor β represents the relative penalty associated with cuts, and testing has shown that a reasonable range for β factors is .4 to .5. As shown in FIG. 27, for a region twenty units in length on the x and y sides, with ten cuts along each dimension and a capacity for one hundred cuts, with an average number of cuts equal to twenty cuts, and a β factor of .45, the values for DXL and DYB are 11.045 each. For 40 cuts on the right hand side and upper side of the regions, the values are 11.18.
Discrete affinities in the x and y direction represent the numbers of nets whose halfperimeter decreases on movement of cells across the boundary minus the number of nets whose halfperimeter increases when a cell moves in a given direction.
AffX[i], i = -1,0,1; AffYlj j = -1,0,1 Affinity for zero movement represents the numbers calculated above. Movement of a cell in a particular direction, such as crossing a boundary line, induces an affinity for that cell. From FIG. 27, movement of the cell to the right and up decreases the penalty, or increases the affinity for the cell. Thus affinity in the x direction, AffX, for movement to the right is -1 , to the left is 1, and affinity for movement in the y direction, AffY for movement of the cell upward is -1 , and downward is 1. Affinity for keeping the cell in its current position is 0.
The discrete affinities for movement in each of the four directions are multiplied by the corresponding factor:
AffcutX[-l] = AffX[-l] * DXL
AffcutY[~ϊ\ = AffY[- ] * DYB
AjfcutX = Atf V] * DXR
AffcutY\\\ = AJffll] * DYT
Total affinities for movement of the cell in the vertical and horizontal directions are the summation of affinities in the X and Y directions:
Affcut[i][j] = AffcutXfi] + AffcutYfj]
An alternative embodiment of this procedure is to use the square of the number of crossings as a component of the cost of change for the halfperimeter move. For movement to the left, this would yield an equation of: DXL = (J_/[l] - X/[0]) * (l -β/ +β/*(-_5___ i___Q / (MidCut)))
CapX[TX]
Squaring the factors increases the emphasis on the number of cuts, and balancing with new β' factors yields an arrangement wherein the total number of cuts converge rapidly to a relatively uniform quantity.
Section 11 : Neighborhood System Driven Optimization
Each moveable cell v is located within a neighborhood Neigh(v) constructed in accordance with the optimization of cell neighborhood system procedure outlined above. That procedure yields an ordering of cells according to the cells' distance from the center of the neighborhood, after optimization. FIG. 28 illustrates such an ordering of cells within the neighborhood, Neigh(v) = (w(v,l), w(v,2), . . . w(v,M)), where M is the size of the neighborhood, generally in the range of 20 cells.
From FIG. 29, weight assignment step 601 assigns each cell a weight equal to the size of the neighborhood M minus the index of the cell i. Thus, for a neighborhood of size 20, the 20th cell has a weight of 0, while the first cell has a weight of 19.
An alternate preferred method of assigning weights is to declare a number L, where L equals M plus some positive integer, such as 2, and weights range from 21 down to 2. The reason for this shift is that the weight accorded to a factor of 1 is infinitely greater in terms of multiplications than a factor of zero. Thus relative weights may be misleading if low number factors, such as zero and one, are used as weighting factors. Any monotonically decreasing function may be employed in defining the weights accorded the cells within the neighborhood.
The system then calculates attraction weights in step 602. The total sum of the weights attracting the neighborhood to the region are defined as follows: SumWeighψ) = imw(^)<x^ ight(w(v,i))
SumWeigh ) = ,;(Xι(v)≤v(ξV)i))≤X (v)»'««Ar(w(v>i))
SumWeightr(v) = ,;(x(w(vξ)>x v))»'«gΛt( (v>0)
These equations represent the weights of the neighborhood attraction in a direction. For example, assume a neighborhood (v,, v2, . . . v5), as shown in FIG. 30. The weights assigned to the individual cells in the neighborhoods represent the relative heights of the cells, but can be any measure of load accorded to the individual cell. The relative weights of the cells is (7, 6, 5, 4, 3). From the previous equations, the sum of weights to the left of the current region minimum line in the x direction, x (v), is 7 plus 6 plus 4, or 17. The sum of weights to the right of the current region maximum line, xR(v), is 5. The sum of weights within the region bounded by the xL(v) and xR(v) lines is 3.
In affinity definition step 603, the system then defines the following neighborhood affinities for movement of cells in each particular direction:
AffNeighborhoodx(v,0) = 0
2* Grid '
AffNeighborhoodχ(v,-l) =
Figure imgf000047_0001
(SumWeighψ) -SumWeight ) -Sum Weight τ(v)) 2 * Grid,
AffNeighborhood ,\) = „ . , „ . ,
Grιdχ+Grιdγ M
(Sum Weight r(v) -Sum Weight y) -Sum Weight ft))
These values represent the relative overall benefit of moving the location of the neighborhood in a particular direction or leaving the neighborhood in its current position. Gridx and Gridγ are identical to the values outlined above in reference to the density driven capacity penalty system, and represent the number of grids, or lines of regions, in the X and Y directions. Gridx is equal to 2Lev and Gridγ is equal to 2Levy, where Levx and Levy define the number of hierarchy levels. The number M represents the number of cells in the neighborhood.
Resuming with the example of FIG. 30, M is equal to five and we are in the fourth level of hierarchy. Thus, AffNeighborhoodx(v,0) equals 0, and AffNeighborhoodx(v,-l) equals (2 * 2/(2 + 2)) * 1/52 * (17 - 5 - 3), or 9/25. AffNeighborhoodx(v,l) equals (2 * 2/(2 + 2)) * 1/52 * (3 - 5 - 17), or -19/25. Hence the X affinities for this example are (9/25, 0, -19/25) for leftward, center, and rightward movement, respectively. The Y affinities for this example are (-17/25, 0, and -15/25). Selecting the highest affinities yields the result that me neighborhood should be moved to the left and remain in its current vertical position. Affinities for the X and Y directions are therefore combined in step 604 to yield a total neighborhood affinity for movement of the current neighborhood to another region within the nine regions adjacent a non-edge region. Affinities may be combined while still within the scope of the current invention.
Combinations of capacity affinities, wire length affinities, cut affinities, and neighborhood affinities present an enhanced system of determining the preferred direction of movement of a cell or net. Such an affinity combination may include combining the following affinities: Aβiy i j = AffNeighborhood^A^Aj) + QEF(v) * AffCap(v,At,Ap
As outlined above, QEF(v) represents the capacity penalty influence factor, which is a function of cell v relative height. Such a combination of affinities takes into account cell position as well as relative weight accorded to an individual neighborhood.
Section 12: Functional Sieve Optimization Technique
The combination of affinities introduces an element of randomization. A deterministic system for combining affinities which converges at a relatively rapid rate is desired to optimally utilize affinities. Such a system which iteratively optimizes cell placement using a combination of affinities is the functional sieve approach.
The functional sieve performs several calculated iterations of combining affinities and moving cells based on relative affinities and then computing cost functions for the new cell positions. The functional sieve utilizes the following basic formula:
Affiy iΛj = μ, * Ajfβleighborhood(v,Ai,Ap + μ2 * AffHP(v,ApAp + QEF(v) * AffC p(v,AitAj)
As illustrated in FIG. 31, the system in step 651 iterates a predetermined number of times, preferably once, calculating the above affinities with μ, equal to one and μ2 equal to zero. This iterative procedure produces affinities and cells which are then repositioned based on the combined neighborhood and capacity affinities. Subsequently, the system in step 652 performs a predetermined number of optimization iterations with μ, equal to zero and μ2 equal to one, moving the cells based on cut and capacity affinities. The first iterative procedure involving neighborhood and capacity affinities combined with the second iterative procedure entailing cut and capacity affinities define a major iteration. After this major iteration, the system in step 653 calculates the value of the cost function. The preferred cost function is wire length.
After computing the cost function, the system performs a predetermined number of major iterations and calculates the cost function after each major iteration. The preferred number of major iterations and cost function calculations is six. After this predetermined number of major iterations and cost function value calculations, the system computes the average cost value for all of the costs calculated in the previous steps. This procedure steps through different affinity evaluations and obtains a preferred overall movement of cells on the surface abstraction. The functional sieve optimization process is halted when two consecutive cost average function values satisfy a given accuracy, such as 10"3 or W4. During the discrete placement procedure described above, the μ, parameter is utilized in a larger number of iterations than the μ2 parameter. Subsequently, the system performs several iterations with μ, equal to zero. The entire block is iterated a predetermined number of times in this discrete placement procedure, typically three to five times. During final placement, a crystallization procedure produces fine placement of the cells after the aforementioned functional sieve procedure is completed. The system uses a small nonzero value, such as 10"2, for μ, a predetermined number of times, such as once, in the major iteration and the zero value several times. This procedure produces a detailed placement of cells. An alternate embodiment of the current functional sieve alters the multiplying factors for the various affinities. Such an embodiment is particularly useful in crowded net situations, and emphasizes cross cuts while taking advantage of open nets.
The basic equation for the alternative embodiment is: AfftytApAp = μ, * AffNeighborhood(v,ApA + μ2 * [(1 -β) *4^?_T(v,Δ .,Δ ,)+β *AffCut(v,ApA .)] + C?£F(v) * AffCap(v,A 'Ap
where β is a number between zero and one, depending on the emphasis desired placed on the number of cuts.
The affinity combinations disclosed within this functional sieve operation are not limited to those disclosed here, and may include other combinations using other weighting factors. Such an alternate weighting and affinity scheme would produce a desirable placement of cells and still within the scope of the present invention.
Section 13: Coarse Overflow Remover (Bulldozer)
A coarse overflow remover procedure is applied on the highest level of the chip core region hierarchy when each region contains a piece of only one column. The list of cells is scanned in the order of decreasing heights in order to find a new region for each of them. A list of cells in order of decreasing cell height is made. If the height of a cell is smaller than the available space in the corresponding column segment, then the cell retains its location. Most of the cells will keep their previous positions if the initial cell density is acceptable.
FIG. 33 represents a portion of the chip that has seven columns 800-806. As shown in FIG. 33, the cell columns 800-806 are partitioned into maximal segments without blockages. A plurality of megacells 810 may be located in the upper left corner. The megacells 810 are shown to extend across the columns 800-802. A first blockage 830 extends across the second and third columns 801 and 802, and a second blockage 832 extends across the column 806. Column 800 has two adjacent regions 812 and 814 that are assigned to a single column segment 815. Each of the column segments actually consists of a few regions, and each region belongs to exactly one of the segments. For each segment the total height of all cells assigned to the segment is retained. For example, The column 806 segment includes a region 816 that has a cell height of twenty -two and an adjacent region 818 that has a cell height of six. Therefore, the column segment 806 includes a column segment 820 that has a cell height of twenty -eight. This process is applied only to cells that have been already scanned, i.e. in the beginning of the process all those sums are equal to 0.
The capacity of a column segment is its height. The next cell from the list will get a new position according to the following rule: look for the closest (using Manhattan distance) region to the current cell so that the corresponding column segment will not have an overflow capacity if the next cell is assigned to that region. A preferred order for scanning the regions is shown in FIG. 34. First consider the original region (marked with the numeral 0) and then consider the regions having a distance of 1 , then consider the regions having a distance of 2, etc. This step considers only cells that already were assigned new positions and the current one. Usually, a cell is going to stay on the old position. As soon as the region is found that satisfies mis condition, the region scanning is stopped, and assign the cell to that region. If the original region satisfies the condition, the cell is reassigned to the original region.
Section 14: Overlap Remover With Minimal Noise
The purpose of this process is to smoothly remove cell overlap with minimal increase of the wire length. FIG. 36 is a flow chart of an overlap remover according to the invention. The overlap remover process is applied separately to each column of cells. It is assumed that each column is continuously connected with no blockages between cells of the same column. As shown in FIG. 35, denote the top 750 and bottom 751 of the column 752 with index j by _Tj] and _?[j], respectively. Similarly the top 753 and bottom 754 of column k 755 are denoted by Tfk] and Bfk], respectively. The vertical grid step is used as the unit of measure. First the cells in a column are sorted in the order of increasing cell bottom y coordinates. Denote cells in that order by
V1 > V2> • • • Vn
The bottom coordinates of these cells are Yx ≤ Y2 ≤ . . . ≤ Yn As shown in FIG. 35, the parameter zaz 756 is defined as the distance between the top of one cell 757 in a column and the bottom of the next cell 758 upward. There must be at least one grid space between adjacent cells to have a feasible layout. FIG. 37 illustrates a numerical example. Suppose a column 850 has a height Hcol = 60 and that the column 850 consists of five regions 852-856 that contain cells with heights of 5, 7, 3, 9 and 6, respectively. The total cell height is
Hcell = Σ heighlv). ve column
Hcel, = 5+ 7+3+9+6 = 30
The average extra space per cell is now calculated as
#col " #cell __ 60 - 30 = 5. total no. of cells + 1 5 + 1
The parameter minzaz satisfies the condition minzaz < co1 " ce"
# cells + 1
Therefore, for the example given a possible value for minzaz is 3. The following array is calculated:
zaz[0]
Figure imgf000053_0001
= TTj] - Yn zaz[i] = Yi +ι - Yi - NσrmHfVj]; i = 1, 2, . . ., n-l,
where Norms [v is the cell height in grids.
The parameter of the overlap remover process is integer values of minzaz, which can be positive or negative. The process further includes the step of modifying the array zaz such that all its elements are not less than minzaz. The array elements are processed forward and backward alternately. The following procedure is executed: (a) At the beginning of the process the counter is initialized to zero. If the processing element is less than minzaz, then the element is increased by 1 and the counter is decreased by 1 and the next element is processed.
(b) If the element is greater than minzaz and also positive, but the counter is negative, then the counter is increased by 1 and the element is decreased by 1 . The steps (a) and (b) are repeated until the condition is satisfied. Then we proceed with the next element.
(c) If all elements became not less than minzaz [zaz(i) ≥ minzaz) and the counter has zero value, the process is stopped. The cells are moved in one grid interval increments until the condition is satisfied.
FIG. 36 is a flowchart of a preferred process adjusting cell spacing in the column to remove overlap with minimal noise. The process of adjusting cell spacing begins with a step 900 where all movable cells from i = 1 to i = n are to be considered. For each cell i, the spacing zaz(i) between the top of cell i and the bottom of cell i+ 1 is compared to minzaz in a process step 902. If zaz(i) is less than minzaz, then zaz(i) is replaced with zaz(i) plus one grid step (zaz(i) *= zaz(i) + \) in a process step 904. A counter is then decremented by one in a process step 906. The foregoing steps 900, 902, 904 and 906 are repeated until zaz(i) is not less than minzaz. If zaz(i) is not less than minzaz, then a process step 908 compares zaz(i) a parameter maxzaz, where maxzaz is the largest value of zaz(i) that will be permitted on the chip. If zaz(i) is less than maxzaz and the count is greater than 0, then zaz(i) is replaced by zaz(i) plus one grid step (zaz(i) *= zaz(i) + l) in a process step 910. The counter is then decremented by one in a process step 912, and the foregoing steps 902, 908, 910 and 912 are repeated until the count becomes zero.
The remaining situation to be considered is when zaz(i) is not less than minzaz and the condition count > 0 and zaz(i) < maxzaz is not satisfied. In a process step 914 zaz(i) is compared to maxzaz. If zaz(i) is greater than maxzaz, then zaz(i) is replaced by maxzaz in a step 916. The count then is incremented by the quantity [zaz(i) -maxzaz] in a step 918. The steps 902, 908, 914, 916 and 918 are repeated for the selected cell until the condition zaz(i) > maxzaz of step 914 is not satisfied. The process of adjusting cell spacing then proceeds to a step 920 where a parameter Δ is defined such that Δ = zaz(i) -minzaz. Then in a step 924 the parameter Δ is compared to the negative of the count to determine whether Δ < -count. If Δ is not less than or equal to -count, then the parameter Δ is set equal to -count in a step 926; and the process proceeds to a step 928. If in the step 924, the parameter Δ is less than or equal to -count, then the process proceeds to the step 928 where zaz(i) is replaced with zaz(i) - Δ. The count is then incremented by parameter Δ in a step 930, and the process of adjusting cell spacing is completed.
The result of adjusting the cell spacing in accordance with this preferred process is that overlap between cells is removed and spacing that were too large have been reduced to acceptable values. Cells that previously overlapped now have a spacing zaz(i) of one grid space. Cells that were too far apart now have spacings zaz(i) such that minzaz ≤ zaz(i) ≤ maxzaz.
After finishing the procedure the cell coordinates are modified:
T, = B[l] + zaz [0];
Yl = 7, ., -NormH[v,] + zaz[i-l],
For = 2, 3, . . ., n.
Section 15: Sinusoidal Optimization
This procedure significantly levelizes the cell density with almost no increase in wire length. The ColKey parameter has been discussed above in the section that describes the density -driven capacity penalty system. For the sinusoidal optimization procedure the ColKey parameter should be set to 1. Setting the ColKey parameter to 1 means that the height of a cell is distributed over all regions with which the cell overlaps. Precisely, if the cell has been assigned to the highest level hierarchy region with an index j, it is assumed that the cell center is in the center of the region. Depending on the real height of the cell, the occupancy is updated for all regions the cell with which the cell overlaps. The region occupancy is updated after every cell move. Because the number of cells higher than the smallest region height is relatively small, updating the region occupancy is not going to affect the complexity of the optimization. In addition to the basic region capacity penalty, which is calculated taking into account real cell dimensions as described above, the segment column capacity penalty is also used now. It is necessary to consider the capacity penalty to achieve more uniform distribution of big cells on the chip.
The main block of the sinusoidal optimization procedure comprises a number of big iterations of the discrete placement optimization described previously herein with reference to FIGS. 21 and 22. Denote that main block by Optim (k), where k is the number of iterations. The main parameter is the capacity penalty influence parameter λ, which has been described previously with reference to FIGS. 23 and 24. The value of the capacity penalty influence parameter λ will be changed during the sinusoidal optimization process.
Steps that preferably are included in the sinusoidal optimization procedure are as follows: {
Optim(m); λ = λ 2
Optim(2 m); λ = λ - t Optim(m) λ = λ I
Optim(2 - m); λ = κ
}• where m and Z are predetermined integer parameters. Typically m is one of the numbers 6 to 10, and λ is 2. This sinusoidal optimization procedure typically is iterated in combination with the other levelizing procedures described herein, specifically, the dispersion-driven leveling system described in §16.
There are two types of sinusoidal optimization. One type is unconstrained and contains standard discrete placement optimization. The other type of sinusoidal optimization controls cell column densities inside the discrete placement optimization.
Section 16: Dispersion -Driven Levelizing System This procedure does smooth continuous cell density levelization on the chip and is illustrated by FIG. 32. First, a new coordinate system is introduced on the chip by imposing a mesh on the chip 700 and assigning integer coordinates to the nodes of the mesh 701. The nodes of the mesh are classified as to whether they are movable or fixed 702. Nodes of a square that overlaps with a blockage or a megacell are fixed. All other nodes are movable.
The densities of the square regions are calculated as a sum of portions of the height of the cells that overlap the region 703.
After coordinates are assigned to the nodes of the square mesh, the node coordinates are transformed such that the squares defined by the mesh are deformed into arbitrary equilaterals 704. A constraint on the deformation of the mesh is that regions that overlap with megacells are not deformed.
The coordinates of the movable nodes are iteratively recalculated to minimize the special cost function density dispersion 705. To speed up the convergence, the whole optimization procedure is organized hierarchically. Starting from the mesh square regions the hierarchy is built up using quadragrouping (reverse quadrasection).
On the hierarchy level k denote by den (k, i,j) the density of the region (k, i,j), and by sfk, i,j) the area of the region. The total density DEN will be the sum of the densities of the regions for all and j.
DEN = ∑∑ den(k, i,j)
If the total available core area is a fixed number S, then define
M __ = — D —EN The density dispersion D is then given by
Figure imgf000058_0001
which is the cost function. The dispersion is minimized by doing coordinate node local moves. Suppose the node is not on the core border and therefore has four adjacent regions. Then for each node A with coordinate (x, y) the local average density is computed as
Figure imgf000058_0002
∑ S χ, y)
where derij are the densities of the four adjacent regions, and Sj(x,y) are the areas of the images after deformation of the original regions assuming A has coordinates
(χ.y).
The local cost function is defined as
Figure imgf000058_0003
The coordinates for A are chosen in order to minimize the local cost function 706.
An algorithm for minimizing the local cost is to separately move each point A(x,y) a distance ό to the left or right (up or down for the y coordinate). The value of δ can change with each coordinate. The value of the cost function is calculated for each move. In each local region the set of the coordinates that minimizes the cost function is chosen for the cells.
After all of the global levelization steps have been performed, there may still be some density "peaks" in the core region of the chip. The bulldozer procedure described above may be applied to remove these peaks. Finally, the sinusoidal optimization procedure is applied again to the chip surface, which is by now subdivided into cell columns. Reapplying the sinusoidal optimization process ensures that the cells will be evenly assigned to the columns as required by the structure of the final design.
Section 17: Cell Placement Crystallization
The purpose of this procedure is to get final cell placement. First, the height of each cell is increased by one grid plus γ, percent of the remaining available space. Then, the dispersion driven levelizing system and the sinusoidal optimization procedures are iterated k, times (e.g. 5 times).
Now, the original height of each cell is increased by one grid plus a certain percentage of the remaining available space. For this purpose, 72% is preferable.
Then the overlap remover procedure is executed with maxzaz set equal to the column height to ensure that there is no overflow in any of the connected column segments.
Next the positions of the large cells are fixed and then the sinusoidal optimization is executed for k2 iterations where k2 may be 10 for example.
Now the detailed coordinates of each cell are obtained. In the remaining part of the placement crystallization the following three procedures are iterated: 1. The vertical optimization is performed for k3 iterations. During one iteration, the list of cells is scanned. For each cell the change in the cost function is calculated if the cell is moved down for a (parameter). The change in cost function is calculated if the cell is moved up. The move that improves the cost function the most (if any) is performed. 2. Overlap remover with minimal noise. 3. Next k4 iterations of optimal permutations are performed. In this process the cost function is calculated if vertically adjacent cells are interchanged. Any such change that improves the cost function is performed. Referring to FIG. 1, if two cells C, and C2 are interchanged, the space between them is maintained the same as before the interchange. The area occupied by these two cells is kept at a constant value.
Finally, referring to FIG. 38, the cells are set to the grids by increasing the y-coordinate until the bottom of each cell reaches the closest horizontal grid line. At this point, most of the cells are close to their final positions. The crystallization step places them in correct, final positions. Proper vertical cell spacings are computed, so that horizontal wires can be routed over and between cells in the vertical columns. Vertical and local-horizontal "swaps" may be performed if doing so improves the cost functions. Cells must be assigned proper geometric coordinates so that their positions correspond to legal grid positions specified by the underlying chip architecture. All of these steps are performed by the crystallization process described above, and the cells are frozen into their final positions. At this point, the placement process according to the invention system has completed its work. A data structure is prepared that can be read by a routing system (not shown) for chip routing and design completion. While the invention has been described in connection with specific embodiments thereof, it will be understood that the invention is capable of further modifications. This application is intended to cover any variations, uses or adaptations of the invention following, in general, the principles of the invention, and including such departures from the present disclosure as come within known and customary practice within the art to which the invention pertains.

Claims

CLAIMSWe claim:
1. A method for locating a plurality of cells on the surface of an integrated circuit device where the cells are associated by a net list, comprising the steps of:
(a) forming cell neighborhoods;
(b) placing the cells within a two-dimensional abstraction of said surface; (c) iteratively subdividing said surface into a plurality of regions; and
(d) moving cells between regions as a function of affinities for relocating the cells.
2. The method of claim 1 wherein the regions are further subdivided into subregions and cells are moved between subregions as a function of affinities for relocating cells.
3. The method of claim 1 wherein said affinities are a function of region capacity.
4. The method of claim 3 wherein said affinities are further a function of total cell height within a region.
5. The method of claim 1 wherein the affinities are a function of wire length between connected cells.
6. The method of claim 1 wherein the affinities are a function net crossings between regions.
7. The method of claim 1 wherein the regions are rectangular.
8. The method of claim 7 wherein the regions are arranged in a rectangular grid with horizontal rows of regions and vertical rows of regions such that each region (a) shares an edge with each adjacent region within its horizontal row of regions and (b) shares an edge with each adjacent region within its vertical row of regions.
9. The method of claim 8 wherein the following additional steps are taken:
(a) dividing a first row of regions with a first region dividing line such that each region in said first row of regions is divided into a first subregion and a second subregion; and
(b) for each region in said first row of regions, performing the following substeps: i. locating a cut line across the region; ii. determining an offset representing the distance between a first point on the region's cut line and a second point on said first region dividing line, said second point being within the region; iii. shifting cells assigned to said region said distance; and iv. relocating any cells located outside the region as a result of said shifting step to an edge of said region.
10. The method of claim 1 , wherein said neighborhoods are formed by a method comprising the following steps:
(a) collecting a predetermined quantity of elements, wherein said predetermined quantity contains a center element and all elements are assigned a distance value from said center element; and
(b) assigning a coordinate to each element based on its associated distance value.
11. The method of claim 1 , wherein the netlist is processed by a method comprising the following steps: (a) generating for each cell a neighborhood, the neighborhood comprising a set of cells that have a predetermined relationship to the cell whose neighborhood is being generated;
(b) assigning coordinates to each cell and to each net in the neighborhood; and
(c) recomputing new net coordinates such that for any net q the new net coordinate z fq] is defined as follows:
Figure imgf000063_0001
where | q | is the number of pins of the net q.
12. The method of claim 11, wherein the following additional step is taken:
(d) recomputing new cell coordinates such that for each cell, the coordinate zfv] is equal to:
Figure imgf000063_0002
and in the new cell coordinate computation, for each cell v, the weight βfv] is represented by:
PM =
Figure imgf000063_0003
where for a net q, v is an element of q.
13. A method for locating a plurality of elements on a surface associated by a list, comprising the steps of:
(a) forming a neighborhood defined as a set of elements located a relatively short distance from a target element; (b) ordering elements within each neighborhood according to their relative distance from said target element;
(c) preplacing said elements within a two-dimensional abstraction of said surface;
(d) iteratively subdividing said surface into a plurality of regions; (e) assigning elements to said plurality of regions;
(f) moving said elements based on affinities for relocating said elements;
(g) levelizing element density over the surface based on relationships between various elements; (h) relocating any overlapping elements; and
(i) performing a final cell adjustment for element positions.
14. The method of claim 13, wherein said element moving step comprises computing a combination of affinities from among the group of affinities including affinities for elements clustered together, affinities based on region capacity, and affinities based on groups crossing divisions between regions.
15. The method of claim 13, wherein said element moving step comprises computing a combination of affinities from among the group of affinities including affinities for elements clustered together, affinities based on region capacity, and affinities based on groups crossing divisions between regions.
16. The system of Claim 13 wherein said element ordering step comprises assignment coordinates to each element, iterating element coordinates, and assigning the elements to neighborhoods.
17. The method for locating a plurality of elements on a surface, comprising the steps of:
(a) establishing an initial location for all elements on the surface;
(b) iteratively performing a one dimensional preplacement optimization technique;
(c) subdividing the surface into regions by dividing the surface in one direction;
(d) performing a levelizing cut point procedure for each region;
(e) performing a median control procedure for each region; (f) iterating said levelizing cut point procedure and median control procedure a first predetermined number of times;
(g) computing a cost function for each element and moving said element as a function of said cost function; and
(h) repeating steps (c), (d), (e), (f), and (g) such that the regions are further divided into smaller regions and treated until a predetermined ending criterion is achieved.
18. A system for optimally locating a plurality of elements on a surface, comprising the steps of: (a) constructing a plurality of neighborhoods containing elements positionally related to one another;
(b) initially evaluating the lowest level of region hierarchy;
(c) iteratively developing a logical one-dimensional preplacement of elements on said surface; (d) performing an affinity driven discrete preplacement optimization;
(e) evaluating whether a highest level of regional hierarchy has been attained;
(f) iteratively performing a dispersion driven spring system to levelize cell density and an unconstrained sinusoidal optimization;
(g) executing a density levelizing procedure; (h) iteratively optimizing while controlling element densities; (i) removing element overlap;
(j) iteratively optimizing for desired spacing between elements, adjusting element spacing, and permuting elements; (k) locating elements on grid lines; and
(1) iteratively performing functional sieve crystallization.
19. A system for optimally locating a plurality of elements on a surface, comprising: (a) performing a regional hierarchical descent;
(b) levelizing element densities over said surface; and
(c) optimizing element locations by adjusting element position based on relationships between elements.
20. A system for efficiently placing a plurality of elements on a surface, comprising:
(a) initially placing said elements on said surface;
(b) subdividing said surface into a plurality of regions and relocating elements within said regions; (c) repositioning elements based on relative propensities for relocating elements to alternate locations on the surface; and
(d) optimizing placement of all elements based on relationships between elements.
21. A method for efficiently placing a plurality of cells on a surface of a semiconductor chip, comprising the steps of:
(a) initially locating said cells on said on said surface;
(b) subdividing said surface into a plurality of regions and moving elements into said regions in a predetermined manner; (c) repositioning cells depending on relative affinities for moving elements to alternate locations on the surface; and (d) optimizing placement of all cells based on pertinent relationships between all cells on the surface.
22. A method for efficiently locating a plurality of elements on a surface, comprising the steps of:
(a) preplacing said elements within a two-dimensional abstraction of said surface;
(b) iteratively subdividing said surface into a plurality of regions;
(c) assigning elements to said plurality of regions; (d) moving said elements based on computed propensities for relocating said elements; and
(e) optimizing element placement in a predetermined manner.
23. The system of claim 22, wherein said element optimization step comprises:
(a) levelizing element density over the surface based on relationships between various elements;
(b) relocating any overlapping elements; and
(c) performing a final cell adjustment for element positions.
24. A method for placing elements on a surface, wherein elements may be associated in groups, comprising the steps of:
(a) locating each element at a predetermined position on said surface; (b) computing a group coordinate for each group of elements based on the positions of all elements in the group;
(c) determining a weight for each element depending on a first parameter of the element; and
(d) calculating an element placement position from the weight received from said determining step and a sum of group coordinates for all groups with which the element is associated.
25. The method of claim 24, further comprising the step of iterating steps (b), (c) and (d) until a predetermined threshold is achieved.
26. The method of claim 24, further comprising the step of iterating steps (b), (c) and (d) for a predetermined number of times.
27. A method for efficiently positioning a plurality of elements on a region of a surface, wherein said elements may be related in a particular manner, comprising the steps of: (a) initializing element positions on the surface;
(b) computing a position of related elements;
(c) determining a weight associated with each element; and
(d) calculating a new element position based on the related element positions from said computing step and the element weight from said determining step.
28. The method of claim 27, further comprising the step of iterating steps (b), (c) and (d) until a predetermined threshold is achieved.
29. The method of claim 28, further comprising the step of iterating steps (b), (c) and (d) for a predetermined number of times.
30. The method of claim 27, wherein said computing step comprises summing positions of each set of related elements and dividing said sum by the number of elements in the set of related elements.
31. The method of claim 30, wherein said determining step comprises computing the weight of each element based on the number of elements related to said element.
32. The method of claim 30, wherein said calculating step comprises multiplying, for each element, the element weight received from said determining step by the sum of positions of each set of elements related to the element received from said computing step.
33. The method of claim 27, wherein said method further comprises the step of establishing a revised position of related elements following said computing step, wherein said establishing step situates related elements, located outside said region as a result of said computing step, to be within said region.
34. A method for providing an optimal preplacement of cells on a bounded surface of a semiconductor chip, wherein a percentage of said cells have predetermined interconnections with other cells, comprising the steps of: (a) initially locating the cells on said surface; (b) computing coordinates for interconnected cells;
(c) determining a weight associated with each cell; and
(d) calculating a new cell coordinate for each cell based on the coordinates from said computing step and weights from said determining step.
35. The method of claim 34, further comprising the step of iterating steps (b), (c) and (d) until a predetermined threshold is achieved.
36. The method of claim 34, further comprising the step of iterating steps (b), (c) and (d) for a predetermined number of times.
37. The method of claim 34, wherein each cell has a number of pins associated Uierewith.
38. The method of claim 37, wherein each set of associated cells form a net, and wherein said computing step comprises summing the positions of all cells in each net and dividing the resulting sum by the number of pins in all cells in the net.
39. The method of claim 37, wherein said determining step comprises figuring the weight associated with each cell based on the inverse of the sum of the inverse of the pins in all cells in the net.
40. The method of claim 34, wherein said calculating step comprises multiplying the cell weight received from said determining step by the sum of positions of each net received from said computing step.
41. The method of claim 34, further comprising the step of establishing a revised position of nets following said computing step, wherein said establishing step positions nets located outside said region to be on said region.
42. A computer storage device having located thereon a computer executable program comprising the following steps: (a) locating elements at a predetermined position on a surface, wherein said elements may be associated in groups;
(b) computing a group coordinate for each group of elements based on the positions of all elements in the group;
(c) determining a weight for each element depending on a first parameter of the element;
(d) calculating an element placement position from the weight received from said determining step and a sum of group coordinates for all groups with which the element is associated.
43. The computer storage device of claim 42, wherein said computer program further comprises the step of: iterating steps (b), (c) and (d) until a predetermined threshold is achieved.
44. The computer storage device of claim 43, wherein said computer program further comprises the step of iterating steps (b), (c) and (d) for a predetermined number of times.
45. A method for providing an optimal cluster of elements, comprising the steps of:
(a) collecting a predetermined quantity of elements, wherein said predetermined quantity contains a center element and all elements are assigned a distance value from said center element; and (b) assigning a coordinate to each element based on its associated distance value.
46. The method of Claim 45, further comprising the step of iteratively calculating new element positions based on related element positions and weights associated with each element.
47. A system for placing elements on a surface, comprising:
(a) collecting a predetermined quantity of elements;
(b) assigning coordinates to said elements; (c) iteratively recomputing element coordinates; and
(d) placing elements based on element coordinates.
48. A computer storage device having a computer program stored thereon, said computer program comprising the steps of: (a) collecting a predetermined quantity of elements;
(b) assigning coordinates to said elements;
(c) iteratively recomputing element coordinates; and
(d) placing elements abased on element coordinates.
49. A method for placing cells on a surface of a semiconductor chip, said method comprising the steps of: (a) collecting a predetermined quantity of cells;
(b) assigning coordinates to said cells;
(c) iteratively recomputing cell coordinates; and
(d) placing the cells on the surface using the cell coordinates.
50. A method for identifying indices for a predetermined number of elements on a surface, wherein said predetermined number of elements are associated with a center element, comprising the steps of:
(a) computing a distance measure from each element in said predetermined number of elements to said center element;
(b) determining the maximum distance measure for the predetermined number of elements;
(c) assigning a coordinate to every element on said surface within said maximum distance measure based on its association with said predetermined number of elements;
(d) iteratively recomputing said coordinates in a predetermined manner; and
(e) ordering said elements according to the recomputed coordinates.
51. A method for processing a netlist of a plurality of microelectronics cells for placing the plurality of cells on an integrated circuit chip, comprising the steps of:
(a) generating for each cell a neighborhood, the neighborhood comprising a set of cells that have a predetermined relationship to the cell whose neighborhood is being generated;
(b) assigning coordinates to each cell and to each net in the neighborhood; and
(c) recomputing new net coordinates such that for any net q the new net coordinate z [q] is defined as follows:
Figure imgf000073_0001
where | q | is the number of pins of me net q.
52. The method of claim 51 , wherein the following additional step is taken: (d) recomputing new cell coordinates such that for each cell, the coordinate zfv] is equal to:
βM *
Figure imgf000073_0002
ττztø>
and in the new cell coordinate computation, for each cell v, the weight βfv] is represented by:
Figure imgf000073_0003
where for a net q, v is an element of q.
53. A computer storage device which contains instructions for processing a netlist of a plurality of microelectronics cells for placing the plurality of cells on an integrated circuit chip, comprising instructions for the computer to take the following steps: (a) generating for each cell a neighborhood, the neighborhood comprising a set of cells that have a predetermined relationship to the cell whose neighborhood is being generated;
(b) assigning coordinates to each cell and to each net in the neighborhood;
(c) recomputing new net coordinates such that for any net q the new net coordinate zfq] is defined as follows:
Z[^]=i-(∑ Z[v]) <7 V€*
where | q| is the number of pins of the net q; and
(d) recomputing new cell coordinates such mat for each cell, the coordinate zfv] is equal to:
Figure imgf000074_0001
and in the new cell coordinate computation, for each cell v, the weight βfv] is represented by:
Figure imgf000074_0002
where for a net q, v is an element of q.
54. An integrated circuit device wherein the cell placement is optimized by the methods recited in claims 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41,45,46,47,49,50,51,52.
55. A computer storage medium wherein instructions are stored thereon for execution by a general purpose computer to accomplish the methods recited in claims 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 45, 46, 47, 49, 50, 51, 52.
PCT/US1997/011096 1996-06-28 1997-06-26 Advanced modular cell placement system WO1998000796A2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US08/672,335 1996-06-28
US08/672,535 US5872718A (en) 1996-06-28 1996-06-28 Advanced modular cell placement system
US08/672,335 US5892688A (en) 1996-06-28 1996-06-28 Advanced modular cell placement system with iterative one dimensional preplacement optimization
US08/672,423 1996-06-28
US08/672,535 1996-06-28
US08/672,423 US5971588A (en) 1996-06-28 1996-06-28 Advanced modular cell placement system with optimization of cell neighborhood system

Publications (2)

Publication Number Publication Date
WO1998000796A2 true WO1998000796A2 (en) 1998-01-08
WO1998000796A3 WO1998000796A3 (en) 1998-02-12

Family

ID=27418244

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1997/011096 WO1998000796A2 (en) 1996-06-28 1997-06-26 Advanced modular cell placement system

Country Status (1)

Country Link
WO (1) WO1998000796A2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0942288A2 (en) * 1998-03-10 1999-09-15 Blue Granite Software, Inc. Method and apparatus for assigning pins for electrical testing of printed circuit boards
US8944351B2 (en) 2011-05-06 2015-02-03 Saint-Gobain Abrasives, Inc. Paint cup assembly with an outlet valve
US9162240B2 (en) 2004-12-16 2015-10-20 Saint-Gobain Abrasives, Inc./Saint-Gobain Abrasie Liquid container system for a spray gun
US9586220B2 (en) 2011-06-30 2017-03-07 Saint-Gobain Abrasives, Inc. Paint cup assembly
US10035156B2 (en) 2006-06-20 2018-07-31 Saint-Gobain Abrasives, Inc. Liquid supply assembly
US10882064B2 (en) 2011-12-30 2021-01-05 Saint-Gobain Abrasives, Inc./Saint-Gobain Abrasifs Convertible paint cup assembly with air inlet valve
US11040360B2 (en) 2006-06-20 2021-06-22 Saint-Gobain Abrasives, Inc. Liquid supply assembly
CN117787662A (en) * 2024-02-23 2024-03-29 中国人民解放军海军工程大学 Space demand balance partitioning method, electronic equipment and storage medium

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6820824B1 (en) 1998-01-14 2004-11-23 3M Innovative Properties Company Apparatus for spraying liquids, disposable containers and liners suitable for use therewith

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5495419A (en) * 1994-04-19 1996-02-27 Lsi Logic Corporation Integrated circuit physical design automation system utilizing optimization process decomposition and parallel processing

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5495419A (en) * 1994-04-19 1996-02-27 Lsi Logic Corporation Integrated circuit physical design automation system utilizing optimization process decomposition and parallel processing

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0942288A3 (en) * 1998-03-10 2003-07-02 ManiaBarco N.V. Method and apparatus for assigning pins for electrical testing of printed circuit boards
EP0942288A2 (en) * 1998-03-10 1999-09-15 Blue Granite Software, Inc. Method and apparatus for assigning pins for electrical testing of printed circuit boards
US9162240B2 (en) 2004-12-16 2015-10-20 Saint-Gobain Abrasives, Inc./Saint-Gobain Abrasie Liquid container system for a spray gun
US10035156B2 (en) 2006-06-20 2018-07-31 Saint-Gobain Abrasives, Inc. Liquid supply assembly
US11679399B2 (en) 2006-06-20 2023-06-20 Saint-Gobain Abrasives, Inc. Liquid supply assembly
US11548018B1 (en) 2006-06-20 2023-01-10 Saint-Gobain Abrasives, Inc. Liquid supply assembly
US11040360B2 (en) 2006-06-20 2021-06-22 Saint-Gobain Abrasives, Inc. Liquid supply assembly
US8998018B2 (en) 2011-05-06 2015-04-07 Saint-Gobain Abrasives, Inc. Paint cup assembly with an extended ring
US9335198B2 (en) 2011-05-06 2016-05-10 Saint-Gobain Abrasives, Inc. Method of using a paint cup assembly
US8944351B2 (en) 2011-05-06 2015-02-03 Saint-Gobain Abrasives, Inc. Paint cup assembly with an outlet valve
US9586220B2 (en) 2011-06-30 2017-03-07 Saint-Gobain Abrasives, Inc. Paint cup assembly
US10882064B2 (en) 2011-12-30 2021-01-05 Saint-Gobain Abrasives, Inc./Saint-Gobain Abrasifs Convertible paint cup assembly with air inlet valve
CN117787662A (en) * 2024-02-23 2024-03-29 中国人民解放军海军工程大学 Space demand balance partitioning method, electronic equipment and storage medium
CN117787662B (en) * 2024-02-23 2024-05-28 中国人民解放军海军工程大学 Space demand balance partitioning method, electronic equipment and storage medium

Also Published As

Publication number Publication date
WO1998000796A3 (en) 1998-02-12

Similar Documents

Publication Publication Date Title
US6026223A (en) Advanced modular cell placement system with overlap remover with minimal noise
US5808899A (en) Advanced modular cell placement system with cell placement crystallization
US6085032A (en) Advanced modular cell placement system with sinusoidal optimization
US6292929B2 (en) Advanced modular cell placement system
US6493658B1 (en) Optimization processing for integrated circuit physical design automation system using optimally switched fitness improvement algorithms
US5745363A (en) Optimization processing for integrated circuit physical design automation system using optimally switched cost function computations
US6480991B1 (en) Timing-driven global placement based on geometry-aware timing budgets
US5875117A (en) Simultaneous placement and routing (SPAR) method for integrated circuit physical design automation system
US5661663A (en) Physical design automation system and method using hierarchical clusterization and placement improvement based on complete re-placement of cell clusters
US5557533A (en) Cell placement alteration apparatus for integrated circuit chip physical design automation system
US5914887A (en) Congestion based cost factor computing apparatus for integrated circuit physical design automation system
US5963975A (en) Single chip integrated circuit distributed shared memory (DSM) and communications nodes
US5870313A (en) Optimization processing for integrated circuit physical design automation system using parallel moving windows
US5909376A (en) Physical design automation system and process for designing integrated circuit chips using highly parallel sieve optimization with multiple &#34;jiggles&#34;
US5831863A (en) Advanced modular cell placement system with wire length driven affinity system
US5870312A (en) Advanced modular cell placement system with dispersion-driven levelizing system
WO1998000796A2 (en) Advanced modular cell placement system
US5892688A (en) Advanced modular cell placement system with iterative one dimensional preplacement optimization
US5971588A (en) Advanced modular cell placement system with optimization of cell neighborhood system
US5963455A (en) Advanced modular cell placement system with functional sieve optimization technique
US5867398A (en) Advanced modular cell placement system with density driven capacity penalty system
US5812740A (en) Advanced modular cell placement system with neighborhood system driven optimization
US5844811A (en) Advanced modular cell placement system with universal affinity driven discrete placement optimization
US5872718A (en) Advanced modular cell placement system
US6030110A (en) Advanced modular cell placement system with median control and increase in resolution

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CA CN JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase in:

Ref country code: JP

Ref document number: 98504277

Format of ref document f/p: F

NENP Non-entry into the national phase in:

Ref country code: CA

122 Ep: pct application non-entry in european phase