US9564444B2 - Method of forming integrated fin and strap structure for an access transistor of a trench capacitor - Google Patents

Method of forming integrated fin and strap structure for an access transistor of a trench capacitor Download PDF

Info

Publication number
US9564444B2
US9564444B2 US14/874,392 US201514874392A US9564444B2 US 9564444 B2 US9564444 B2 US 9564444B2 US 201514874392 A US201514874392 A US 201514874392A US 9564444 B2 US9564444 B2 US 9564444B2
Authority
US
United States
Prior art keywords
semiconductor
layer
epitaxial semiconductor
epitaxial
fin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US14/874,392
Other versions
US20160099249A1 (en
Inventor
Kevin K. Chan
Babar A. Khan
Dae-Gyu Park
Xinhui Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/874,392 priority Critical patent/US9564444B2/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHAN, KEVIN K., KHAN, BABAR A., PARK, DAE-GYU, WANG, XINHUI
Publication of US20160099249A1 publication Critical patent/US20160099249A1/en
Application granted granted Critical
Publication of US9564444B2 publication Critical patent/US9564444B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • H01L27/10867
    • H01L27/10829
    • H01L27/1085
    • H01L27/10855
    • H01L27/10861
    • H01L27/10879
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/92Capacitors with potential-jump barrier or surface barrier
    • H01L29/94Metal-insulator-semiconductors, e.g. MOS
    • H01L29/945Trench capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate
    • H10B12/0385Making a connection between the transistor and the capacitor, e.g. buried strap
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/37DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the capacitor being at least partially in a trench in the substrate

Definitions

  • the present disclosure relates to a semiconductor structure, and particularly to an epitaxial strap structure between a fin field effect transistor (FET) and a trench capacitor, and a method of manufacturing the same.
  • FET fin field effect transistor
  • Deep trench capacitors are used in a variety of semiconductor chips for high areal capacitance and low device leakage.
  • a deep trench capacitor provides a capacitance in the range from 4 fF (femto-Farad) to 120 fF.
  • a deep trench capacitor may be employed as a charge storage unit in a dynamic random access memory (DRAM), which may be provided as a stand-alone semiconductor chip, or may be embedded in a system-on-chip (SoC) semiconductor chip.
  • DRAM dynamic random access memory
  • SoC system-on-chip
  • a deep trench capacitor may also be employed in a variety of circuit applications such as a charge pump or a capacitive analog component in a radio-frequency (RF) circuit.
  • RF radio-frequency
  • At least one dielectric pad layer is formed on a semiconductor-on-insulator (SOI) substrate.
  • SOI semiconductor-on-insulator
  • a deep trench is formed in the SOI substrate, and a combination of an outer electrode, a node dielectric, and an inner electrode are formed such that the top surface of the inner electrode is recessed below the top surface of a buried insulator layer of the SOI substrate.
  • Selective epitaxy is performed to fill a cavity overlying the inner electrode with an epitaxial semiconductor material portion.
  • a top semiconductor material layer and the epitaxial semiconductor material portion are patterned to form a fin structure including a portion of the top semiconductor material layer and a portion of the epitaxial semiconductor material portion.
  • the epitaxial semiconductor material portion functions as a conductive strap structure between the inner electrode and a semiconductor device to be formed on the fin structure.
  • a semiconductor structure includes a trench capacitor embedded in a stack of a semiconductor substrate and an insulator layer.
  • the trench capacitor includes an inner electrode, a node dielectric, and an outer electrode.
  • the semiconductor structure further includes an integrated fin and strap structure located on the insulator layer.
  • the integrated fin and strap structure includes a semiconductor fin and an epitaxial semiconductor strap structure.
  • the epitaxial semiconductor strap structure is epitaxially aligned to the semiconductor fin and extends below a top surface of the insulator layer.
  • a method of forming a semiconductor structure is provided. At least one pad layer is formed on a top semiconductor layer of a semiconductor-on-insulator (SOI) substrate. A trench extending below a bottom surface of an insulator layer is formed within the SOI substrate. A trench capacitor including an inner electrode, a node dielectric, and an outer electrode is formed in the SOI substrate. An epitaxial semiconductor pillar structure is formed on a sidewall of the top semiconductor layer in a portion of the trench over the inner electrode. An integrated fin and strap structure is formed by simultaneously etching the top semiconductor layer and the epitaxial semiconductor pillar structure.
  • SOI semiconductor-on-insulator
  • FIG. 1A is a top-down view of a first exemplary semiconductor structure after formation of at least one pad layer and formation of a deep trench through a semiconductor-on-insulator (SOI) substrate according to an embodiment of the present disclosure.
  • SOI semiconductor-on-insulator
  • FIG. 1B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 1A .
  • FIG. 2A is a top-down view of the first exemplary semiconductor structure after formation of a node dielectric layer and an inner electrode layer in the deep trench according to an embodiment of the present disclosure.
  • FIG. 2B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 2A .
  • FIG. 3A is a top-down view of the first exemplary semiconductor structure after recessing the inner electrode layer and removal of exposed portions of the node dielectric layer according to an embodiment of the present disclosure.
  • FIG. 3B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 3A .
  • FIG. 4A is a top-down view of the first exemplary semiconductor structure after formation of an epitaxial semiconductor pillar structure and a polycrystalline semiconductor material portion according to an embodiment of the present disclosure.
  • FIG. 4B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 4A .
  • FIG. 5A is a top-down view of the first exemplary semiconductor structure after recessing of the epitaxial semiconductor pillar structure according to an embodiment of the present disclosure.
  • FIG. 5B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 5A .
  • FIG. 6A is a top-down view of the first exemplary semiconductor structure after formation of a patterned photoresist layer according to an embodiment of the present disclosure.
  • FIG. 6B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 6A .
  • FIG. 7A is a top-down view of the first exemplary semiconductor structure after formation of an integrated fin and strap structure according to an embodiment of the present disclosure.
  • FIG. 7B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 7A .
  • FIG. 8A is a top-down view of the first exemplary semiconductor structure after removal of at least one pad portions according to an embodiment of the present disclosure.
  • FIG. 8B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 8A .
  • FIG. 9A is a top-down view of the first exemplary semiconductor structure after formation of a gate stack structure and a gate spacer according to an embodiment of the present disclosure.
  • FIG. 9B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 9A .
  • FIG. 10 is a top-down view of a second exemplary semiconductor structure after formation of gate lines and gate spacers according to an embodiment of the present disclosure.
  • FIG. 11A is a top-down view of a third exemplary semiconductor structure after formation of a raised source region and a raised drain region by selective deposition according to an embodiment of the present disclosure.
  • FIG. 11B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 11A .
  • FIG. 12 is a vertical cross-sectional view of a fourth exemplary semiconductor structure after formation of a gate stack structure and a gate spacer according to an embodiment of the present disclosure.
  • FIG. 13 is a vertical cross-sectional view of a fifth exemplary semiconductor structure after formation of a gate stack structure and a gate spacer according to an embodiment of the present disclosure.
  • the present disclosure relates to an epitaxial strap structure between a fin field effect transistor (FET) and a trench capacitor, and a method of manufacturing the same.
  • FET fin field effect transistor
  • the aspects of the present disclosure are now described in detail with accompanying figures. It is noted that like reference numerals refer to like elements across different embodiments. The drawings are not necessarily drawn to scale. Ordinals are used merely to distinguish among similar elements, and different ordinals may be employed across the specification and the claims of the instant application.
  • a first exemplary semiconductor structure includes a semiconductor-on-insulator (SOI) substrate.
  • SOI substrate includes a stack, from bottom to top, of a bottom semiconductor layer 10 , a buried insulator layer 20 , and a top semiconductor layer 30 L.
  • the bottom semiconductor layer 10 includes a semiconductor material.
  • the buried insulator layer 20 includes a dielectric material such as silicon oxide, silicon nitride, a dielectric metal oxide, or a combination thereof.
  • the top semiconductor layer 30 L includes a semiconductor material, which can be the same as, or different from, the semiconductor material of the bottom semiconductor layer 10 .
  • Each of the bottom semiconductor layer 10 and the top semiconductor layer 30 L includes a semiconductor material independently selected from elemental semiconductor materials (e.g., silicon, germanium, carbon, or alloys thereof), III-V semiconductor materials, or II-VI semiconductor materials.
  • Each semiconductor material for the bottom semiconductor layer 10 and the top semiconductor layer 30 L can be independently single crystalline, polycrystalline, or amorphous.
  • the bottom semiconductor layer 10 and the top semiconductor layer 30 L are single crystalline.
  • the bottom semiconductor layer 10 and the top semiconductor layer 30 L include single crystalline silicon.
  • the bottom semiconductor layer 10 can be doped with dopants of a first conductivity type.
  • the first conductivity type can be p-type or n-type.
  • the thickness of the top semiconductor layer 30 L can be from 5 nm to 300 nm
  • the thickness of the buried insulator layer 20 can be from 50 nm to 1,000 nm
  • the thickness of the bottom semiconductor layer 10 can be from 50 microns to 2 mm, although lesser and greater thicknesses can also be employed for each of these layers ( 10 , 20 , 30 L).
  • At least one pad layer can be deposited on the SOI substrate ( 10 , 20 , 30 L), for example, by chemical vapor deposition (CVD) or atomic layer deposition (ALD).
  • the at least one pad layer can include one or more layers that can be employed as an etch mask for forming a deep trench 49 in the SOI substrate ( 10 , 20 , 30 L).
  • a “deep trench” refers to a trench that extends from a topmost surface of a semiconductor-on-insulator (SOI) substrate through a top semiconductor layer and a buried insulator layer and partly into an underlying semiconductor layer.
  • each of the at least one pad layer can include a dielectric material such as silicon nitride, a dielectric metal nitride, a doped silicon undoped silicon oxide, or a dielectric metal oxide.
  • the total thickness of the at least one pad layer can be from 100 nm to 2,000 nm, although lesser and greater thicknesses can also be employed.
  • the at least one pad layer includes a stack of a lower pad layer 62 L and an upper pad layer 64 L.
  • the lower pad layer 62 L includes a first dielectric material
  • the upper pad layer 64 L includes a second dielectric material that is different from the first dielectric material.
  • the lower pad layer 62 L can include silicon oxide
  • the upper pad layer 64 L can include silicon nitride.
  • the thickness of the lower pad layer 62 L can be from 10 nm to 100 nm
  • the thickness of the upper pad layer 64 L can be from 40 nm to 360 nm, although lesser and greater thicknesses can also be employed for each of the lower pad layer 62 L and the upper pad layer 64 L.
  • a photoresist layer (not shown) can be applied over the at least one pad layer ( 62 L, 64 L) and can be lithographically patterned to form at least one opening having an area of a deep trench 49 to be subsequently formed.
  • the pattern in the photoresist layer can be transferred into the at least one pad layer ( 62 L, 64 L). Subsequently, the pattern in the at least one pad layer ( 62 L, 64 L) can be transferred through the top semiconductor layer 30 L, the buried insulator layer 20 , and an upper portion of the bottom semiconductor layer 10 by an anisotropic etch that employs the at least one pad layer ( 62 L, 64 L) as an etch mask.
  • a deep trench 49 can be formed for each opening in the at least one pad layer ( 62 L, 64 L). The photoresist can be removed by ashing, or can be consumed during the etch process that forms the deep trench 49 .
  • the sidewalls of the deep trench 49 can be substantially vertically coincident among the various layers ( 64 L, 62 L, 30 L, 20 , 10 ) through which the deep trench 49 extends.
  • sidewalls of multiple elements are “vertically coincident” if the sidewalls of the multiple elements overlap in a top-down view such as FIG. 1A .
  • sidewalls of multiple elements are “substantially vertically coincident” if the lateral offset of the sidewalls of the multiple elements from a perfectly vertical surface is within 5 nm.
  • the depth of the deep trench 49 as measured from the plane of the topmost surface of the SOI substrate ( 10 , 20 , 30 L) to the bottom surface of the deep trench 49 can be from 500 nm to 10 microns, although lesser and greater depths can also be employed.
  • the lateral dimensions of the deep trench 49 can be limited by the lithographic capabilities, i.e., the ability of a lithographic tool to print the image of an opening on the photoresist layer.
  • the “width,” i.e., a sidewall to sidewall distance, of the deep trench along the direction parallel to the B-B′ plane and along the direction perpendicular to the B-B′ plane can be from 32 nm to 150 nm, although lesser dimensions can be employed with availability of lithographic tools capable of printing smaller dimensions in the future.
  • a buried plate 12 can be formed by doping a portion of the bottom semiconductor layer 12 in proximity of sidewalls of the bottom semiconductor layer 10 within each deep trench 49 .
  • Dopants can be introduced, for example, by outdiffusion from a dopant-including disposable material (such as a doped silicate glass) or by ion implantation as known in the art.
  • any other method of forming a buried plate 12 in the bottom semiconductor layer 10 of an SOI substrate ( 10 , 20 , 30 L) can be employed in lieu of outdiffusion from a dopant-including disposable material or ion implantation.
  • the buried plate 12 can be doped with dopants of a second conductivity type which is the opposite of the first conductivity type.
  • the first conductivity type can be p-type and the second conductivity type can be n-type, or vice versa.
  • a p-n junction is formed between the remaining portion of the bottom semiconductor layer 10 and the buried plate 12 .
  • the dopant concentration in the buried plate 12 can be, for example, from 1.0 ⁇ 10 18 /cm 3 to 2.0 ⁇ 10 21 /cm 3 , and typically from 5.0 ⁇ 10 18 /cm 3 to 5.0 ⁇ 10 19 /cm 3 , although lesser and greater dopant concentrations can also be employed.
  • a node dielectric layer 42 L can be deposited conformally on all physically exposed sidewalls in the deep trench 42 L and on the top surface of the upper pad layer 64 L.
  • the node dielectric layer 42 L can include any dielectric material that can be employed as a node dielectric material in a capacitor known in the art.
  • the node dielectric layer 42 L can include at least one of silicon nitride and a dielectric metal oxide material such as high dielectric constant (high-k) gate dielectric material as known in the art.
  • the inner electrode layer 44 L can be deposited to completely fill the deep trench 49 .
  • the inner electrode layer 44 L includes a conductive material, which can be a metallic material or a doped semiconductor material.
  • the metallic material can be an elemental metal such as W, Ti, Ta, Cu, or Al, or an alloy of at least two elemental metals, or a conductive metallic nitride of at least one metal, or a conductive metallic oxide of at least one metal.
  • the doped semiconductor material can be a doped elemental semiconductor material, a doped compound semiconductor material, or an alloy thereof.
  • the inner electrode layer 44 L can be deposited by physical vapor deposition (PVD), chemical vapor deposition (CVD), electroplating, electroless plating, or a combination thereof.
  • the inner electrode layer 44 L is deposited to a thickness that is sufficient to completely fill the deep trench 49 .
  • the inner electrode layer 44 L can be vertically recessed to a level between the top surface of the buried insulator layer 20 and the bottom surface of the buried insulator layer 20 by a recess etch.
  • the recess etch of the conductive material layer can employ an anisotropic etch such as a reactive ion etch, an isotropic etch such as a wet etch, or a combination thereof.
  • the recess etch can be selective to the material of the node dielectric layer 42 L.
  • An inner electrode 44 including the conductive material of the inner electrode layer 44 L is formed in the deep trench 49 .
  • the topmost surface of the inner electrode 44 is substantially planar, and is located between the level of the top surface of the buried insulator layer 20 and the level of the bottom surface of the buried insulator layer 20 .
  • a surface is substantially planar if the planarity of the surface is limited by microscopic variations in surface height that accompanies semiconductor processing steps known in the art.
  • a cavity 47 is formed above the inner electrode 44 .
  • the physically exposed portions of the node dielectric layer 42 L can be patterned by an etch, which can be a wet etch.
  • an etch which can be a wet etch.
  • the physically exposed portions of the node dielectric layer 42 L can be removed by a wet etch employing hot phosphoric acid.
  • the remaining portion of the node dielectric layer 42 L within the deep trench 49 constitutes a node dielectric 42 .
  • the set of the buried plate 12 , the node dielectric 42 , and the inner electrode 44 constitute a trench capacitor ( 12 , 42 , 44 ).
  • the buried plate 12 is an outer node of the trench capacitor, the node dielectric 42 is the dielectric separating the outer electrode from the inner electrode, and the inner electrode 44 is the inner electrode of the trench capacitor.
  • the trench capacitor is embedded within the SOI substrate ( 10 , 12 , 20 , 30 L).
  • the buried insulator layer 20 overlies the buried plate 12 (i.e., the outer electrode).
  • a semiconductor material is deposited on semiconductor surfaces by a selective deposition process.
  • the selective deposition process employs simultaneous or concurrent flow of a reactant gas and an etchant gas.
  • the reactant gas is a precursor gas for deposition of a semiconductor material.
  • the reactant gas can be SiH 4 , SiH 2 Cl 2 , SiHCl 3 , SiCl 4 , Si 2 H 6 , GeH 4 , Ge 2 H 6 , or any other precursor gas for depositing an elemental semiconductor material or a compound semiconductor material as known in the art.
  • the etchant gas can be, for example, HCl or any other etchant gas compatible with simultaneous or concurrent flow of the reactant gas.
  • the selective deposition process can be a selective epitaxy process that is performed at an elevated temperature that enables epitaxial alignment of a deposited semiconductor material with an underlying semiconductor material.
  • a semiconductor material nucleates, and grows on, semiconductor surfaces, while the semiconductor material does not nucleate on, or grow from, dielectric surfaces.
  • the semiconductor material grows from the sidewall surfaces of the top semiconductor layer 30 L.
  • the inner electrode 44 includes a semiconductor material such as doped polysilicon, the semiconductor material can grow from the top surface of the inner electrode 44 .
  • selective epitaxy of a semiconductor material can be employed for the selective deposition process.
  • a single crystalline semiconductor material can grow on sidewalls of the top semiconductor layer 30 L in a portion of the trench over the inner electrode 44 to form an epitaxial semiconductor pillar structure 46 ′.
  • the epitaxial semiconductor pillar structure 46 ′ is formed in epitaxial alignment with a single crystalline semiconductor material of the top semiconductor layer 30 L.
  • the epitaxial semiconductor pillar structure 46 ′ overlies the inner electrode 44 .
  • a polycrystalline semiconductor material portion 45 can grow from the inner electrode simultaneously with the growth of the epitaxial semiconductor pillar structure 46 ′ from the sidewalls of the top semiconductor layer 30 L.
  • the polycrystalline semiconductor material portion 45 can be formed directly on the top surface of the inner electrode 44 simultaneously with formation of the epitaxial semiconductor pillar structure 46 ′.
  • surfaces of the epitaxial semiconductor pillar structure 46 ′ come in contact with surfaces of the polycrystalline semiconductor material portion 45 to define a boundary between the epitaxial semiconductor pillar structure 46 ′ and the polycrystalline semiconductor material portion 45 .
  • the volume into which the growth of the polycrystalline semiconductor material portion 45 can proceed is reduced.
  • the epitaxial semiconductor pillar structure 46 ′ can continue to grow upward up to the topmost surface of the at least one pad layer ( 62 L, 64 L), and subsequently, above the topmost surface of the at least one pad layer ( 62 L, 64 L). Crystallographic facets may be formed on the epitaxial semiconductor pillar structure 46 ′ above the horizontal plane of the topmost surface of the at least one pad layer ( 62 L, 64 L).
  • the polycrystalline semiconductor material portion 45 can be formed with a horizontal cross-sectional area that decreases with a vertical distance from an interface between the inner electrode 44 and the polycrystalline semiconductor material portion 45 .
  • the polycrystalline semiconductor material portion 45 can be in contact with the top surface of the inner electrode 44 and a non-planar bottom surface of the epitaxial semiconductor pillar structure 46 ′.
  • the composition of the epitaxial semiconductor pillar structure 46 ′ may be the same as, or may be different from, the composition of the top semiconductor layer 30 L.
  • the semiconductor material of the epitaxial semiconductor pillar structure 46 ′ may be the same as, or may be different from, the semiconductor material of the top semiconductor layer 30 L.
  • a semiconductor material of an element refers to the semiconductor material component of the element excluding electrical dopants.
  • electrical dopants refer to p-type dopants or n-type dopants.
  • the semiconductor material of the epitaxial semiconductor pillar structure 46 ′ can be the same as, or may be different from, the semiconductor material of the top semiconductor layer 30 L, and includes dopants of different types of different species than dopants of the top semiconductor layer 30 L.
  • the top semiconductor layer 30 L can have a p-type doping and the epitaxial semiconductor pillar structure 46 ′ can have an n-type doping, or vice versa.
  • the dopant species in the top semiconductor layer 30 L may be the same as, or different from, the dopant species of the epitaxial semiconductor pillar structure 46 ′.
  • the dopant concentration in the top semiconductor layer 30 L may be the same as, or different from, the dopant concentration of the epitaxial semiconductor pillar structure 46 ′.
  • the epitaxial semiconductor pillar structure 46 ′ and the polycrystalline semiconductor material portion 45 can be formed with in-situ doping of p-type dopants or n-type dopants.
  • the conductivity type of the epitaxial semiconductor pillar structure 46 ′ and the polycrystalline semiconductor material portion 45 can be the opposite of the conductivity type of the top semiconductor layer 30 L. For example, if the top semiconductor layer 30 L has p-type doping, the epitaxial semiconductor pillar structure 46 ′ and the polycrystalline semiconductor material portion 45 as n-type doping, and vice versa.
  • the epitaxial semiconductor pillar structure 46 ′ can be optionally recessed to optimize the height of a top surface of an epitaxial semiconductor strap structure to be subsequently formed.
  • the recessing of the epitaxial semiconductor pillar structure 46 ′ can be performed by a recess etch.
  • the recess etch can be an anisotropic etch or an isotropic etch, and can be selective to the dielectric material of the top portion of the at least one pad layer ( 62 L, 64 L), i.e., selective to the upper pad layer 64 L.
  • a recessed top surface of the epitaxial semiconductor pillar structure 46 ′ can be between the topmost surface of the at least one pad layer ( 62 L, 64 L) and the bottommost surface of the at least one pad layer ( 62 L, 64 L).
  • CMP chemical mechanical planarization
  • CMP may be employed in conjunction with, or without performing, a recess etch to adjust the height of the top surface of the epitaxial semiconductor pillar structure 46 ′.
  • a photoresist layer 77 is applied over the at least one pad layer ( 62 L, 64 L) and the epitaxial semiconductor pillar structure 46 ′, and is lithographically patterned to block an area that straddles an interface between the epitaxial semiconductor pillar structure 46 ′ and the top semiconductor layer 30 L, i.e., the sidewalls of the trench.
  • a horizontal cross-sectional shape of the patterned photoresist layer 77 can be a polygon having a parallel pair of lengthwise edges.
  • a “lengthwise” edge of a polygon refers to any edge extending along a horizontal direction that is the same as, or is parallel to, the horizontal direction of the longest edge of the polygon.
  • a horizontal cross-sectional shape of the patterned photoresist layer 77 can be a rectangle having a parallel pair of lengthwise edges.
  • the patterned photoresist layer 77 can have the same width that is invariant under translation along a lengthwise direction that is parallel to the lengthwise edges.
  • Each of the parallel pair of lengthwise edges of the polygon or the rectangle can straddle an interface between the epitaxial semiconductor pillar structure 46 ′ and the top semiconductor layer 30 L.
  • the pattern in the patterned photoresist layer 77 is transferred into the top semiconductor layer 30 L and an upper portion of the epitaxial semiconductor pillar structure 46 ′ by simultaneously etching the top semiconductor layer 30 L and the epitaxial semiconductor pillar structure 46 ′.
  • an integrated fin and strap structure is formed by simultaneously etching the top semiconductor layer 30 L and the epitaxial semiconductor pillar structure 46 ′ by an anisotropic etch.
  • the anisotropic etch employs the patterned photoresist layer 77 as an etch mask.
  • the portions of the at least one pad layer ( 64 L, 62 L) and the top semiconductor layer 30 L are etched by the anisotropic etch.
  • the anisotropic etch can employ the buried insulator layer 20 as an etch stop layer.
  • a vertical stack of a semiconductor fin 30 , a first pad portion 62 , and a second pad portion 64 can be formed by remaining portions of the at least one pad layer ( 64 L, 62 L) and the top semiconductor layer 30 L.
  • the portion of the epitaxial semiconductor pillar structure 46 ′ that is covered with the photoresist layer 77 is not recessed during the anisotropic etch.
  • the portion of the epitaxial semiconductor pillar structure 46 ′ that is not covered by the photoresist layer 77 is vertically recessed.
  • the recessed surface of the epitaxial semiconductor pillar structure 46 ′ can be located between the top surface and the bottom surface of the buried insulator layer 20 , or can be located above the top surface of the buried insulator layer 20 .
  • the remaining portion of the epitaxial semiconductor pillar structure 46 ′ is herein referred to as an epitaxial semiconductor strap structure 46 .
  • the photoresist layer 77 can be removed after the anisotropic etch, for example, by ashing.
  • the epitaxial semiconductor strap structure 46 includes a lower portion 46 A of the epitaxial semiconductor strap structure 46 and an upper portion 46 B of the epitaxial semiconductor strap structure 46 .
  • the lower portion 46 A is located below the horizontal plane including a recessed top surface of the epitaxial semiconductor strap structure 46
  • the upper portion 46 B is located above the horizontal plane including the recessed top surface of the epitaxial semiconductor strap structure 46 .
  • the upper portion 46 B of the epitaxial semiconductor strap structure 46 adjoins the lower portion 46 A of the epitaxial semiconductor strap structure 46 at the horizontal plane located between the top surface of the buried insulator layer 20 and the bottom surface of the insulator layer 20 .
  • the upper portion 46 B of the epitaxial semiconductor strap structure 40 protrudes above the top surface of the buried insulator layer 20 .
  • the upper portion 46 B of the epitaxial semiconductor strap structure 46 and the semiconductor fin 30 can have the same width throughout.
  • a parallel pair of sidewalls of the upper portion 46 B of the epitaxial semiconductor strap structure 46 and a parallel pair of sidewalls of the semiconductor fin 30 can be within the same pair of vertical sidewalls, and can have the same width throughout.
  • the entirety of the epitaxial semiconductor strap structure 46 and the semiconductor fin 30 can be single crystalline.
  • the epitaxial semiconductor strap structure 46 and the semiconductor fin 30 are collectively referred to as an integrated fin and strap structure ( 30 , 46 ).
  • the integrated fin and strap structure ( 30 , 46 ) can be formed with a parallel pair of lengthwise sidewalls. An end portion of each of the parallel pair of lengthwise sidewalls of the integrated fin and strap structure ( 30 , 46 ) overlies the inner electrode 44 .
  • the first exemplary semiconductor structure of FIGS. 7A and 7B includes a trench capacitor embedded in a stack of a semiconductor substrate (i.e., the bottom semiconductor layer 10 ) and an insulator layer (i.e., the buried insulator layer 20 ).
  • the trench capacitor includes the inner electrode 44 , the node dielectric 42 , and the outer electrode 12 .
  • the integrated fin and strap structure ( 30 , 46 ) is located on the buried insulator layer 20 and includes the semiconductor fin 30 and the epitaxial semiconductor strap structure 46 .
  • the epitaxial semiconductor strap structure 46 is epitaxially aligned to the semiconductor fin 30 and extends below the top surface of the buried insulator layer 20 , i.e., extends below a horizontal plane including the top surface of the buried insulator layer 20 .
  • the semiconductor fin 30 and the upper portion 46 B of the epitaxial semiconductor strap structure 46 have a same width throughout, which is herein referred to as a fin width.
  • Each sidewall of the epitaxial semiconductor strap structure 46 that defines the lateral extent of the lower portion 46 A of the epitaxial semiconductor strap structure 46 is vertically coincident with an outer sidewall of the node dielectric 42 . In one embodiment, all sidewalls of the lower portion 46 A of the epitaxial semiconductor strap structure 46 contact sidewalls of the buried insulator layer 20 .
  • a vertical end wall 46 E of the epitaxial semiconductor strap structure 46 can be perpendicular to the parallel pair of sidewalls of the semiconductor fin 30 .
  • the entirety of the vertical end wall of the epitaxial semiconductor strap structure 46 can overlie the inner electrode 44 .
  • a vertical interface between the semiconductor fin 30 and the epitaxial semiconductor strap structure 46 can be vertically coincident with an interface between the node dielectric 42 and the outer electrode 12 .
  • a first surface and a second surface are vertically coincident with each other if a vertical surface exists from which the first surface and the second surface do not device by more than the sum of the surface roughness of the first surface and the surface roughness of the second surface.
  • a planar topmost surface of the epitaxial semiconductor strap structure 46 can be located above a horizontal plane including the top surface of the semiconductor fin 30 .
  • the second pad portion 64 and the first pad portion 62 can be removed by an etch that is selective to the semiconductor materials of the semiconductor fin 30 and the epitaxial semiconductor strap structure 46 .
  • a wet etch employing hot phosphoric acid can be utilized to etch the second pad portion 64 and a wet etch employing hydrofluoric acid can be utilized to etch the first pad portion 62 .
  • a fin field effect transistor can be formed on the semiconductor fin 30 .
  • the fin field effect transistor can be employed as an access transistor of the trench capacitor ( 44 , 42 , 12 ) that controls flow of electrical charges into, and out of, the inner electrode 44 .
  • a stack of a gate dielectric layer, a gate conductor layer, and a gate cap dielectric layer is formed over the semiconductor fin 30 and the epitaxial semiconductor strap structure 46 .
  • a photoresist layer is applied over the stack, and is lithographically patterned to block an area that straddles a middle portion of the semiconductor fin 30 .
  • the pattern in the photoresist layer is transferred into the stack of the gate dielectric layer, the gate conductor layer, and the gate cap dielectric layer by at least one anisotropic etch.
  • a remaining portion of the gate cap dielectric layer constitutes a gate cap dielectric 58
  • a remaining portion of the gate conductor layer constitutes a gate electrode 54
  • a remaining portion of the gate dielectric layer constitutes a gate dielectric 50 .
  • the stack of the gate dielectric 50 , the gate electrode 54 , and the gate cap dielectric 58 collectively constitutes a gate stack structure ( 50 , 54 , 58 ), which straddles a middle portion of the semiconductor fin 30 .
  • a gate spacer 56 including a dielectric material can be formed around the gate stack structure ( 50 , 54 , 58 ), for example, by deposition of a conformal dielectric material layer and a subsequent anisotropic etch that removes horizontal portions of the conformal dielectric material layer. The remaining vertical portions of the conformal dielectric material layer constitute the gate spacer 56 .
  • Electrical dopants can be implanted into portions of the semiconductor fin 30 that are not blocked by the gate stack structure ( 50 , 54 , 58 ), for example, by ion implantation or by plasma doping or by selective deposition of an in-situ doped epitaxial semiconductor material.
  • the implanted portions of the semiconductor fin 30 constitute a source region 30 S and a drain region 30 D.
  • the portion of the semiconductor fin 30 that is not implanted with the electrical dopants constitute a body region 30 B, which can be intrinsic or have a doping of the opposite conductivity type as the source region 30 S and the drain region 30 D.
  • the electrical dopants can be implanted into the epitaxial semiconductor strap structure 46 and the polycrystalline semiconductor material portion 45 . If the epitaxial semiconductor strap structure 46 and the polycrystalline semiconductor material portion 45 are doped prior to the processing steps of FIGS. 9A and 9B , additional electrical dopants of the same conductivity type as preexisting electrical dopants can be introduced into the epitaxial semiconductor strap structure 46 and the polycrystalline semiconductor material portion 45 .
  • a top-down view of a second exemplary semiconductor structure illustrates implementation of the first exemplary semiconductor structure in an array environment.
  • Two instances of the first exemplary semiconductor structure can be paired such that two drain regions 30 D are integrated into a single drain region 30 D, and a single semiconductor fin adjoins two epitaxial semiconductor strap structures 46 protruding into trenches below the plane including the top surface of the buried insulator layer 20 .
  • a unit structure including a semiconductor fin containing two source regions 30 S and a drain region 30 D, two gate stack structure ( 50 , 54 , 58 ), two epitaxial semiconductor strap structures 46 , and two trench capacitors ( 44 , 42 , 12 ) are repeated within a two-dimensional array.
  • a lateral offset is introduced in the two-dimensional array such that each neighboring unit structure along the widthwise direction of the semiconductor fins is offset by half the length of the unit structure along the lengthwise direction.
  • Gate stack structures ( 50 , 54 , 58 ) from neighboring unit structures are connected to one another to form gate lines, which include active gate line portions that straddle a semiconductor fin ( 30 S, 30 B, 30 D) and passing gate line portions that contact the top surface of the buried insulator layer 20 .
  • a third exemplary semiconductor structure can be derived from the first exemplary semiconductor structure or the second exemplary semiconductor structure by forming a raised source region 32 S and a raised drain region 32 D on each source region 30 S and on each drain region 30 D, respectively.
  • the raised source region 32 S and the raised drain region 32 D can be formed, for example, by a selective deposition process, which deposits a semiconductor material on semiconductor surfaces and does not deposit the semiconductor material on dielectric surfaces.
  • the selective deposition process can be, for example, selective epitaxy.
  • the entirety of the raised source region 32 S, the raised drain region 32 D, the semiconductor fin ( 30 S, 30 D, 30 B), and the epitaxial semiconductor strap structure 46 can be single crystalline with epitaxial alignment throughout.
  • the raised source region 32 S and the raised drain region 32 D have a doping of the same conductivity type as the source region 30 S and the drain region 30 D.
  • the raised source region 32 S and the raised drain region 32 D can be formed with in-situ doping.
  • a thermal anneal can be employed to diffuse electrical dopants from the raised source region 32 S and the raised drain region 32 D into the source region 30 S and the drain region 30 D, or from the source region 30 S and the drain region 30 D into the raised source region 32 S and the raised drain region 32 D.
  • the raised source region 32 S and the raised drain region 32 D can be formed without in-situ doping, i.e., as intrinsic semiconductor material portions, and can be subsequently doped by ion implantation and/or outdiffusion of dopants from the source region 30 S and the drain region 30 D during an anneal at an elevated temperature.
  • the electrical dopants introduced into the raised source region 32 S and the raised drain region 32 D by in-situ doping or by implantation may diffuse into the source region 32 S and the drain region 32 D during an anneal at an elevated temperature.
  • a fourth exemplary semiconductor can be derived from the first, second, or third exemplary semiconductor structures by altering the recess process illustrated in FIGS. 5A and 5B , and/or by altering the anisotropic etch process illustrated in FIGS. 7A and 7B .
  • a planar topmost surface of the epitaxial semiconductor strap structure 46 is formed below the horizontal plane including the top surface of the semiconductor fin 30 .
  • a fifth exemplary semiconductor can be derived from the first, second, or third exemplary semiconductor structures by altering or omitting the recess process illustrated in FIGS. 5A and 5B , and/or by altering the anisotropic etch process illustrated in FIGS. 7A and 7B .
  • the recessed top surface of the epitaxial semiconductor strap structure 46 is located above the top surface of the buried insulator layer 20 and below the top surface of the semiconductor fin ( 30 S, 30 D, 30 B).
  • the lower portion 46 A of the epitaxial semiconductor strap structure 46 contacts a sidewall of the buried insulator layer 20 and protrudes above the top surface of the buried insulator layer 20 .
  • a planar topmost surface of the epitaxial semiconductor strap structure 46 may be formed below, at, or above the horizontal plane including the top surface of the semiconductor fin 30 .

Abstract

At least one dielectric pad layer is formed on a semiconductor-on-insulator (SOI) substrate. A deep trench is formed in the SOI substrate, and a combination of an outer electrode, a node dielectric, and an inner electrode are formed such that the top surface of the inner electrode is recessed below the top surface of a buried insulator layer of the SOI substrate. Selective epitaxy is performed to fill a cavity overlying the inner electrode with an epitaxial semiconductor material portion. A top semiconductor material layer and the epitaxial semiconductor material portion are patterned to form a fin structure including a portion of the top semiconductor material layer and a portion of the epitaxial semiconductor material portion. The epitaxial semiconductor material portion functions as a conductive strap structure between the inner electrode and a semiconductor device to be formed on the fin structure.

Description

BACKGROUND
The present disclosure relates to a semiconductor structure, and particularly to an epitaxial strap structure between a fin field effect transistor (FET) and a trench capacitor, and a method of manufacturing the same.
Deep trench capacitors are used in a variety of semiconductor chips for high areal capacitance and low device leakage. Typically, a deep trench capacitor provides a capacitance in the range from 4 fF (femto-Farad) to 120 fF. A deep trench capacitor may be employed as a charge storage unit in a dynamic random access memory (DRAM), which may be provided as a stand-alone semiconductor chip, or may be embedded in a system-on-chip (SoC) semiconductor chip. A deep trench capacitor may also be employed in a variety of circuit applications such as a charge pump or a capacitive analog component in a radio-frequency (RF) circuit.
As dimensions of semiconductor devices scale, providing a robust low resistance path for electrical conduction between an inner electrode of a transistor and the source of an access transistor becomes a challenge because available area for forming a conductive strap structure decreases. Conventional processes for forming a conductive strap structure as known in the art introduces additional complexity when such a conductive strap structure needs to be formed between the inner electrode and a three-dimensional field effect transistor such as a fin field effect transistor (finFET).
BRIEF SUMMARY
At least one dielectric pad layer is formed on a semiconductor-on-insulator (SOI) substrate. A deep trench is formed in the SOI substrate, and a combination of an outer electrode, a node dielectric, and an inner electrode are formed such that the top surface of the inner electrode is recessed below the top surface of a buried insulator layer of the SOI substrate. Selective epitaxy is performed to fill a cavity overlying the inner electrode with an epitaxial semiconductor material portion. A top semiconductor material layer and the epitaxial semiconductor material portion are patterned to form a fin structure including a portion of the top semiconductor material layer and a portion of the epitaxial semiconductor material portion. The epitaxial semiconductor material portion functions as a conductive strap structure between the inner electrode and a semiconductor device to be formed on the fin structure.
According to an aspect of the present disclosure, a semiconductor structure includes a trench capacitor embedded in a stack of a semiconductor substrate and an insulator layer. The trench capacitor includes an inner electrode, a node dielectric, and an outer electrode. The semiconductor structure further includes an integrated fin and strap structure located on the insulator layer. The integrated fin and strap structure includes a semiconductor fin and an epitaxial semiconductor strap structure. The epitaxial semiconductor strap structure is epitaxially aligned to the semiconductor fin and extends below a top surface of the insulator layer.
According to another aspect of the present disclosure, a method of forming a semiconductor structure is provided. At least one pad layer is formed on a top semiconductor layer of a semiconductor-on-insulator (SOI) substrate. A trench extending below a bottom surface of an insulator layer is formed within the SOI substrate. A trench capacitor including an inner electrode, a node dielectric, and an outer electrode is formed in the SOI substrate. An epitaxial semiconductor pillar structure is formed on a sidewall of the top semiconductor layer in a portion of the trench over the inner electrode. An integrated fin and strap structure is formed by simultaneously etching the top semiconductor layer and the epitaxial semiconductor pillar structure.
BRIEF DESCRIPTION OF SEVERAL VIEWS OF THE DRAWINGS
FIG. 1A is a top-down view of a first exemplary semiconductor structure after formation of at least one pad layer and formation of a deep trench through a semiconductor-on-insulator (SOI) substrate according to an embodiment of the present disclosure.
FIG. 1B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 1A.
FIG. 2A is a top-down view of the first exemplary semiconductor structure after formation of a node dielectric layer and an inner electrode layer in the deep trench according to an embodiment of the present disclosure.
FIG. 2B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 2A.
FIG. 3A is a top-down view of the first exemplary semiconductor structure after recessing the inner electrode layer and removal of exposed portions of the node dielectric layer according to an embodiment of the present disclosure.
FIG. 3B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 3A.
FIG. 4A is a top-down view of the first exemplary semiconductor structure after formation of an epitaxial semiconductor pillar structure and a polycrystalline semiconductor material portion according to an embodiment of the present disclosure.
FIG. 4B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 4A.
FIG. 5A is a top-down view of the first exemplary semiconductor structure after recessing of the epitaxial semiconductor pillar structure according to an embodiment of the present disclosure.
FIG. 5B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 5A.
FIG. 6A is a top-down view of the first exemplary semiconductor structure after formation of a patterned photoresist layer according to an embodiment of the present disclosure.
FIG. 6B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 6A.
FIG. 7A is a top-down view of the first exemplary semiconductor structure after formation of an integrated fin and strap structure according to an embodiment of the present disclosure.
FIG. 7B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 7A.
FIG. 8A is a top-down view of the first exemplary semiconductor structure after removal of at least one pad portions according to an embodiment of the present disclosure.
FIG. 8B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 8A.
FIG. 9A is a top-down view of the first exemplary semiconductor structure after formation of a gate stack structure and a gate spacer according to an embodiment of the present disclosure.
FIG. 9B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 9A.
FIG. 10 is a top-down view of a second exemplary semiconductor structure after formation of gate lines and gate spacers according to an embodiment of the present disclosure.
FIG. 11A is a top-down view of a third exemplary semiconductor structure after formation of a raised source region and a raised drain region by selective deposition according to an embodiment of the present disclosure.
FIG. 11B is a vertical cross-sectional view of the first exemplary semiconductor structure along the vertical plane B-B′ of FIG. 11A.
FIG. 12 is a vertical cross-sectional view of a fourth exemplary semiconductor structure after formation of a gate stack structure and a gate spacer according to an embodiment of the present disclosure.
FIG. 13 is a vertical cross-sectional view of a fifth exemplary semiconductor structure after formation of a gate stack structure and a gate spacer according to an embodiment of the present disclosure.
DETAILED DESCRIPTION
As stated above, the present disclosure relates to an epitaxial strap structure between a fin field effect transistor (FET) and a trench capacitor, and a method of manufacturing the same. The aspects of the present disclosure are now described in detail with accompanying figures. It is noted that like reference numerals refer to like elements across different embodiments. The drawings are not necessarily drawn to scale. Ordinals are used merely to distinguish among similar elements, and different ordinals may be employed across the specification and the claims of the instant application.
Referring to FIGS. 1A and 1B, a first exemplary semiconductor structure according to an embodiment of the present disclosure includes a semiconductor-on-insulator (SOI) substrate. The SOI substrate includes a stack, from bottom to top, of a bottom semiconductor layer 10, a buried insulator layer 20, and a top semiconductor layer 30L.
The bottom semiconductor layer 10 includes a semiconductor material. The buried insulator layer 20 includes a dielectric material such as silicon oxide, silicon nitride, a dielectric metal oxide, or a combination thereof. The top semiconductor layer 30L includes a semiconductor material, which can be the same as, or different from, the semiconductor material of the bottom semiconductor layer 10.
Each of the bottom semiconductor layer 10 and the top semiconductor layer 30L includes a semiconductor material independently selected from elemental semiconductor materials (e.g., silicon, germanium, carbon, or alloys thereof), III-V semiconductor materials, or II-VI semiconductor materials. Each semiconductor material for the bottom semiconductor layer 10 and the top semiconductor layer 30L can be independently single crystalline, polycrystalline, or amorphous. In one embodiment, the bottom semiconductor layer 10 and the top semiconductor layer 30L are single crystalline. In one embodiment, the bottom semiconductor layer 10 and the top semiconductor layer 30L include single crystalline silicon.
In one embodiment, the bottom semiconductor layer 10 can be doped with dopants of a first conductivity type. The first conductivity type can be p-type or n-type.
In one embodiment, the thickness of the top semiconductor layer 30L can be from 5 nm to 300 nm, the thickness of the buried insulator layer 20 can be from 50 nm to 1,000 nm, and the thickness of the bottom semiconductor layer 10 can be from 50 microns to 2 mm, although lesser and greater thicknesses can also be employed for each of these layers (10, 20, 30L).
At least one pad layer can be deposited on the SOI substrate (10, 20, 30L), for example, by chemical vapor deposition (CVD) or atomic layer deposition (ALD). The at least one pad layer can include one or more layers that can be employed as an etch mask for forming a deep trench 49 in the SOI substrate (10, 20, 30L). As used herein, a “deep trench” refers to a trench that extends from a topmost surface of a semiconductor-on-insulator (SOI) substrate through a top semiconductor layer and a buried insulator layer and partly into an underlying semiconductor layer.
In one embodiment, each of the at least one pad layer can include a dielectric material such as silicon nitride, a dielectric metal nitride, a doped silicon undoped silicon oxide, or a dielectric metal oxide. The total thickness of the at least one pad layer can be from 100 nm to 2,000 nm, although lesser and greater thicknesses can also be employed.
In one embodiment, the at least one pad layer includes a stack of a lower pad layer 62L and an upper pad layer 64L. The lower pad layer 62L includes a first dielectric material, and the upper pad layer 64L includes a second dielectric material that is different from the first dielectric material. In one embodiment, the lower pad layer 62L can include silicon oxide, and the upper pad layer 64L can include silicon nitride. In one embodiment, the thickness of the lower pad layer 62L can be from 10 nm to 100 nm, and the thickness of the upper pad layer 64L can be from 40 nm to 360 nm, although lesser and greater thicknesses can also be employed for each of the lower pad layer 62L and the upper pad layer 64L.
A photoresist layer (not shown) can be applied over the at least one pad layer (62L, 64L) and can be lithographically patterned to form at least one opening having an area of a deep trench 49 to be subsequently formed. The pattern in the photoresist layer can be transferred into the at least one pad layer (62L, 64L). Subsequently, the pattern in the at least one pad layer (62L, 64L) can be transferred through the top semiconductor layer 30L, the buried insulator layer 20, and an upper portion of the bottom semiconductor layer 10 by an anisotropic etch that employs the at least one pad layer (62L, 64L) as an etch mask. A deep trench 49 can be formed for each opening in the at least one pad layer (62L, 64L). The photoresist can be removed by ashing, or can be consumed during the etch process that forms the deep trench 49.
The sidewalls of the deep trench 49 can be substantially vertically coincident among the various layers (64L, 62L, 30L, 20, 10) through which the deep trench 49 extends. As used herein, sidewalls of multiple elements are “vertically coincident” if the sidewalls of the multiple elements overlap in a top-down view such as FIG. 1A. As used herein, sidewalls of multiple elements are “substantially vertically coincident” if the lateral offset of the sidewalls of the multiple elements from a perfectly vertical surface is within 5 nm. The depth of the deep trench 49 as measured from the plane of the topmost surface of the SOI substrate (10, 20, 30L) to the bottom surface of the deep trench 49 can be from 500 nm to 10 microns, although lesser and greater depths can also be employed. The lateral dimensions of the deep trench 49 can be limited by the lithographic capabilities, i.e., the ability of a lithographic tool to print the image of an opening on the photoresist layer. In one embodiment, the “width,” i.e., a sidewall to sidewall distance, of the deep trench along the direction parallel to the B-B′ plane and along the direction perpendicular to the B-B′ plane can be from 32 nm to 150 nm, although lesser dimensions can be employed with availability of lithographic tools capable of printing smaller dimensions in the future.
Referring to FIGS. 2A and 2B, a buried plate 12 can be formed by doping a portion of the bottom semiconductor layer 12 in proximity of sidewalls of the bottom semiconductor layer 10 within each deep trench 49. Dopants can be introduced, for example, by outdiffusion from a dopant-including disposable material (such as a doped silicate glass) or by ion implantation as known in the art. Further, any other method of forming a buried plate 12 in the bottom semiconductor layer 10 of an SOI substrate (10, 20, 30L) can be employed in lieu of outdiffusion from a dopant-including disposable material or ion implantation.
In one embodiment, the buried plate 12 can be doped with dopants of a second conductivity type which is the opposite of the first conductivity type. For example, the first conductivity type can be p-type and the second conductivity type can be n-type, or vice versa. A p-n junction is formed between the remaining portion of the bottom semiconductor layer 10 and the buried plate 12. The dopant concentration in the buried plate 12 can be, for example, from 1.0×1018/cm3 to 2.0×1021/cm3, and typically from 5.0×1018/cm3 to 5.0×1019/cm3, although lesser and greater dopant concentrations can also be employed.
A node dielectric layer 42L can be deposited conformally on all physically exposed sidewalls in the deep trench 42L and on the top surface of the upper pad layer 64L. The node dielectric layer 42L can include any dielectric material that can be employed as a node dielectric material in a capacitor known in the art. For example, the node dielectric layer 42L can include at least one of silicon nitride and a dielectric metal oxide material such as high dielectric constant (high-k) gate dielectric material as known in the art.
An inner electrode layer 44L can be deposited to completely fill the deep trench 49. The inner electrode layer 44L includes a conductive material, which can be a metallic material or a doped semiconductor material. The metallic material can be an elemental metal such as W, Ti, Ta, Cu, or Al, or an alloy of at least two elemental metals, or a conductive metallic nitride of at least one metal, or a conductive metallic oxide of at least one metal. The doped semiconductor material can be a doped elemental semiconductor material, a doped compound semiconductor material, or an alloy thereof. The inner electrode layer 44L can be deposited by physical vapor deposition (PVD), chemical vapor deposition (CVD), electroplating, electroless plating, or a combination thereof. The inner electrode layer 44L is deposited to a thickness that is sufficient to completely fill the deep trench 49.
Referring to FIGS. 3A and 3B, the inner electrode layer 44L can be vertically recessed to a level between the top surface of the buried insulator layer 20 and the bottom surface of the buried insulator layer 20 by a recess etch. The recess etch of the conductive material layer can employ an anisotropic etch such as a reactive ion etch, an isotropic etch such as a wet etch, or a combination thereof. The recess etch can be selective to the material of the node dielectric layer 42L.
An inner electrode 44 including the conductive material of the inner electrode layer 44L is formed in the deep trench 49. The topmost surface of the inner electrode 44 is substantially planar, and is located between the level of the top surface of the buried insulator layer 20 and the level of the bottom surface of the buried insulator layer 20. A surface is substantially planar if the planarity of the surface is limited by microscopic variations in surface height that accompanies semiconductor processing steps known in the art. A cavity 47 is formed above the inner electrode 44.
The physically exposed portions of the node dielectric layer 42L can be patterned by an etch, which can be a wet etch. For example, if the node dielectric layer 42L includes silicon nitride, the physically exposed portions of the node dielectric layer 42L can be removed by a wet etch employing hot phosphoric acid. The remaining portion of the node dielectric layer 42L within the deep trench 49 constitutes a node dielectric 42. The set of the buried plate 12, the node dielectric 42, and the inner electrode 44 constitute a trench capacitor (12, 42, 44). The buried plate 12 is an outer node of the trench capacitor, the node dielectric 42 is the dielectric separating the outer electrode from the inner electrode, and the inner electrode 44 is the inner electrode of the trench capacitor. The trench capacitor is embedded within the SOI substrate (10, 12, 20, 30L). The buried insulator layer 20 overlies the buried plate 12 (i.e., the outer electrode).
Referring to FIGS. 4A and 4B, a semiconductor material is deposited on semiconductor surfaces by a selective deposition process. The selective deposition process employs simultaneous or concurrent flow of a reactant gas and an etchant gas. The reactant gas is a precursor gas for deposition of a semiconductor material. For example, the reactant gas can be SiH4, SiH2Cl2, SiHCl3, SiCl4, Si2H6, GeH4, Ge2H6, or any other precursor gas for depositing an elemental semiconductor material or a compound semiconductor material as known in the art. The etchant gas can be, for example, HCl or any other etchant gas compatible with simultaneous or concurrent flow of the reactant gas. In one embodiment, the selective deposition process can be a selective epitaxy process that is performed at an elevated temperature that enables epitaxial alignment of a deposited semiconductor material with an underlying semiconductor material.
During the selective deposition process, a semiconductor material nucleates, and grows on, semiconductor surfaces, while the semiconductor material does not nucleate on, or grow from, dielectric surfaces. Thus, the semiconductor material grows from the sidewall surfaces of the top semiconductor layer 30L. If the inner electrode 44 includes a semiconductor material such as doped polysilicon, the semiconductor material can grow from the top surface of the inner electrode 44.
In one embodiment, selective epitaxy of a semiconductor material can be employed for the selective deposition process. A single crystalline semiconductor material can grow on sidewalls of the top semiconductor layer 30L in a portion of the trench over the inner electrode 44 to form an epitaxial semiconductor pillar structure 46′. The epitaxial semiconductor pillar structure 46′ is formed in epitaxial alignment with a single crystalline semiconductor material of the top semiconductor layer 30L. The epitaxial semiconductor pillar structure 46′ overlies the inner electrode 44.
In addition, a polycrystalline semiconductor material portion 45 can grow from the inner electrode simultaneously with the growth of the epitaxial semiconductor pillar structure 46′ from the sidewalls of the top semiconductor layer 30L. Thus, the polycrystalline semiconductor material portion 45 can be formed directly on the top surface of the inner electrode 44 simultaneously with formation of the epitaxial semiconductor pillar structure 46′.
During the simultaneous growth of the epitaxial semiconductor pillar structure 46′ and the polycrystalline semiconductor material portion 45, surfaces of the epitaxial semiconductor pillar structure 46′ come in contact with surfaces of the polycrystalline semiconductor material portion 45 to define a boundary between the epitaxial semiconductor pillar structure 46′ and the polycrystalline semiconductor material portion 45. As the lateral growth of the epitaxial semiconductor pillar structure 46′ toward a center axis of the trench proceeds, the volume into which the growth of the polycrystalline semiconductor material portion 45 can proceed is reduced. Once the lateral growth of the epitaxial semiconductor pillar structure 46′ reaches the center axis of the trench, all upper surfaces of the polycrystalline semiconductor material portion 45 contacts surfaces of the epitaxial semiconductor pillar structure 46′, and the epitaxial semiconductor pillar structure 46′ prevents further growth of the polycrystalline semiconductor material portion 45.
After the growth of the polycrystalline semiconductor material portion 45 stops, the epitaxial semiconductor pillar structure 46′ can continue to grow upward up to the topmost surface of the at least one pad layer (62L, 64L), and subsequently, above the topmost surface of the at least one pad layer (62L, 64L). Crystallographic facets may be formed on the epitaxial semiconductor pillar structure 46′ above the horizontal plane of the topmost surface of the at least one pad layer (62L, 64L).
The polycrystalline semiconductor material portion 45 can be formed with a horizontal cross-sectional area that decreases with a vertical distance from an interface between the inner electrode 44 and the polycrystalline semiconductor material portion 45. The polycrystalline semiconductor material portion 45 can be in contact with the top surface of the inner electrode 44 and a non-planar bottom surface of the epitaxial semiconductor pillar structure 46′.
The composition of the epitaxial semiconductor pillar structure 46′ may be the same as, or may be different from, the composition of the top semiconductor layer 30L. In one embodiment, the semiconductor material of the epitaxial semiconductor pillar structure 46′ may be the same as, or may be different from, the semiconductor material of the top semiconductor layer 30L. As used herein, a semiconductor material of an element refers to the semiconductor material component of the element excluding electrical dopants. As used herein, electrical dopants refer to p-type dopants or n-type dopants. In one embodiment, the semiconductor material of the epitaxial semiconductor pillar structure 46′ can be the same as, or may be different from, the semiconductor material of the top semiconductor layer 30L, and includes dopants of different types of different species than dopants of the top semiconductor layer 30L. For example, the top semiconductor layer 30L can have a p-type doping and the epitaxial semiconductor pillar structure 46′ can have an n-type doping, or vice versa. In another example, the dopant species in the top semiconductor layer 30L may be the same as, or different from, the dopant species of the epitaxial semiconductor pillar structure 46′. In yet another example, the dopant concentration in the top semiconductor layer 30L may be the same as, or different from, the dopant concentration of the epitaxial semiconductor pillar structure 46′.
In one embodiment, the epitaxial semiconductor pillar structure 46′ and the polycrystalline semiconductor material portion 45 can be formed with in-situ doping of p-type dopants or n-type dopants. In one embodiment, the conductivity type of the epitaxial semiconductor pillar structure 46′ and the polycrystalline semiconductor material portion 45 can be the opposite of the conductivity type of the top semiconductor layer 30L. For example, if the top semiconductor layer 30L has p-type doping, the epitaxial semiconductor pillar structure 46′ and the polycrystalline semiconductor material portion 45 as n-type doping, and vice versa.
Referring to FIGS. 5A and 5B, the epitaxial semiconductor pillar structure 46′ can be optionally recessed to optimize the height of a top surface of an epitaxial semiconductor strap structure to be subsequently formed. The recessing of the epitaxial semiconductor pillar structure 46′ can be performed by a recess etch. The recess etch can be an anisotropic etch or an isotropic etch, and can be selective to the dielectric material of the top portion of the at least one pad layer (62L, 64L), i.e., selective to the upper pad layer 64L. In one embodiment, a recessed top surface of the epitaxial semiconductor pillar structure 46′ can be between the topmost surface of the at least one pad layer (62L, 64L) and the bottommost surface of the at least one pad layer (62L, 64L). Optionally, chemical mechanical planarization (CMP) may be employed in conjunction with, or without performing, a recess etch to adjust the height of the top surface of the epitaxial semiconductor pillar structure 46′.
Referring to FIGS. 6A and 6B, a photoresist layer 77 is applied over the at least one pad layer (62L, 64L) and the epitaxial semiconductor pillar structure 46′, and is lithographically patterned to block an area that straddles an interface between the epitaxial semiconductor pillar structure 46′ and the top semiconductor layer 30L, i.e., the sidewalls of the trench. In one embodiment, a horizontal cross-sectional shape of the patterned photoresist layer 77 can be a polygon having a parallel pair of lengthwise edges. As used herein, a “lengthwise” edge of a polygon refers to any edge extending along a horizontal direction that is the same as, or is parallel to, the horizontal direction of the longest edge of the polygon. In another embodiment, a horizontal cross-sectional shape of the patterned photoresist layer 77 can be a rectangle having a parallel pair of lengthwise edges. In this case, the patterned photoresist layer 77 can have the same width that is invariant under translation along a lengthwise direction that is parallel to the lengthwise edges. Each of the parallel pair of lengthwise edges of the polygon or the rectangle can straddle an interface between the epitaxial semiconductor pillar structure 46′ and the top semiconductor layer 30L.
Referring to FIGS. 7A and 7B, the pattern in the patterned photoresist layer 77 is transferred into the top semiconductor layer 30L and an upper portion of the epitaxial semiconductor pillar structure 46′ by simultaneously etching the top semiconductor layer 30L and the epitaxial semiconductor pillar structure 46′. Specifically, an integrated fin and strap structure is formed by simultaneously etching the top semiconductor layer 30L and the epitaxial semiconductor pillar structure 46′ by an anisotropic etch. The anisotropic etch employs the patterned photoresist layer 77 as an etch mask. The portions of the at least one pad layer (64L, 62L) and the top semiconductor layer 30L are etched by the anisotropic etch. The anisotropic etch can employ the buried insulator layer 20 as an etch stop layer. A vertical stack of a semiconductor fin 30, a first pad portion 62, and a second pad portion 64 can be formed by remaining portions of the at least one pad layer (64L, 62L) and the top semiconductor layer 30L.
The portion of the epitaxial semiconductor pillar structure 46′ that is covered with the photoresist layer 77 is not recessed during the anisotropic etch. The portion of the epitaxial semiconductor pillar structure 46′ that is not covered by the photoresist layer 77 is vertically recessed. The recessed surface of the epitaxial semiconductor pillar structure 46′ can be located between the top surface and the bottom surface of the buried insulator layer 20, or can be located above the top surface of the buried insulator layer 20. The remaining portion of the epitaxial semiconductor pillar structure 46′ is herein referred to as an epitaxial semiconductor strap structure 46. The photoresist layer 77 can be removed after the anisotropic etch, for example, by ashing.
The epitaxial semiconductor strap structure 46 includes a lower portion 46A of the epitaxial semiconductor strap structure 46 and an upper portion 46B of the epitaxial semiconductor strap structure 46. The lower portion 46A is located below the horizontal plane including a recessed top surface of the epitaxial semiconductor strap structure 46, and the upper portion 46B is located above the horizontal plane including the recessed top surface of the epitaxial semiconductor strap structure 46. In one embodiment, the upper portion 46B of the epitaxial semiconductor strap structure 46 adjoins the lower portion 46A of the epitaxial semiconductor strap structure 46 at the horizontal plane located between the top surface of the buried insulator layer 20 and the bottom surface of the insulator layer 20. The upper portion 46B of the epitaxial semiconductor strap structure 40 protrudes above the top surface of the buried insulator layer 20.
In one embodiment, the upper portion 46B of the epitaxial semiconductor strap structure 46 and the semiconductor fin 30 can have the same width throughout. In this case, a parallel pair of sidewalls of the upper portion 46B of the epitaxial semiconductor strap structure 46 and a parallel pair of sidewalls of the semiconductor fin 30 can be within the same pair of vertical sidewalls, and can have the same width throughout.
The entirety of the epitaxial semiconductor strap structure 46 and the semiconductor fin 30 can be single crystalline. The epitaxial semiconductor strap structure 46 and the semiconductor fin 30 are collectively referred to as an integrated fin and strap structure (30, 46). The integrated fin and strap structure (30, 46) can be formed with a parallel pair of lengthwise sidewalls. An end portion of each of the parallel pair of lengthwise sidewalls of the integrated fin and strap structure (30, 46) overlies the inner electrode 44.
The first exemplary semiconductor structure of FIGS. 7A and 7B includes a trench capacitor embedded in a stack of a semiconductor substrate (i.e., the bottom semiconductor layer 10) and an insulator layer (i.e., the buried insulator layer 20). The trench capacitor includes the inner electrode 44, the node dielectric 42, and the outer electrode 12.
The integrated fin and strap structure (30, 46) is located on the buried insulator layer 20 and includes the semiconductor fin 30 and the epitaxial semiconductor strap structure 46. The epitaxial semiconductor strap structure 46 is epitaxially aligned to the semiconductor fin 30 and extends below the top surface of the buried insulator layer 20, i.e., extends below a horizontal plane including the top surface of the buried insulator layer 20.
In one embodiment, the semiconductor fin 30 and the upper portion 46B of the epitaxial semiconductor strap structure 46 have a same width throughout, which is herein referred to as a fin width. Each sidewall of the epitaxial semiconductor strap structure 46 that defines the lateral extent of the lower portion 46A of the epitaxial semiconductor strap structure 46 is vertically coincident with an outer sidewall of the node dielectric 42. In one embodiment, all sidewalls of the lower portion 46A of the epitaxial semiconductor strap structure 46 contact sidewalls of the buried insulator layer 20.
A vertical end wall 46E of the epitaxial semiconductor strap structure 46 can be perpendicular to the parallel pair of sidewalls of the semiconductor fin 30. The entirety of the vertical end wall of the epitaxial semiconductor strap structure 46 can overlie the inner electrode 44. A vertical interface between the semiconductor fin 30 and the epitaxial semiconductor strap structure 46 can be vertically coincident with an interface between the node dielectric 42 and the outer electrode 12. As used herein, a first surface and a second surface are vertically coincident with each other if a vertical surface exists from which the first surface and the second surface do not device by more than the sum of the surface roughness of the first surface and the surface roughness of the second surface.
In one embodiment, a planar topmost surface of the epitaxial semiconductor strap structure 46 can be located above a horizontal plane including the top surface of the semiconductor fin 30.
Referring to FIGS. 8A and 8B, the second pad portion 64 and the first pad portion 62 can be removed by an etch that is selective to the semiconductor materials of the semiconductor fin 30 and the epitaxial semiconductor strap structure 46. For example, if the second pad portion 64 includes silicon nitride and the first pad portion 62 includes silicon oxide, a wet etch employing hot phosphoric acid can be utilized to etch the second pad portion 64 and a wet etch employing hydrofluoric acid can be utilized to etch the first pad portion 62.
Referring to FIGS. 9A and 9B, a fin field effect transistor can be formed on the semiconductor fin 30. The fin field effect transistor can be employed as an access transistor of the trench capacitor (44, 42, 12) that controls flow of electrical charges into, and out of, the inner electrode 44.
Specifically, a stack of a gate dielectric layer, a gate conductor layer, and a gate cap dielectric layer is formed over the semiconductor fin 30 and the epitaxial semiconductor strap structure 46. A photoresist layer is applied over the stack, and is lithographically patterned to block an area that straddles a middle portion of the semiconductor fin 30. The pattern in the photoresist layer is transferred into the stack of the gate dielectric layer, the gate conductor layer, and the gate cap dielectric layer by at least one anisotropic etch. A remaining portion of the gate cap dielectric layer constitutes a gate cap dielectric 58, a remaining portion of the gate conductor layer constitutes a gate electrode 54, and a remaining portion of the gate dielectric layer constitutes a gate dielectric 50. The stack of the gate dielectric 50, the gate electrode 54, and the gate cap dielectric 58 collectively constitutes a gate stack structure (50, 54, 58), which straddles a middle portion of the semiconductor fin 30.
A gate spacer 56 including a dielectric material can be formed around the gate stack structure (50, 54, 58), for example, by deposition of a conformal dielectric material layer and a subsequent anisotropic etch that removes horizontal portions of the conformal dielectric material layer. The remaining vertical portions of the conformal dielectric material layer constitute the gate spacer 56.
Electrical dopants can be implanted into portions of the semiconductor fin 30 that are not blocked by the gate stack structure (50, 54, 58), for example, by ion implantation or by plasma doping or by selective deposition of an in-situ doped epitaxial semiconductor material. The implanted portions of the semiconductor fin 30 constitute a source region 30S and a drain region 30D. The portion of the semiconductor fin 30 that is not implanted with the electrical dopants constitute a body region 30B, which can be intrinsic or have a doping of the opposite conductivity type as the source region 30S and the drain region 30D.
If the epitaxial semiconductor strap structure 46 and the polycrystalline semiconductor material portion 45 are not doped prior to the processing steps of FIGS. 9A and 9B, the electrical dopants can be implanted into the epitaxial semiconductor strap structure 46 and the polycrystalline semiconductor material portion 45. If the epitaxial semiconductor strap structure 46 and the polycrystalline semiconductor material portion 45 are doped prior to the processing steps of FIGS. 9A and 9B, additional electrical dopants of the same conductivity type as preexisting electrical dopants can be introduced into the epitaxial semiconductor strap structure 46 and the polycrystalline semiconductor material portion 45.
Referring to FIG. 10, a top-down view of a second exemplary semiconductor structure illustrates implementation of the first exemplary semiconductor structure in an array environment. Two instances of the first exemplary semiconductor structure can be paired such that two drain regions 30D are integrated into a single drain region 30D, and a single semiconductor fin adjoins two epitaxial semiconductor strap structures 46 protruding into trenches below the plane including the top surface of the buried insulator layer 20. A unit structure including a semiconductor fin containing two source regions 30S and a drain region 30D, two gate stack structure (50, 54, 58), two epitaxial semiconductor strap structures 46, and two trench capacitors (44, 42, 12) are repeated within a two-dimensional array. A lateral offset is introduced in the two-dimensional array such that each neighboring unit structure along the widthwise direction of the semiconductor fins is offset by half the length of the unit structure along the lengthwise direction. Gate stack structures (50, 54, 58) from neighboring unit structures are connected to one another to form gate lines, which include active gate line portions that straddle a semiconductor fin (30S, 30B, 30D) and passing gate line portions that contact the top surface of the buried insulator layer 20.
Referring to FIGS. 11A and 11B, a third exemplary semiconductor structure can be derived from the first exemplary semiconductor structure or the second exemplary semiconductor structure by forming a raised source region 32S and a raised drain region 32D on each source region 30S and on each drain region 30D, respectively. The raised source region 32S and the raised drain region 32D can be formed, for example, by a selective deposition process, which deposits a semiconductor material on semiconductor surfaces and does not deposit the semiconductor material on dielectric surfaces. The selective deposition process can be, for example, selective epitaxy. In this case, the entirety of the raised source region 32S, the raised drain region 32D, the semiconductor fin (30S, 30D, 30B), and the epitaxial semiconductor strap structure 46 can be single crystalline with epitaxial alignment throughout. The raised source region 32S and the raised drain region 32D have a doping of the same conductivity type as the source region 30S and the drain region 30D.
In one embodiment, the raised source region 32S and the raised drain region 32D can be formed with in-situ doping. In one embodiment, a thermal anneal can be employed to diffuse electrical dopants from the raised source region 32S and the raised drain region 32D into the source region 30S and the drain region 30D, or from the source region 30S and the drain region 30D into the raised source region 32S and the raised drain region 32D. In one embodiment, the raised source region 32S and the raised drain region 32D can be formed without in-situ doping, i.e., as intrinsic semiconductor material portions, and can be subsequently doped by ion implantation and/or outdiffusion of dopants from the source region 30S and the drain region 30D during an anneal at an elevated temperature. Optionally, the electrical dopants introduced into the raised source region 32S and the raised drain region 32D by in-situ doping or by implantation may diffuse into the source region 32S and the drain region 32D during an anneal at an elevated temperature.
Referring to FIG. 12, a fourth exemplary semiconductor can be derived from the first, second, or third exemplary semiconductor structures by altering the recess process illustrated in FIGS. 5A and 5B, and/or by altering the anisotropic etch process illustrated in FIGS. 7A and 7B. A planar topmost surface of the epitaxial semiconductor strap structure 46 is formed below the horizontal plane including the top surface of the semiconductor fin 30.
Referring to FIG. 13, a fifth exemplary semiconductor can be derived from the first, second, or third exemplary semiconductor structures by altering or omitting the recess process illustrated in FIGS. 5A and 5B, and/or by altering the anisotropic etch process illustrated in FIGS. 7A and 7B. The recessed top surface of the epitaxial semiconductor strap structure 46 is located above the top surface of the buried insulator layer 20 and below the top surface of the semiconductor fin (30S, 30D, 30B). The lower portion 46A of the epitaxial semiconductor strap structure 46 contacts a sidewall of the buried insulator layer 20 and protrudes above the top surface of the buried insulator layer 20. The entirety of the upper portion 46B of the epitaxial semiconductor strap structure 40 protrudes above the top surface of the buried insulator layer 20. The upper portion 46B of the epitaxial semiconductor strap structure 46 adjoins the lower portion 46A of the epitaxial semiconductor strap structure 46 at a horizontal plane located above the top surface of the buried insulator layer 20. A planar topmost surface of the epitaxial semiconductor strap structure 46 may be formed below, at, or above the horizontal plane including the top surface of the semiconductor fin 30.
While the disclosure has been described in terms of specific embodiments, it is evident in view of the foregoing description that numerous alternatives, modifications and variations will be apparent to those skilled in the art. Each of an embodiments described herein can be implemented individually or in combination with any other embodiment unless expressly stated otherwise or clearly incompatible. Accordingly, the disclosure is intended to encompass all such alternatives, modifications and variations which fall within the scope and spirit of the disclosure and the following claims.

Claims (16)

What is claimed is:
1. A method of forming a semiconductor structure comprising:
forming at least one pad layer on a top semiconductor layer of a semiconductor-on-insulator (SOI) substrate;
forming a trench extending below a bottom surface of an insulator layer within said SOI substrate;
forming a trench capacitor comprising an inner electrode, a node dielectric, and an outer electrode in said SOI substrate;
forming an epitaxial semiconductor pillar structure on a sidewall of said top semiconductor layer and in a portion of said trench over an entirety of said inner electrode; and
forming an integrated fin and strap structure by simultaneously etching said top semiconductor layer and an upper portion of said epitaxial semiconductor pillar structure, wherein said integrated fin and strap structure comprises a semiconductor fin and an epitaxial semiconductor strap structure laterally contacting a sidewall of said semiconductor fin, said epitaxial semiconductor strap structure having a lower portion overlying an entirety of said inner electrode and an upper portion vertically contacting a portion of said lower portion,
wherein said epitaxial semiconductor pillar structure is formed in epitaxial alignment with a single crystalline material of said top semiconductor layer,
further comprising forming a polycrystalline semiconductor material portion directly on a top surface of said inner electrode, wherein said epitaxial semiconductor pillar structure is spaced apart from said inner electrode by said polycrystalline semiconductor material portion, and
wherein said polycrystalline semiconductor material portion has a non-planar top surface.
2. The method of claim 1, wherein said epitaxial semiconductor pillar structure is formed by selective epitaxy of a semiconductor material.
3. The method of claim 2, wherein said selective epitaxy forms crystalline facets on said epitaxial semiconductor pillar structure above a horizontal plane of a topmost surface of said at least one pad layer.
4. The method of claim 3, further comprising recessing said epitaxial semiconductor pillar structure such that a top surface of said epitaxial semiconductor pillar structure is located between said topmost surface of said at least one pad layer and a bottommost surface of said at least one pad layer.
5. The method of claim 1, wherein said polycrystalline semiconductor material portion is formed simultaneously with formation of said epitaxial semiconductor pillar structure.
6. The method of claim 1, wherein said polycrystalline semiconductor material portion is formed with a horizontal cross-sectional area that decreases with a vertical distance from an interface between said inner electrode and said polycrystalline semiconductor material portion.
7. The method of claim 1, further comprising:
forming a patterned photoresist layer over said at least one pad layer and said epitaxial semiconductor pillar structure; and
transferring a pattern in said patterned photoresist layer into said top semiconductor layer and said upper portion of said epitaxial semiconductor pillar structure by said simultaneous etching of said top semiconductor layer and said epitaxial semiconductor pillar structure.
8. The method of claim 1, wherein said integrated fin and strap structure is formed with a parallel pair of lengthwise sidewalls, and an end portion of each of said parallel pair of lengthwise sidewalls overlies said inner electrode.
9. The method of claim 1, further comprising forming a fin field effect transistor on said semiconductor fin, wherein said fin field transistor is an access transistor of said trench capacitor that controls flow of electrical charges into, and out of, said inner electrode.
10. The method of claim 1, wherein said epitaxial semiconductor pillar structure is grown above a topmost surface of said at least one pad layer.
11. The method of claim 1, wherein said top semiconductor layer and said upper portion of said epitaxial semiconductor pillar structure is simultaneously etched by an anisotropic etch.
12. The method of claim 1, wherein said upper portion of said epitaxial semiconductor strap structure is adjoined to said lower portion of said epitaxial semiconductor strap structure at a horizontal plane located between a top surface of said insulator layer and said bottom surface of said insulator layer.
13. The method of claim 1, wherein each sidewall of said lower portion of said epitaxial semiconductor strap structure is vertically aligned with an outer sidewall of said node dielectric.
14. The method of claim 1, wherein an entirety of each sidewall of said lower portion of said epitaxial semiconductor strap structure laterally contacts a sidewall of said insulator layer.
15. The method of claim 1, wherein a sidewall of said upper portion of said epitaxial semiconductor strap structure laterally contacts said sidewall of said semiconductor fin and a sidewall of an upper portion of said insulator layer.
16. The method of claim 1, further comprising removing said at least one pad layer after said forming said integrated fin and strap structure.
US14/874,392 2013-04-05 2015-10-03 Method of forming integrated fin and strap structure for an access transistor of a trench capacitor Expired - Fee Related US9564444B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/874,392 US9564444B2 (en) 2013-04-05 2015-10-03 Method of forming integrated fin and strap structure for an access transistor of a trench capacitor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/857,282 US9576964B2 (en) 2013-04-05 2013-04-05 Integrated fin and strap structure for an access transistor of a trench capacitor
US14/874,392 US9564444B2 (en) 2013-04-05 2015-10-03 Method of forming integrated fin and strap structure for an access transistor of a trench capacitor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/857,282 Division US9576964B2 (en) 2013-04-05 2013-04-05 Integrated fin and strap structure for an access transistor of a trench capacitor

Publications (2)

Publication Number Publication Date
US20160099249A1 US20160099249A1 (en) 2016-04-07
US9564444B2 true US9564444B2 (en) 2017-02-07

Family

ID=51653844

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/857,282 Expired - Fee Related US9576964B2 (en) 2013-04-05 2013-04-05 Integrated fin and strap structure for an access transistor of a trench capacitor
US14/874,392 Expired - Fee Related US9564444B2 (en) 2013-04-05 2015-10-03 Method of forming integrated fin and strap structure for an access transistor of a trench capacitor

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/857,282 Expired - Fee Related US9576964B2 (en) 2013-04-05 2013-04-05 Integrated fin and strap structure for an access transistor of a trench capacitor

Country Status (1)

Country Link
US (2) US9576964B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9142676B2 (en) 2013-12-30 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor liner of semiconductor device
US9245892B2 (en) * 2014-02-20 2016-01-26 International Business Machines Corporation Semiconductor structure having buried conductive elements
US20170162557A1 (en) * 2015-12-03 2017-06-08 Globalfoundries Inc. Trench based charge pump device
US11069688B2 (en) * 2018-05-22 2021-07-20 International Business Machines Corporation Vertical transistor with eDRAM

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5923971A (en) * 1996-10-22 1999-07-13 International Business Machines Corporation Reliable low resistance strap for trench storage DRAM cell using selective epitaxy
US6222218B1 (en) 1998-09-14 2001-04-24 International Business Machines Corporation DRAM trench
US6340615B1 (en) * 1999-12-17 2002-01-22 International Business Machines Corporation Method of forming a trench capacitor DRAM cell
US6482717B1 (en) 2001-04-30 2002-11-19 Hynix Semiconductor Inc. Method of manufacturing a semiconductor device including forming well comprising EPI in trench
US6660581B1 (en) 2003-03-11 2003-12-09 International Business Machines Corporation Method of forming single bitline contact using line shape masks for vertical transistors in DRAM/e-DRAM devices
US6872620B2 (en) 2001-07-13 2005-03-29 International Business Machines Corporation Trench capacitors with reduced polysilicon stress
US7064039B2 (en) 2002-10-11 2006-06-20 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US7354826B1 (en) 2005-04-22 2008-04-08 Spansion Llc Method for forming memory array bitlines comprising epitaxially grown silicon and related structure
US20090008691A1 (en) 2007-07-02 2009-01-08 Tzung-Han Lee Dram structure and method of making the same
US20090230471A1 (en) * 2008-03-14 2009-09-17 International Business Machines Corporation Trench memory with self-aligned strap formed by self-limiting process
US20090256185A1 (en) 2008-04-09 2009-10-15 International Business Machines Corporation Metallized conductive strap spacer for soi deep trench capacitor
US20090289291A1 (en) * 2008-05-21 2009-11-26 International Business Machines Corporation Soi deep trench capacitor employing a non-conformal inner spacer
US7655985B2 (en) 2006-01-26 2010-02-02 International Business Machines Corporation Methods and semiconductor structures for latch-up suppression using a conductive region
US7741188B2 (en) 2008-03-24 2010-06-22 International Business Machines Corporation Deep trench (DT) metal-insulator-metal (MIM) capacitor
US8008138B2 (en) 2009-11-30 2011-08-30 International Business Machines Corporation Extremely thin semiconductor on insulator semiconductor device with suppressed dopant segregation
US20110227157A1 (en) 2010-03-18 2011-09-22 Globalfoundries Inc. Etsoi with reduced extension resistance
US20110248326A1 (en) 2010-04-07 2011-10-13 International Business Machines Corporation Structure and method to integrate embedded dram with finfet
US20110272762A1 (en) * 2010-05-10 2011-11-10 International Business Machines Corporation Embedded dram for extremely thin semiconductor-on-insulator
US20120273879A1 (en) 2011-04-27 2012-11-01 Shekar Mallikarjunaswamy Top drain ldmos
US20120280251A1 (en) 2011-05-05 2012-11-08 International Business Machines Corporation Cavity-free interface between extension regions and embedded silicon-carbon alloy source/drain regions
US20120299062A1 (en) 2009-09-01 2012-11-29 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5923971A (en) * 1996-10-22 1999-07-13 International Business Machines Corporation Reliable low resistance strap for trench storage DRAM cell using selective epitaxy
US6222218B1 (en) 1998-09-14 2001-04-24 International Business Machines Corporation DRAM trench
US6340615B1 (en) * 1999-12-17 2002-01-22 International Business Machines Corporation Method of forming a trench capacitor DRAM cell
US6482717B1 (en) 2001-04-30 2002-11-19 Hynix Semiconductor Inc. Method of manufacturing a semiconductor device including forming well comprising EPI in trench
US6872620B2 (en) 2001-07-13 2005-03-29 International Business Machines Corporation Trench capacitors with reduced polysilicon stress
US7064039B2 (en) 2002-10-11 2006-06-20 Texas Instruments Incorporated Method to produce localized halo for MOS transistor
US6660581B1 (en) 2003-03-11 2003-12-09 International Business Machines Corporation Method of forming single bitline contact using line shape masks for vertical transistors in DRAM/e-DRAM devices
US7354826B1 (en) 2005-04-22 2008-04-08 Spansion Llc Method for forming memory array bitlines comprising epitaxially grown silicon and related structure
US7655985B2 (en) 2006-01-26 2010-02-02 International Business Machines Corporation Methods and semiconductor structures for latch-up suppression using a conductive region
US20090008691A1 (en) 2007-07-02 2009-01-08 Tzung-Han Lee Dram structure and method of making the same
US20090230471A1 (en) * 2008-03-14 2009-09-17 International Business Machines Corporation Trench memory with self-aligned strap formed by self-limiting process
US7741188B2 (en) 2008-03-24 2010-06-22 International Business Machines Corporation Deep trench (DT) metal-insulator-metal (MIM) capacitor
US20090256185A1 (en) 2008-04-09 2009-10-15 International Business Machines Corporation Metallized conductive strap spacer for soi deep trench capacitor
US20090289291A1 (en) * 2008-05-21 2009-11-26 International Business Machines Corporation Soi deep trench capacitor employing a non-conformal inner spacer
US20120299062A1 (en) 2009-09-01 2012-11-29 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect
US8367485B2 (en) 2009-09-01 2013-02-05 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect
US8008138B2 (en) 2009-11-30 2011-08-30 International Business Machines Corporation Extremely thin semiconductor on insulator semiconductor device with suppressed dopant segregation
US20110227157A1 (en) 2010-03-18 2011-09-22 Globalfoundries Inc. Etsoi with reduced extension resistance
US20110248326A1 (en) 2010-04-07 2011-10-13 International Business Machines Corporation Structure and method to integrate embedded dram with finfet
US20110272762A1 (en) * 2010-05-10 2011-11-10 International Business Machines Corporation Embedded dram for extremely thin semiconductor-on-insulator
US20120273879A1 (en) 2011-04-27 2012-11-01 Shekar Mallikarjunaswamy Top drain ldmos
US20120280251A1 (en) 2011-05-05 2012-11-08 International Business Machines Corporation Cavity-free interface between extension regions and embedded silicon-carbon alloy source/drain regions

Also Published As

Publication number Publication date
US20160099249A1 (en) 2016-04-07
US20140299882A1 (en) 2014-10-09
US9576964B2 (en) 2017-02-21

Similar Documents

Publication Publication Date Title
US10090303B2 (en) Fabrication of vertical field effect transistors with uniform structural profiles
US9006810B2 (en) DRAM with a nanowire access transistor
US9691882B2 (en) Carbon-doped cap for a raised active semiconductor region
US8969963B2 (en) Vertical source/drain junctions for a finFET including a plurality of fins
US20150079751A1 (en) Fin field effect transistor with merged metal semiconductor alloy regions
US9741722B2 (en) Dummy gate structure for electrical isolation of a fin DRAM
US9219114B2 (en) Partial FIN on oxide for improved electrical isolation of raised active regions
US20150037941A1 (en) Finfet contacting a conductive strap structure of a dram
US9490257B2 (en) Deep trench polysilicon fin first
US9461050B2 (en) Self-aligned laterally extended strap for a dynamic random access memory cell
US9564444B2 (en) Method of forming integrated fin and strap structure for an access transistor of a trench capacitor
US9240482B2 (en) Asymmetric stressor DRAM
US9343320B2 (en) Pattern factor dependency alleviation for eDRAM and logic devices with disposable fill to ease deep trench integration with fins
US9570444B2 (en) CMOS transistors with identical active semiconductor region shapes
US9059031B2 (en) DRAM with dual level word lines
US9337200B2 (en) Dynamic random access memory cell employing trenches located between lengthwise edges of semiconductor fins
US20150348972A1 (en) Asymmetric stressor dram
US9564443B2 (en) Dynamic random access memory cell with self-aligned strap

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHAN, KEVIN K.;KHAN, BABAR A.;PARK, DAE-GYU;AND OTHERS;SIGNING DATES FROM 20130322 TO 20130325;REEL/FRAME:036721/0565

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20210207