US8199588B2 - Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof - Google Patents

Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof Download PDF

Info

Publication number
US8199588B2
US8199588B2 US12/453,413 US45341309A US8199588B2 US 8199588 B2 US8199588 B2 US 8199588B2 US 45341309 A US45341309 A US 45341309A US 8199588 B2 US8199588 B2 US 8199588B2
Authority
US
United States
Prior art keywords
output
register
mode
data
memory device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US12/453,413
Other versions
US20090244986A1 (en
Inventor
Nak-won Heo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US12/453,413 priority Critical patent/US8199588B2/en
Publication of US20090244986A1 publication Critical patent/US20090244986A1/en
Priority to US13/482,536 priority patent/US8625364B2/en
Application granted granted Critical
Publication of US8199588B2 publication Critical patent/US8199588B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/22Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management 
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F25REFRIGERATION OR COOLING; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS; MANUFACTURE OR STORAGE OF ICE; LIQUEFACTION SOLIDIFICATION OF GASES
    • F25BREFRIGERATION MACHINES, PLANTS OR SYSTEMS; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS
    • F25B45/00Arrangements for charging or discharging refrigerant
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/4076Timing circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4093Input/output [I/O] data interface arrangements, e.g. data buffers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1051Data output circuits, e.g. read-out amplifiers, data output buffers, data output registers, data output level conversion circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1051Data output circuits, e.g. read-out amplifiers, data output buffers, data output registers, data output level conversion circuits
    • G11C7/1057Data output buffers, e.g. comprising level conversion circuits, circuits for adapting load
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1078Data input circuits, e.g. write amplifiers, data input buffers, data input registers, data input level conversion circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1078Data input circuits, e.g. write amplifiers, data input buffers, data input registers, data input level conversion circuits
    • G11C7/109Control signal input circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/22Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management 
    • G11C7/222Clock generating, synchronizing or distributing circuits within memory device
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F25REFRIGERATION OR COOLING; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS; MANUFACTURE OR STORAGE OF ICE; LIQUEFACTION SOLIDIFICATION OF GASES
    • F25BREFRIGERATION MACHINES, PLANTS OR SYSTEMS; COMBINED HEATING AND REFRIGERATION SYSTEMS; HEAT PUMP SYSTEMS
    • F25B2345/00Details for charging or discharging refrigerants; Service stations therefor
    • F25B2345/006Details for charging or discharging refrigerants; Service stations therefor characterised by charging or discharging valves
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2207/00Indexing scheme relating to arrangements for writing information into, or reading information out from, a digital store
    • G11C2207/22Control and timing of internal memory operations
    • G11C2207/2281Timing of a read operation

Definitions

  • Example embodiments of the present invention relate generally to a semiconductor memory device and methods thereof, and more particularly to a semiconductor memory device and methods of reading data from the semiconductor memory device.
  • SDRAM synchronous dynamic random access memory
  • JDEC Joint Electron Device Engineering Circuit
  • SDRAMs may be characterized by having 1) input/output (I/O) circuit synchronized with an external clock, 2) burst access, 3) multi-bank configuration, 4) command-type access, and 5) data path using pipeline technique, which are described below in greater detail.
  • SDRAM may establish various timing parameters in multiples of a clock cycle in order to input and output signals in synchronization with an external clock. Because a control signal applied during a single clock cycle may be stored in an internal register, a received state may be maintained until the contents in the internal register are changed. A control signal (e.g., different from the clock signal) may be received in order to change the received state. For example, two or more control signals may be provided and an operating state of the SDRAM may be determined based on a status of each of the control signals. The state may be decoded by a command decoder in the SDRAM, such that other operations of the SDRAM may be performed. Accordingly, adjusting the state or start-point of an operation may be considered as a type of programming, typically referred to as a command.
  • a burst may generally refer to any phenomenon with a relatively high intensity and a relatively short duration.
  • the burst access may indicate that data input/output of a memory may be successively performed in synchronization with a clock. If an active command ACT_CMD and a row address are received at a rising edge of a clock, a memory may transition to an activated state (e.g., a first logic level, such as a higher logic level or logic “1”) and a word line may be selected by the row address. If a read command and a column address are received at the following clock, a burst operation may be carried out. Thus, a column address may be increased or incremented after a given number of clock cycles, and data may be successively or consecutively outputted.
  • a bank may include a plurality of memory cells that may operate independently in order to achieve a higher-speed operation via interleaving in a memory module.
  • Memory cells in one bank may typically share both a data bus as well as address and control signal lines in common, and may operate independently with respect to another bank (e.g., each bank may have its own connection buses). Accordingly, while a data read operation may be performed with respect to a first bank, a precharge or refresh operation may be performed with respect to a second bank or a word line selecting operation may be carried out by a row address.
  • a pipeline may refer to a concurrent data handling structure or process wherein a data path may be divided using flip-flops or latches and a plurality of circuit blocks may be operated concurrently. That is, a data path may be divided into a plurality of independent circuit blocks by disposing two or more flip-flops or latches on the data path. Read data may be latched by one circuit block, and the latched data may be outputted to an external entity via another path. Concurrently, a new address may be received through another path or a precharge operation may be carried out.
  • Double Data Rate (DDR) SDRAM may input and output data or commands at both rising and falling edges of a clock. Accordingly, in an example, a data rate corresponding to a clock of 200 MHz (e.g., of a single data rate (SDR) SDRAM) may be achieved using a clock of 100 MHz. To achieve such a result, the clock signal may be set to have a duty of 50%.
  • SDR single data rate
  • the DDR SDRAM may be classified as one of DDR1 SDRAM, DDR2 SDRAM, DDR3 SRAM, etc.
  • the DDR1 SRAM may utilize a 2-bit pre-fetch manner at input/output and may have a data burst length of 2.
  • the DDR2 SRAM may utilize a 4-bit pre-fetch manner at input/output and may have a data burst length of 4.
  • the DDR3 SRAM may utilize an 8-bit pre-fetch manner at input/output and may have a data burst length of 8.
  • a burst length is 8 then eight data may be successively inputted and outputted via one input/output terminal in synchronization with a single clock, and so on.
  • the DDR3 SDRAM may support a read leveling operation, which may refer to adjusting a DQS skew between a chipset and a memory chip by transferring data patterns set in a register of the memory chip to the chipset.
  • An operation for reading the data patterns in the register may be carried out irrespective of whether normal data is stored in memory cells. Such an operation may be referred to as a “special” read operation, in contrast to a “normal” read operation.
  • the SDRAM may include data patterns read irrespective of whether normal data is stored in memory cells.
  • the special read operation may typically be performed in order to read these data patterns.
  • the special read operation may be said to be “indifferent” to the presence of normal data.
  • data access operations such as a word line enable operation, a bit line precharge operation, may still be performed during special read operations, which may increase a duration of special read operations as well as a power consumption of the special read operations.
  • An example embodiment of the present invention is directed to a semiconductor memory device, including a memory cell configured to store data, a storage unit configured to store at least one data pattern, a data output circuit configured to output the stored data during a first type of read operation and configured to output the at least one data pattern during a second type of read operation and an output control circuit for controlling the data output circuit such that the memory cell is not accessed during read operations of the second type.
  • Another example embodiment of the present invention is directed to a method of reading data from a semiconductor memory device, including storing data in a memory cell, storing at least one data pattern in a storage unit, outputting the stored data within the memory cell in response to a first type of read operation and outputting the at least one data pattern in the storage unit in response to a second type of read operation and blocking access to the memory cell during read operations of the second type.
  • Another example embodiment of the present invention is directed to a method of reading data from a semiconductor memory device, including storing at least one fixed data pattern within a storage unit, the at least one fixed data pattern only accessible during read operations of a first type, storing normal data within at least one memory cell, the normal data only accessible during read operations of a second type and blocking access to the at least one memory cell during an execution of read operations of the second type.
  • FIG. 1 is a block diagram illustrating a semiconductor memory device according to an example embodiment of the present invention.
  • FIG. 2 is a conceptual diagram illustrating a mode register according to another example embodiment of the present invention.
  • FIG. 3 illustrates operating modes for the semiconductor memory device of FIG. 1 based on a mode register set according to another example embodiment of the present invention.
  • FIG. 4 is a block diagram illustrating a semiconductor memory device according to another example embodiment of the present invention.
  • FIG. 1 is a block diagram illustrating a semiconductor memory device 100 according to an example embodiment of the present invention.
  • the semiconductor memory device 100 may include a memory cell array 110 , a multi-purpose register 120 , a selection circuit 130 , a data output circuit 140 , and an output control circuit 150 .
  • the semiconductor memory device 100 may further include a row decoder 112 , a column decoder and sense amplifier circuit 114 and a row command decoder 116 .
  • the memory cell array 110 may include a plurality of memory cells (not shown).
  • one or more of the plurality of memory cells may be embodied as a DRAM cell including an NMOS transistor and a capacitor.
  • Each of the plurality of memory cells may store “normal” data, which may be output in response to a “normal” read operation.
  • the row decoder 112 may drive a selected word line in response to an active signal ACT, during a normal read operation.
  • the column decoder and sense amplifier circuit 114 may sense and amplify a voltage level of a selected bit line in response to a read signal RD.
  • the row command decoder 116 may decode an active command ACT_CMD to generate the active signal ACT, during a normal read operation.
  • the active command ACT_CMD may be decoded by a combination of control signals, including but not limited to a chip selection signal nCS, a row address strobe signal nRAS, a column address strobe signal nCAS, a write enable signal nWE, etc.
  • the row command decoder 116 may generate the active signal ACT if the chip selection signal nCS is set to a second logic level (e.g., a lower logic level or logic “0”), the row address strobe signal nRAS is set to the second logic level, the column address strobe signal nCAS is set to the first logic level (e.g., a higher logic level or logic “1”) and the write enable signal nWE is set to the first logic level.
  • a second logic level e.g., a lower logic level or logic “0”
  • the row address strobe signal nRAS is set to the second logic level
  • the column address strobe signal nCAS is set to the first logic level (e.g., a higher logic level or logic “1”)
  • the write enable signal nWE is set to the first logic level.
  • the multi-purpose register 120 may store a plurality of data patterns.
  • each of the plurality of data patterns may be fixed (e.g., read-only).
  • the multi-purpose register 120 may be controlled by a mode register and may have various functionality.
  • the multi-purpose register 120 may be used to perform a read leveling operation.
  • the read leveling operation refer to an operation for adjusting a DQS skew between a chipset (not shown) and a memory chip by transferring one or more of the stored plurality of data patterns in the multi-purpose register 120 to the chipset.
  • the multi-purpose register 120 will be described in greater detail below with reference to FIGS. 2 and 3 .
  • the selection circuit 130 may output normal data stored in the memory cell array 110 or, alternatively, one or more of the plurality of data patterns stored in the multi-purpose register 120 , based on an operating mode of the semiconductor memory device 100 .
  • the selection circuit 130 may output normal data if a read leveling signal R/L set to the second logic level (e.g., a lower logic level or logic “0”) during a normal read operation.
  • the selection circuit 130 may output one or more of the plurality of data patterns stored in the multi-purpose register 120 if the read leveling signal R/L is set to the first logic level (e.g., a higher logic level or logic “1”) during a special read operation.
  • the data output circuit 140 may transfer an output of the selection circuit 130 to an external entity.
  • the data output circuit 140 may include a burst data ordering part, a latch circuit and an output data buffer.
  • the output control circuit 150 may instruct the memory cell array 110 to output read data during a normal read operation.
  • the output control circuit 150 may control the circuits 130 and 140 so as to block access to the memory cell array 110 and to instead authorize an output of one or more of the plurality of data patterns stored in the multi-purpose register 120 (e.g., adjusting for latency as necessary).
  • the output control circuit 150 may include an MRS command decoder 151 , a first logic gate 153 , a column command decoder 154 , a second logic gate 155 , a delay locked loop circuit 156 and a latency circuit 157 .
  • the MRS command decoder 151 may decode an MRS command MRS_CMD to set a mode register 152 therein.
  • the MRS command MRS_CMD may be decoded by any combination of a chip selection signal nCS, a row address strobe signal nRAS, a column address strobe signal nCAS, a write enable signal nWE, and the like.
  • the MRS command decoder 151 may set the mode register 152 if the chip selection signal nCS is set to the second logic level (e.g., a lower logic level or logic “0”), the row address strobe signal nRAS is set to the second logic level, the column address strobe signal nCAS is set to the second logic level and the write enable signal nWE is set to the first logic level (e.g., a higher logic level or logic “1”).
  • the chip selection signal nCS is set to the second logic level (e.g., a lower logic level or logic “0”)
  • the row address strobe signal nRAS is set to the second logic level
  • the column address strobe signal nCAS is set to the second logic level
  • the write enable signal nWE is set to the first logic level (e.g., a higher logic level or logic “1”).
  • the DDR3 SDRAM may include first through fourth mode registers.
  • the first mode register may control a burst length (BL), a CAS latency (CL) and a test mode (TM).
  • the second mode register may control a disabling or enabling of the delay locked loop circuit (DLL) 156 .
  • the third mode register may control refresh-related features.
  • the fourth mode register may control an operation of the multi-purpose register 120 .
  • FIG. 2 is a conceptual diagram illustrating the mode register 152 of FIG. 1 (e.g., the fourth mode register) according to another example embodiment of the present invention.
  • (a) may designate an address field
  • (b) may designate a set state of the mode register 152 according to the address field.
  • the mode register 152 may control a normal read operation or a special read operation according to a value of an address A 2 . If the address A 2 is set to the second logic level (e.g., a lower logic level or logic “0”), the normal read operation may be performed. Thus, normal data may be output from the memory cell array 110 . In an alternative example, if the address A 2 is set to the first logic level (e.g., a higher logic level or logic “1”), the special read operation may be performed. Thus, one or more of the plurality of data patterns, stored within the multi-purpose register 120 of FIG. 1 , may be output.
  • the second logic level e.g., a lower logic level or logic “0”
  • the special read operation may be performed.
  • one or more of the plurality of data patterns, stored within the multi-purpose register 120 of FIG. 1 may be output.
  • addresses A 0 and A 1 may be indicate a location of the multi-purpose register 120 .
  • the multi-purpose register 120 includes four registers and that each of the four registers stores 8-bit data.
  • FIG. 3 illustrates operating modes for the semiconductor memory device 100 of FIG. 1 based on a mode register set (MRS) as illustrated in FIG. 2 according to another example embodiment of the present invention.
  • MRS mode register set
  • a normal read operation may be performed if an address A 2 is ‘0’
  • a special read operation may be performed if the address A 2 is ‘1’.
  • the MRS command decoder 151 may decode an MRS command MRS_CMD to set the mode register 152 .
  • the MRS command decoder 151 may activate (e.g., set to the first logic level) a corresponding register of the multi-purpose register 120 based on a value of the mode register 152 .
  • the MRS command decoder 151 may generate a read leveling signal R/L if an address field A 2 of the mode register 152 is ‘1’.
  • the read leveling signal R/L may be supplied to the selection circuit 130 and the second logic gate 155 .
  • the first logic gate 153 may generate the enable signal EN in response to the active signal ACT and the read leveling signal R/L.
  • the active signal ACT may be generated during the normal read operation, and the read leveling signal R/L may be generated during the special read operation.
  • the first logic gate 153 may supply the enable signal EN to the column command decoder 154 and the delay locked loop circuit 156 .
  • the column command decoder 154 may operate responsive to the enable signal EN, and may decode the read command RD_CMD to generate the read signal RD.
  • the read command RD_CMD may be defined by a combination of the chip enable signal nCS, the row address strobe signal nRAS, the column address strobe signal nCAS, and the write enable signal nWE.
  • the command decoder 154 may generate (e.g., activate) the read signal RD if the chip selection signal nCS is set to the second logic level (e.g., a lower logic level or logic “0”), the row address strobe signal nRAS is set to the first logic level (e.g., a higher logic level or logic “1”), the column address strobe signal nCAS is set to the second logic level, and the write enable signal nWE is set to the first logic level (e.g., a higher logic level or logic “1”).
  • the read signal RD may be supplied to the second logic gate 155 and the latency circuit 157 . In an example, the read signal RD may be generated during both the normal read operation and also the special read operation.
  • the second logic gate 155 may disable a column selection line CSL in response to the read leveling signal R/D.
  • the second logic gate 155 may include an inverter INV and an AND gate.
  • the inverter INV may receive the read leveling signal R/L
  • the AND gate may receive the read signal R/D and an output of the inverter INV.
  • the second logic gate 155 may enable the column selection line during the normal read operation, and may disable the column selection line CSL during the special read operation.
  • the delay locked loop circuit 156 may operate responsive to the enable signal EN and may generate the clock signal CLK.
  • the latency circuit 157 may operate responsive to the read signal RD and may generate a control signal CDQ such that an output of the selection circuit 130 may conform to a latency of the clock signal CLK and the mode register 152 .
  • the control signal CDQ may be supplied to the data output circuit 140 .
  • the semiconductor memory device 100 may perform one of the normal read operation and the special read operation based on a value of the mode register 152 .
  • the semiconductor memory device 100 may output one or more data patterns, stored within the multi-purpose register 120 , based on the MRS command MRS_CMD (e.g., without the active command ACT_CMD) during the special read operation.
  • the semiconductor memory device 100 may generate the read leveling signal R/L so as to disable the column selection line CSL during the special read operation.
  • the semiconductor memory device 100 may output data so as to be synchronized with the clock signal CLK (e.g., latency-matching with the clock signal CLK).
  • FIG. 4 is a block diagram illustrating a semiconductor memory device 200 according to another example embodiment of the present invention.
  • the semiconductor memory device 200 may include a memory cell array 210 , a signature fuse circuit 220 , a selection circuit 230 , a data output circuit 240 and an output control circuit 250 .
  • the example embodiment of FIG. 4 may include certain elements in common with the example embodiment of FIG. 1 , and, accordingly, a further description of such common elements has been omitted for the sake of brevity.
  • the signature fuse circuit 220 may be configured to store data patterns (e.g., die position information of a fabricated wafer, lot number information, etc.).
  • the semiconductor memory device 200 may generate a signature signal SIG for outputting data patterns in the signature fuse circuit 220 by decoding the MRS command MRS_CMD without the active command ACT_CMD during the special operation.
  • the output control circuit 250 may disable (e.g., transition to the second logic level) the column selection signal CSL in response to the signature signal SIG.
  • the output of the selection circuit 230 may be configured to conform with, or synchronize with, the clock signal CLK (e.g., in terms of latency).
  • the semiconductor memory device 200 illustrated in FIG. 4 may operate in a manner similar to the semiconductor memory device 100 as described above with respect to FIGS. 1 through 3 .
  • a semiconductor memory device reading “special” data patterns stored therein may reduce a duration and power consumption allocated to “normal” data retrieval (e.g., which is not required during the special data operation).
  • a speed of the semiconductor memory device may be increased and a current consumption of the semiconductor memory device may be reduced by avoiding or reducing access to the memory cell array.
  • first and second logic levels are above-described as corresponding to a higher level and a lower logic level, respectively, in an example embodiment of the present invention.
  • first and second logic levels/states may correspond to the lower logic level and the higher logic level, respectively, in other example embodiments of the present invention.

Abstract

According to example embodiments, a semiconductor memory device includes a memory cell array, a multi-purpose register, a data output circuit, and a mode register. The memory cell array is configured to store data. The multi-purpose register is configured to store a data pattern. The data output circuit is configured to output the stored data during a first output mode and output the stored data pattern during a second output mode. The mode register is configured to set the first or second output mode according to a logic level of a portion of a content of the mode register.

Description

PRIORITY STATEMENT
This U.S. non-provisional application is a continuation of application Ser. No. 11/589,179 filed on Oct. 30, 2006, now U.S. Pat. No. 7,551,495, and from which priority is claimed under 35 U.S.C. §120. This application also claims benefit of priority under 35 U.S.C. §119 from Korean Patent Application No. 10-2006-0005953 filed on Jan. 19, 2006, in the Korean Intellectual Property Office. The entire contents of both of these applications are incorporated herein by reference.
BACKGROUND
1. Field of the Invention
Example embodiments of the present invention relate generally to a semiconductor memory device and methods thereof, and more particularly to a semiconductor memory device and methods of reading data from the semiconductor memory device.
2. Description of the Related Art
A synchronous dynamic random access memory (DRAM) (SDRAM) may conform with standards developed by the Joint Electron Device Engineering Circuit (JDEC). Typically, SDRAMs may be characterized by having 1) input/output (I/O) circuit synchronized with an external clock, 2) burst access, 3) multi-bank configuration, 4) command-type access, and 5) data path using pipeline technique, which are described below in greater detail.
With regard to I/O circuit synchronization, SDRAM may establish various timing parameters in multiples of a clock cycle in order to input and output signals in synchronization with an external clock. Because a control signal applied during a single clock cycle may be stored in an internal register, a received state may be maintained until the contents in the internal register are changed. A control signal (e.g., different from the clock signal) may be received in order to change the received state. For example, two or more control signals may be provided and an operating state of the SDRAM may be determined based on a status of each of the control signals. The state may be decoded by a command decoder in the SDRAM, such that other operations of the SDRAM may be performed. Accordingly, adjusting the state or start-point of an operation may be considered as a type of programming, typically referred to as a command.
With regard to burst access, a burst may generally refer to any phenomenon with a relatively high intensity and a relatively short duration. As used herein, the burst access may indicate that data input/output of a memory may be successively performed in synchronization with a clock. If an active command ACT_CMD and a row address are received at a rising edge of a clock, a memory may transition to an activated state (e.g., a first logic level, such as a higher logic level or logic “1”) and a word line may be selected by the row address. If a read command and a column address are received at the following clock, a burst operation may be carried out. Thus, a column address may be increased or incremented after a given number of clock cycles, and data may be successively or consecutively outputted.
A bank may include a plurality of memory cells that may operate independently in order to achieve a higher-speed operation via interleaving in a memory module. Memory cells in one bank may typically share both a data bus as well as address and control signal lines in common, and may operate independently with respect to another bank (e.g., each bank may have its own connection buses). Accordingly, while a data read operation may be performed with respect to a first bank, a precharge or refresh operation may be performed with respect to a second bank or a word line selecting operation may be carried out by a row address.
A pipeline may refer to a concurrent data handling structure or process wherein a data path may be divided using flip-flops or latches and a plurality of circuit blocks may be operated concurrently. That is, a data path may be divided into a plurality of independent circuit blocks by disposing two or more flip-flops or latches on the data path. Read data may be latched by one circuit block, and the latched data may be outputted to an external entity via another path. Concurrently, a new address may be received through another path or a precharge operation may be carried out.
Double Data Rate (DDR) SDRAM may input and output data or commands at both rising and falling edges of a clock. Accordingly, in an example, a data rate corresponding to a clock of 200 MHz (e.g., of a single data rate (SDR) SDRAM) may be achieved using a clock of 100 MHz. To achieve such a result, the clock signal may be set to have a duty of 50%.
The DDR SDRAM may be classified as one of DDR1 SDRAM, DDR2 SDRAM, DDR3 SRAM, etc. The DDR1 SRAM may utilize a 2-bit pre-fetch manner at input/output and may have a data burst length of 2. The DDR2 SRAM may utilize a 4-bit pre-fetch manner at input/output and may have a data burst length of 4. The DDR3 SRAM may utilize an 8-bit pre-fetch manner at input/output and may have a data burst length of 8. Herein, if a burst length is 8, then eight data may be successively inputted and outputted via one input/output terminal in synchronization with a single clock, and so on.
The DDR3 SDRAM may support a read leveling operation, which may refer to adjusting a DQS skew between a chipset and a memory chip by transferring data patterns set in a register of the memory chip to the chipset. An operation for reading the data patterns in the register may be carried out irrespective of whether normal data is stored in memory cells. Such an operation may be referred to as a “special” read operation, in contrast to a “normal” read operation.
As set forth above, the SDRAM may include data patterns read irrespective of whether normal data is stored in memory cells. The special read operation may typically be performed in order to read these data patterns. The special read operation may be said to be “indifferent” to the presence of normal data. However, data access operations such as a word line enable operation, a bit line precharge operation, may still be performed during special read operations, which may increase a duration of special read operations as well as a power consumption of the special read operations.
SUMMARY
An example embodiment of the present invention is directed to a semiconductor memory device, including a memory cell configured to store data, a storage unit configured to store at least one data pattern, a data output circuit configured to output the stored data during a first type of read operation and configured to output the at least one data pattern during a second type of read operation and an output control circuit for controlling the data output circuit such that the memory cell is not accessed during read operations of the second type.
Another example embodiment of the present invention is directed to a method of reading data from a semiconductor memory device, including storing data in a memory cell, storing at least one data pattern in a storage unit, outputting the stored data within the memory cell in response to a first type of read operation and outputting the at least one data pattern in the storage unit in response to a second type of read operation and blocking access to the memory cell during read operations of the second type.
Another example embodiment of the present invention is directed to a method of reading data from a semiconductor memory device, including storing at least one fixed data pattern within a storage unit, the at least one fixed data pattern only accessible during read operations of a first type, storing normal data within at least one memory cell, the normal data only accessible during read operations of a second type and blocking access to the at least one memory cell during an execution of read operations of the second type.
BRIEF DESCRIPTION OF THE DRAWINGS
The accompanying drawings are included to provide a further understanding of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate example embodiments of the present invention and, together with the description, serve to explain principles of the present invention.
FIG. 1 is a block diagram illustrating a semiconductor memory device according to an example embodiment of the present invention.
FIG. 2 is a conceptual diagram illustrating a mode register according to another example embodiment of the present invention.
FIG. 3 illustrates operating modes for the semiconductor memory device of FIG. 1 based on a mode register set according to another example embodiment of the present invention.
FIG. 4 is a block diagram illustrating a semiconductor memory device according to another example embodiment of the present invention.
DETAILED DESCRIPTION OF EXAMPLE EMBODIMENTS
Detailed illustrative example embodiments of the present invention are disclosed herein. However, specific structural and functional details disclosed herein are merely representative for purposes of describing example embodiments of the present invention. Example embodiments of the present invention may, however, be embodied in many alternate forms and should not be construed as limited to the embodiments set forth herein.
Accordingly, while example embodiments of the invention are susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and will herein be described in detail. It should be understood, however, that there is no intent to limit example embodiments of the invention to the particular forms disclosed, but conversely, example embodiments of the invention are to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention. Like numbers may refer to like elements throughout the description of the figures.
It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, these elements should not be limited by these terms. These terms are only used to distinguish one element from another. For example, a first element could be termed a second element, and, similarly, a second element could be termed a first element, without departing from the scope of the present invention. As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items.
It will be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. Conversely, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between” versus “directly between”, “adjacent” versus “directly adjacent”, etc.).
The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments of the invention. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises”, “comprising,”, “includes” and/or “including”, when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
FIG. 1 is a block diagram illustrating a semiconductor memory device 100 according to an example embodiment of the present invention. In the example embodiment of FIG. 1, the semiconductor memory device 100 may include a memory cell array 110, a multi-purpose register 120, a selection circuit 130, a data output circuit 140, and an output control circuit 150. The semiconductor memory device 100 may further include a row decoder 112, a column decoder and sense amplifier circuit 114 and a row command decoder 116.
In the example embodiment of FIG. 1, the memory cell array 110 may include a plurality of memory cells (not shown). In an example, one or more of the plurality of memory cells may be embodied as a DRAM cell including an NMOS transistor and a capacitor. Each of the plurality of memory cells may store “normal” data, which may be output in response to a “normal” read operation.
In the example embodiment of FIG. 1, the row decoder 112 may drive a selected word line in response to an active signal ACT, during a normal read operation. The column decoder and sense amplifier circuit 114 may sense and amplify a voltage level of a selected bit line in response to a read signal RD. The row command decoder 116 may decode an active command ACT_CMD to generate the active signal ACT, during a normal read operation. In an example, the active command ACT_CMD may be decoded by a combination of control signals, including but not limited to a chip selection signal nCS, a row address strobe signal nRAS, a column address strobe signal nCAS, a write enable signal nWE, etc. For example, the row command decoder 116 may generate the active signal ACT if the chip selection signal nCS is set to a second logic level (e.g., a lower logic level or logic “0”), the row address strobe signal nRAS is set to the second logic level, the column address strobe signal nCAS is set to the first logic level (e.g., a higher logic level or logic “1”) and the write enable signal nWE is set to the first logic level.
In the example embodiment of FIG. 1, the multi-purpose register 120 may store a plurality of data patterns. In an example, each of the plurality of data patterns may be fixed (e.g., read-only). The multi-purpose register 120 may be controlled by a mode register and may have various functionality. For example, in case of DDR3 SDRAM, the multi-purpose register 120 may be used to perform a read leveling operation. Herein, the read leveling operation refer to an operation for adjusting a DQS skew between a chipset (not shown) and a memory chip by transferring one or more of the stored plurality of data patterns in the multi-purpose register 120 to the chipset. The multi-purpose register 120 will be described in greater detail below with reference to FIGS. 2 and 3.
In the example embodiment of FIG. 1, the selection circuit 130 may output normal data stored in the memory cell array 110 or, alternatively, one or more of the plurality of data patterns stored in the multi-purpose register 120, based on an operating mode of the semiconductor memory device 100. For example, the selection circuit 130 may output normal data if a read leveling signal R/L set to the second logic level (e.g., a lower logic level or logic “0”) during a normal read operation. In an alternative example, the selection circuit 130 ma output one or more of the plurality of data patterns stored in the multi-purpose register 120 if the read leveling signal R/L is set to the first logic level (e.g., a higher logic level or logic “1”) during a special read operation.
In the example embodiment of FIG. 1, the data output circuit 140 may transfer an output of the selection circuit 130 to an external entity. Although not illustrated in FIG. 1, the data output circuit 140 may include a burst data ordering part, a latch circuit and an output data buffer.
In the example embodiment of FIG. 1, the output control circuit 150 may instruct the memory cell array 110 to output read data during a normal read operation. Alternatively, during a special read operation, the output control circuit 150 may control the circuits 130 and 140 so as to block access to the memory cell array 110 and to instead authorize an output of one or more of the plurality of data patterns stored in the multi-purpose register 120 (e.g., adjusting for latency as necessary). As illustrated in FIG. 1, the output control circuit 150 may include an MRS command decoder 151, a first logic gate 153, a column command decoder 154, a second logic gate 155, a delay locked loop circuit 156 and a latency circuit 157.
In the example embodiment of FIG. 1, the MRS command decoder 151 may decode an MRS command MRS_CMD to set a mode register 152 therein. In an example, the MRS command MRS_CMD may be decoded by any combination of a chip selection signal nCS, a row address strobe signal nRAS, a column address strobe signal nCAS, a write enable signal nWE, and the like. For example, the MRS command decoder 151 may set the mode register 152 if the chip selection signal nCS is set to the second logic level (e.g., a lower logic level or logic “0”), the row address strobe signal nRAS is set to the second logic level, the column address strobe signal nCAS is set to the second logic level and the write enable signal nWE is set to the first logic level (e.g., a higher logic level or logic “1”).
In the example embodiment of FIG. 1, the DDR3 SDRAM may include first through fourth mode registers. The first mode register may control a burst length (BL), a CAS latency (CL) and a test mode (TM). The second mode register may control a disabling or enabling of the delay locked loop circuit (DLL) 156. The third mode register may control refresh-related features. The fourth mode register may control an operation of the multi-purpose register 120.
FIG. 2 is a conceptual diagram illustrating the mode register 152 of FIG. 1 (e.g., the fourth mode register) according to another example embodiment of the present invention. Within the example embodiment of FIG. 2, (a) may designate an address field, and (b) may designate a set state of the mode register 152 according to the address field.
In the example embodiment of FIG. 2, the mode register 152 may control a normal read operation or a special read operation according to a value of an address A2. If the address A2 is set to the second logic level (e.g., a lower logic level or logic “0”), the normal read operation may be performed. Thus, normal data may be output from the memory cell array 110. In an alternative example, if the address A2 is set to the first logic level (e.g., a higher logic level or logic “1”), the special read operation may be performed. Thus, one or more of the plurality of data patterns, stored within the multi-purpose register 120 of FIG. 1, may be output.
In the example embodiment of FIG. 2, addresses A0 and A1 may be indicate a location of the multi-purpose register 120. In an example, assume that the multi-purpose register 120 includes four registers and that each of the four registers stores 8-bit data. In this example, the first to fourth registers may be determined by the addresses A1A0 of ‘00’, ‘01’, ‘10’ and ‘11’, respectively. Accordingly, if A2=‘1’, A1=‘0’, and A0=‘0’, an 8-bit data pattern may be output as stored within the first register of the multi-purpose register 120.
FIG. 3 illustrates operating modes for the semiconductor memory device 100 of FIG. 1 based on a mode register set (MRS) as illustrated in FIG. 2 according to another example embodiment of the present invention. In an example, a normal read operation may be performed if an address A2 is ‘0’, and a special read operation may be performed if the address A2 is ‘1’. Different of the data patterns stored in the multi-purpose register 120 may be outputted based on addresses A1 and A0. For example, in the example embodiment of FIG. 3, if A1=‘0’ and A0=‘0’, a read leveling data pattern for performing a read leveling operation may be output.
Returning to the example embodiment of FIG. 1, the MRS command decoder 151 may decode an MRS command MRS_CMD to set the mode register 152. The MRS command decoder 151 may activate (e.g., set to the first logic level) a corresponding register of the multi-purpose register 120 based on a value of the mode register 152. The MRS command decoder 151 may generate a read leveling signal R/L if an address field A2 of the mode register 152 is ‘1’. The read leveling signal R/L may be supplied to the selection circuit 130 and the second logic gate 155.
In the example embodiment of FIG. 1, the first logic gate 153 may generate the enable signal EN in response to the active signal ACT and the read leveling signal R/L. Herein, the active signal ACT may be generated during the normal read operation, and the read leveling signal R/L may be generated during the special read operation. The first logic gate 153 may supply the enable signal EN to the column command decoder 154 and the delay locked loop circuit 156.
In the example embodiment of FIG. 1, the column command decoder 154 may operate responsive to the enable signal EN, and may decode the read command RD_CMD to generate the read signal RD. Herein, the read command RD_CMD may be defined by a combination of the chip enable signal nCS, the row address strobe signal nRAS, the column address strobe signal nCAS, and the write enable signal nWE. For example, the command decoder 154 may generate (e.g., activate) the read signal RD if the chip selection signal nCS is set to the second logic level (e.g., a lower logic level or logic “0”), the row address strobe signal nRAS is set to the first logic level (e.g., a higher logic level or logic “1”), the column address strobe signal nCAS is set to the second logic level, and the write enable signal nWE is set to the first logic level (e.g., a higher logic level or logic “1”). The read signal RD may be supplied to the second logic gate 155 and the latency circuit 157. In an example, the read signal RD may be generated during both the normal read operation and also the special read operation.
In the example embodiment of FIG. 1, the second logic gate 155 may disable a column selection line CSL in response to the read leveling signal R/D. The second logic gate 155 may include an inverter INV and an AND gate. The inverter INV may receive the read leveling signal R/L, and the AND gate may receive the read signal R/D and an output of the inverter INV. The second logic gate 155 may enable the column selection line during the normal read operation, and may disable the column selection line CSL during the special read operation.
In the example embodiment of FIG. 1, the delay locked loop circuit 156 may operate responsive to the enable signal EN and may generate the clock signal CLK. The latency circuit 157 may operate responsive to the read signal RD and may generate a control signal CDQ such that an output of the selection circuit 130 may conform to a latency of the clock signal CLK and the mode register 152. The control signal CDQ may be supplied to the data output circuit 140.
In the example embodiment of FIG. 1, the semiconductor memory device 100 may perform one of the normal read operation and the special read operation based on a value of the mode register 152. The semiconductor memory device 100 may output one or more data patterns, stored within the multi-purpose register 120, based on the MRS command MRS_CMD (e.g., without the active command ACT_CMD) during the special read operation. Further, the semiconductor memory device 100 may generate the read leveling signal R/L so as to disable the column selection line CSL during the special read operation. The semiconductor memory device 100 may output data so as to be synchronized with the clock signal CLK (e.g., latency-matching with the clock signal CLK).
FIG. 4 is a block diagram illustrating a semiconductor memory device 200 according to another example embodiment of the present invention. In the example embodiment of FIG. 4, the semiconductor memory device 200 may include a memory cell array 210, a signature fuse circuit 220, a selection circuit 230, a data output circuit 240 and an output control circuit 250. The example embodiment of FIG. 4 may include certain elements in common with the example embodiment of FIG. 1, and, accordingly, a further description of such common elements has been omitted for the sake of brevity.
In the example embodiment of FIG. 4, the signature fuse circuit 220 may be configured to store data patterns (e.g., die position information of a fabricated wafer, lot number information, etc.). The semiconductor memory device 200 may generate a signature signal SIG for outputting data patterns in the signature fuse circuit 220 by decoding the MRS command MRS_CMD without the active command ACT_CMD during the special operation. The output control circuit 250 may disable (e.g., transition to the second logic level) the column selection signal CSL in response to the signature signal SIG. The output of the selection circuit 230 may be configured to conform with, or synchronize with, the clock signal CLK (e.g., in terms of latency). In other respects, the semiconductor memory device 200 illustrated in FIG. 4 may operate in a manner similar to the semiconductor memory device 100 as described above with respect to FIGS. 1 through 3.
In another example embodiment of the present invention, a semiconductor memory device reading “special” data patterns stored therein may reduce a duration and power consumption allocated to “normal” data retrieval (e.g., which is not required during the special data operation). Thus, a speed of the semiconductor memory device may be increased and a current consumption of the semiconductor memory device may be reduced by avoiding or reducing access to the memory cell array.
Example embodiments of the present invention being thus described, it will be obvious that the same may be varied in many ways. For example, the first and second logic levels are above-described as corresponding to a higher level and a lower logic level, respectively, in an example embodiment of the present invention. Alternatively, the first and second logic levels/states may correspond to the lower logic level and the higher logic level, respectively, in other example embodiments of the present invention.
Such variations are not to be regarded as a departure from the spirit and scope of example embodiments of the present invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims.

Claims (17)

1. A semiconductor memory device, comprising:
a memory cell array configured to store data;
a multi-purpose register configured to store a data pattern;
a data output circuit configured to output the stored data during a first output mode and output the stored data pattern during a second output mode; and
a mode register configured to set the first or second output mode according to a logic level of a portion of a content of the mode register.
2. The semiconductor memory device of claim 1, further comprising:
an output control circuit including the mode register,
wherein the output control circuit is configured to control transfer of the stored data in the memory cell array such that the stored data is not transferred to the data output circuit during the second output mode.
3. The semiconductor memory device of claim 1, wherein the data pattern is output for timing calibration.
4. The semiconductor memory device of claim 1, further comprising:
a selection circuit configured to electrically connect the data output circuit with the memory cell array during the first output mode and electrically connect the data output circuit with the multi-purpose register during the second output mode.
5. The semiconductor memory device of claim 1, wherein the multi-purpose register includes four register portions, and
wherein at least one of the four register portions is selected to output data according to an address input.
6. The semiconductor memory device of claim 5, wherein at least one another register portion of the four register portions is selected according to first and second bit of the address input.
7. The semiconductor memory device of claim 5, wherein at least one another register portion of the four register portions stores the data pattern for timing calibration.
8. The semiconductor memory device of claim 1, wherein the mode register is further configured to select the second output mode when a third bit of the address input is logic high.
9. The semiconductor memory device of claim 1, wherein the mode register is further configured to select the first output mode when a third bit of the address input is logic low.
10. The semiconductor memory device of claim 1, wherein the mode register includes:
a first register portion configured to control burst length, read burst type, column address strobe signal, CAS latency and test mode;
a second register portion configured to control disabling or enabling of a delay locked loop;
a third register portion configured to control refresh-related features; and
a fourth register portion configured to control the multi-purpose register.
11. The semiconductor memory device of claim 1, wherein the mode register is further configured to select the second output mode when a chip selection signal, a row address strobe signal and a column address strobe signal is enabled and a write enable signal is disabled.
12. A semiconductor memory device comprising:
a memory cell array configured to store data;
a multi-purpose register configured to store a data pattern for timing calibration;
a mode register set command decoder configured to include a mode register and generate a read leveling signal; and
a selection circuit configured to electrically connect the multi-purpose register and output the stored data pattern in response to the read leveling signal.
13. A semiconductor memory device, comprising:
a memory cell array configured to store data;
a multi-purpose register configured to store a data pattern for timing calibration;
a data output circuit configured to output the stored data during a first output mode and output the stored data pattern during a second output mode;
a mode register configured to set the first or second output mode according to a logic level of a portion of a content of the mode register;
a mode register set command decoder configured to include the mode register and generate a read leveling signal;
a selection circuit configured to electrically connect the multi-purpose register with the data output circuit and output the stored data pattern in response to the read leveling signal;
a delay locked loop configured to generate a clock signal; and
a latency circuit configured to control the data output circuit to control data output from the data output circuit to a latency of the clock signal.
14. A method of reading data from a semiconductor memory device, the method comprising:
setting a mode register to set a first read mode or a second read mode;
reading data from a memory cell array during the first read mode; and
reading a data pattern from a multi-purpose register for timing calibration during the second read mode.
15. The method of claim 14, wherein the setting the mode register includes:
setting a mode register set command; and
setting an address of the mode register.
16. The method of claim 15, wherein the setting the mode register set command includes:
enabling a chip selection signal, a row address strobe signal, a column address strobe signal; and
disabling a write enable signal.
17. The method of claim 15, wherein the setting the address includes:
setting a third bit of the address of the mode register to logic high.
US12/453,413 2006-01-19 2009-05-11 Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof Active 2026-12-19 US8199588B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/453,413 US8199588B2 (en) 2006-01-19 2009-05-11 Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof
US13/482,536 US8625364B2 (en) 2006-01-19 2012-05-29 Semiconductor memory devices and systems including data output circuits to output stored data during first output mode and output programmed data pattern during second output mode

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR1020060005953A KR100719377B1 (en) 2006-01-19 2006-01-19 Semiconductor memory device reading out data pattern
KR10-2006-0005953 2006-01-19
US11/589,179 US7551495B2 (en) 2006-01-19 2006-10-30 Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof
US12/453,413 US8199588B2 (en) 2006-01-19 2009-05-11 Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/589,179 Continuation US7551495B2 (en) 2006-01-19 2006-10-30 Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/482,536 Continuation US8625364B2 (en) 2006-01-19 2012-05-29 Semiconductor memory devices and systems including data output circuits to output stored data during first output mode and output programmed data pattern during second output mode

Publications (2)

Publication Number Publication Date
US20090244986A1 US20090244986A1 (en) 2009-10-01
US8199588B2 true US8199588B2 (en) 2012-06-12

Family

ID=38264618

Family Applications (3)

Application Number Title Priority Date Filing Date
US11/589,179 Active 2027-05-25 US7551495B2 (en) 2006-01-19 2006-10-30 Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof
US12/453,413 Active 2026-12-19 US8199588B2 (en) 2006-01-19 2009-05-11 Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof
US13/482,536 Active US8625364B2 (en) 2006-01-19 2012-05-29 Semiconductor memory devices and systems including data output circuits to output stored data during first output mode and output programmed data pattern during second output mode

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/589,179 Active 2027-05-25 US7551495B2 (en) 2006-01-19 2006-10-30 Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
US13/482,536 Active US8625364B2 (en) 2006-01-19 2012-05-29 Semiconductor memory devices and systems including data output circuits to output stored data during first output mode and output programmed data pattern during second output mode

Country Status (2)

Country Link
US (3) US7551495B2 (en)
KR (1) KR100719377B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120008435A1 (en) * 2010-07-06 2012-01-12 Yong-Hoon Kim Delay locked loop
US20120230125A1 (en) * 2006-01-19 2012-09-13 Samsung Electronics Co., Ltd. Semiconductor memory device and methods thereof
US9136016B2 (en) 2013-11-29 2015-09-15 SK Hynix Inc. Semiconductor memory apparatus

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101617371B (en) 2007-02-16 2014-03-26 莫塞德技术公司 Non-volatile semiconductor memory having multiple external power supplies
KR100942947B1 (en) * 2007-06-29 2010-02-22 주식회사 하이닉스반도체 Semiconductor memory device
US7742349B2 (en) 2007-06-29 2010-06-22 Hynix Semiconductor, Inc. Semiconductor memory device
US8259521B2 (en) * 2008-05-28 2012-09-04 Macronix International Co., Ltd. Method and circuit for testing a multi-chip package
JPWO2010100685A1 (en) * 2009-03-03 2012-09-06 パナソニック株式会社 Memory device and memory control device
CN102040164B (en) * 2009-10-10 2012-09-26 鞍钢股份有限公司 Method for preventing elevator from elevation caused by secondary fault
KR101138835B1 (en) 2010-10-29 2012-05-15 에스케이하이닉스 주식회사 Semiconductor memory device
KR101929983B1 (en) 2012-07-18 2018-12-17 삼성전자주식회사 Semiconductor memory device having resistive memory cells and method of testing the same
KR20150018163A (en) * 2013-08-09 2015-02-23 에스케이하이닉스 주식회사 System device
KR20190118020A (en) * 2018-04-09 2019-10-17 에스케이하이닉스 주식회사 Semiconductor apparatus
JP7121610B2 (en) * 2018-09-14 2022-08-18 ルネサスエレクトロニクス株式会社 Semiconductor device and its control method

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100200923B1 (en) 1996-01-08 1999-06-15 윤종용 Synchronous memory device with narrow data skew
KR20000056166A (en) 1999-02-13 2000-09-15 김영환 Phase delay compensation circuit and method for semiconductor memory
KR20010037848A (en) 1999-10-20 2001-05-15 윤종용 Semiconductor memory device and parallel bit test method thereof
JP2001297598A (en) 2000-04-11 2001-10-26 Toshiba Corp Semiconductor integrated circuit device, and self-test method for semiconductor integrated circuit device
JP2002025255A (en) 2000-07-04 2002-01-25 Hitachi Ltd Semiconductor storage device
JP2002109888A (en) 2000-09-28 2002-04-12 Toshiba Corp Semiconductor integrated circuit device
KR20030002161A (en) 2001-06-30 2003-01-08 주식회사 하이닉스반도체 Internal test device of semiconductor memory
KR20030034467A (en) 2001-10-23 2003-05-09 주식회사 하이닉스반도체 An output circuit for reducing skew
KR20050085785A (en) 2002-12-19 2005-08-29 인텔 코오퍼레이션 Two dimensional data eye centering for source synchronous data transfers
US7551495B2 (en) * 2006-01-19 2009-06-23 Samsung Electronics Co., Ltd. Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100546312B1 (en) * 2003-01-30 2006-01-26 삼성전자주식회사 Semiconductor memory device capable of reading the data of signature fuse through normal read operation and method for reading the data of signature fuse through normal read operation in semiconductor memory device
US6961269B2 (en) 2003-06-24 2005-11-01 Micron Technology, Inc. Memory device having data paths with multiple speeds
DE102004051345B9 (en) 2004-10-21 2014-01-02 Qimonda Ag Semiconductor device, method for inputting and / or outputting test data, and memory module
DE102004053316A1 (en) * 2004-11-04 2006-05-18 Infineon Technologies Ag Operating parameters e.g. operating temperatures, reading and selecting method for e.g. dynamic RAM, involves providing memory with registers to store parameters, where read and write access on register takes place similar to access on cell

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100200923B1 (en) 1996-01-08 1999-06-15 윤종용 Synchronous memory device with narrow data skew
KR20000056166A (en) 1999-02-13 2000-09-15 김영환 Phase delay compensation circuit and method for semiconductor memory
KR20010037848A (en) 1999-10-20 2001-05-15 윤종용 Semiconductor memory device and parallel bit test method thereof
JP2001297598A (en) 2000-04-11 2001-10-26 Toshiba Corp Semiconductor integrated circuit device, and self-test method for semiconductor integrated circuit device
JP2002025255A (en) 2000-07-04 2002-01-25 Hitachi Ltd Semiconductor storage device
JP2002109888A (en) 2000-09-28 2002-04-12 Toshiba Corp Semiconductor integrated circuit device
KR20030002161A (en) 2001-06-30 2003-01-08 주식회사 하이닉스반도체 Internal test device of semiconductor memory
KR20030034467A (en) 2001-10-23 2003-05-09 주식회사 하이닉스반도체 An output circuit for reducing skew
KR20050085785A (en) 2002-12-19 2005-08-29 인텔 코오퍼레이션 Two dimensional data eye centering for source synchronous data transfers
US7551495B2 (en) * 2006-01-19 2009-06-23 Samsung Electronics Co., Ltd. Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"JDEC Standard: DDR 3 SDRAM Standard, JESD79-3D (Revision of JESD79-3C, Nov. 2008)." JEDEC Solid State Technology Association, Sep. 2009.

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120230125A1 (en) * 2006-01-19 2012-09-13 Samsung Electronics Co., Ltd. Semiconductor memory device and methods thereof
US8625364B2 (en) * 2006-01-19 2014-01-07 Samsung Electronics Co., Ltd. Semiconductor memory devices and systems including data output circuits to output stored data during first output mode and output programmed data pattern during second output mode
US20120008435A1 (en) * 2010-07-06 2012-01-12 Yong-Hoon Kim Delay locked loop
US8351284B2 (en) * 2010-07-06 2013-01-08 Hynix Semiconductor Inc. Delay locked loop
US9136016B2 (en) 2013-11-29 2015-09-15 SK Hynix Inc. Semiconductor memory apparatus

Also Published As

Publication number Publication date
US20120230125A1 (en) 2012-09-13
US7551495B2 (en) 2009-06-23
KR100719377B1 (en) 2007-05-17
US8625364B2 (en) 2014-01-07
US20070168631A1 (en) 2007-07-19
US20090244986A1 (en) 2009-10-01

Similar Documents

Publication Publication Date Title
US8199588B2 (en) Semiconductor memory device with a data output circuit configured to output stored data during a first type of read operation and configured to output at least one data pattern during a second type of read operation and methods thereof
US7466623B2 (en) Pseudo SRAM capable of operating in continuous burst mode and method of controlling burst mode operation thereof
US6826104B2 (en) Synchronous semiconductor memory
US7251171B2 (en) Semiconductor memory and system apparatus
US6426915B2 (en) Fast cycle RAM and data readout method therefor
US8422333B2 (en) Semiconductor memory device and access method thereof
US8605518B2 (en) Semiconductor memory device, information processing system including the same, and controller
JP2000163956A (en) Semiconductor storage device
JP2009501399A (en) System and method for decoding commands based on command signals and operating conditions
US7401179B2 (en) Integrated circuit including a memory having low initial latency
US10600498B1 (en) Reduced footprint fuse circuit
US20120106227A1 (en) Integrated circuit
CN112041925B (en) System and method for controlling data strobe signal during read operation
US6636443B2 (en) Semiconductor memory device having row buffers
US9368175B2 (en) Semiconductor memory device receiving multiple commands simultaneously and memory system including the same
JP2004310989A (en) Four bit prefetch type fcram having improved control circuit for recording data and data masking method for the same
US20010030900A1 (en) Synchronous semiconductor memory
US10535395B2 (en) Memory device with improved latency and operating method thereof
US7082049B2 (en) Random access memory having fast column access
US11404104B2 (en) Semiconductor memory device capable of operating at high speed, low power environment by optimizing latency of read command and write command depending on various operation modes
JP2012113819A (en) Automatic precharge control circuit, semiconductor memory device and precharging operation control method
JP2004220678A (en) Semiconductor storage device

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12