US7960811B2 - Semiconductor devices and methods of manufacture thereof - Google Patents

Semiconductor devices and methods of manufacture thereof Download PDF

Info

Publication number
US7960811B2
US7960811B2 US12/059,457 US5945708A US7960811B2 US 7960811 B2 US7960811 B2 US 7960811B2 US 5945708 A US5945708 A US 5945708A US 7960811 B2 US7960811 B2 US 7960811B2
Authority
US
United States
Prior art keywords
width
capacitor
plate
conductive member
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US12/059,457
Other versions
US20090243036A1 (en
Inventor
Sun-OO Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to US12/059,457 priority Critical patent/US7960811B2/en
Assigned to INFINEON TECHNOLOGIES NORTH AMERICA CORP. reassignment INFINEON TECHNOLOGIES NORTH AMERICA CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KIM, SUN-OO
Assigned to INFINEON TECHNOLOGIES AG reassignment INFINEON TECHNOLOGIES AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INFINEON TECHNOLOGIES NORTH AMERICA CORP.
Publication of US20090243036A1 publication Critical patent/US20090243036A1/en
Priority to US13/100,770 priority patent/US8748257B2/en
Application granted granted Critical
Publication of US7960811B2 publication Critical patent/US7960811B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates generally to the fabrication of semiconductor devices, and more particularly to the fabrication of capacitors.
  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment, as examples. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductive layers of material over a semiconductor substrate, and patterning the various layers using lithography to form circuit components and elements thereon.
  • Capacitors are elements that are used extensively in semiconductor devices for storing an electrical charge. Capacitors essentially comprise two conductive plates separated by an insulating material. When an electric current is applied to a capacitor, electric charges of equal magnitude yet opposite polarity build up on the capacitor plates. The capacitance, or the amount of charge held by the capacitor per applied voltage, depends on a number of parameters, such as the area of the plates, the distance between the plates, and the dielectric constant value of the insulating material between the plates, as examples. Capacitors are used in applications such as electronic filters, analog-to-digital converters, memory devices, control applications, and many other types of semiconductor device applications.
  • a capacitor plate includes at least one via and at least one conductive member coupled to the at least one via.
  • the at least one conductive member comprises an enlarged region proximate the at least one via.
  • FIG. 1 shows a cross-sectional view of a semiconductor device in accordance with an embodiment of the present invention, wherein a capacitor plate is formed in a plurality of conductive material layers of the semiconductor device;
  • FIG. 2 shows a top view of a capacitor including two of the capacitor plates shown in FIG. 1 that comprise conductive members with enlarged regions proximate at least one via in accordance with an embodiment of the present invention
  • FIG. 3 shows a top view of a capacitor comprising two capacitor plates in accordance with another embodiment of the present invention, wherein the vias of the capacitor plates are staggered;
  • FIG. 4 shows a top view of a capacitor comprising two capacitor plates in accordance with yet another embodiment of the present invention, wherein the vias of the capacitor plates are alternatingly staggered about a central axis of the conductive members;
  • FIG. 5 shows a cross-sectional view of the capacitor plates shown in FIG. 4 , wherein the capacitor plates are formed in a plurality of conductive material layers of a semiconductor device;
  • FIG. 6 shows a top view of another embodiment of the present invention, wherein two or more capacitor plates are electrically coupled together in a conductive material layer of the semiconductor device;
  • FIG. 7 shows a perspective view of an embodiment of the present invention, illustrating the enlarged regions of the conductive members proximate the vias;
  • FIG. 8 shows a top view of yet another embodiment of the present invention, wherein vias of adjacent capacitor plates are staggered and wherein the enlarged regions extend towards an adjacent capacitor plate;
  • FIG. 9 shows a cross-sectional view of the semiconductor device shown in FIG. 8 .
  • Embodiments of the invention may also be implemented in other semiconductor applications such as memory devices, logic devices, power devices, and other applications that utilize capacitors, for example.
  • Capacitors may be formed in conductive material layers of semiconductor devices.
  • Metal-insulator-metal (MIM) capacitors are formed by positioning horizontal plates parallel to one another, for example.
  • VPP Vertical parallel plate
  • vias of VPP capacitors may not land exactly where they are intended to land on other portions of the capacitor plates.
  • the vias may be larger at the top than at the bottom, resulting in the larger top portions of the vias being placed closer to other capacitor plates than intended, resulting in shorts, device failures, or poor time dependent dielectric breakdown (TDDB) measurements due to the undesirably close spacing between capacitor plates near the vias.
  • Vias may not land on underlying capacitor plate portions, and the manufacturing process may be sensitive to process performance of the overlay and critical dimension (CD) variances. Furthermore, capacitance is reduced due to the larger via shapes.
  • inventions of the present invention comprise capacitors that are formed in multiple conductive layers of semiconductor devices.
  • the capacitor plates of the capacitors have a novel shape, comprising conductive members that have enlarged regions proximate vias of the capacitor plates, ensuring landing of the vias on the conductive members and providing a rugged capacitor design, to be described further herein.
  • the conductive members are locally enlarged proximate the vias, yet are not enlarged in regions of the conductive members that are not proximate the vias.
  • the enlarged regions of the conductive members make contact fully with the vias and maximize performance of capacitors formed from the capacitor plates.
  • FIG. 1 shows a cross-sectional view of a semiconductor device 100 in accordance with an embodiment of the present invention, wherein a capacitor plate 120 a (and also capacitor plate 120 b shown in a top view in FIG. 2 ) of a capacitor is formed in a plurality of conductive layers M 1 , V 1 , and M 2 of the semiconductor device 100 .
  • a workpiece 102 is provided.
  • the workpiece 102 may include a semiconductor substrate comprising silicon or other semiconductor materials and may be covered by an insulating layer, for example.
  • the workpiece 102 may also include other active components or circuits formed within and/or over the workpiece 102 , not shown.
  • the workpiece 102 may comprise silicon oxide over single-crystal silicon, for example.
  • the workpiece 102 may include other conductive layers or other semiconductor elements, e.g., transistors, diodes, etc., not shown. Compound semiconductors, GaAs, InP, Si/Ge, or SiC, as examples, may be used in place of silicon.
  • the workpiece 102 may comprise a silicon-on-insulator (SOI) or a SiGe-on-insulator substrate, as examples.
  • At least one via 108 is formed over the workpiece 102 , and at least one conductive member 106 c or 106 a is formed coupled to the at least one via 108 , wherein the at least one conductive member 106 c or 106 a comprises an enlarged region proximate the at least one via 108 , as shown in the top view of FIG. 2 .
  • a plurality of conductive members 106 a or 106 c and vias 108 may be included in the capacitor plates 120 a and 120 b in accordance with embodiments of the present invention.
  • conductive members 106 c and 106 a comprising enlarged regions proximate the vias 108 are formed both over and under the vias 108 , as shown in FIG. 1 .
  • vias 108 may be formed within only one conductive material layer V 1
  • conductive members 106 a or 106 c may be formed within only one conductive material layer M 1 or M 2 , in other embodiments, forming a capacitor plate 120 a or 120 b.
  • a damascene method may be used to form conductive material layer M 1 .
  • An insulating material 104 a comprising a dielectric material is deposited over the workpiece 102 .
  • the insulating material 104 a is also referred to herein, e.g., in the claims, as a third insulating material 104 a , for example.
  • the insulating material 104 a may comprise about 1,000 to 4,000 Angstroms, or about 5,000 Angstroms or less, of an oxide such as SiO 2 , a nitride such as Si 3 N 4 , a high-k dielectric material having a dielectric constant greater than about 3.9, a low-k dielectric material having a dielectric constant less than about 3.9, a capping layer, a hybrid inter-level dielectric (ILD), or combinations and multiple layers thereof, as examples.
  • the insulating material 104 a may comprise other dimensions and materials, for example.
  • the insulating material 104 a may be formed using chemical vapor deposition (CVD), atomic layer deposition (ALD), metal organic chemical vapor deposition (MOCVD), physical vapor deposition (PVD), a spin-on process, or jet vapor deposition (JVD), as examples, although alternatively, other methods may also be used.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • MOCVD metal organic chemical vapor deposition
  • PVD physical vapor deposition
  • JVD jet vapor deposition
  • the insulating material 104 a is patterned with a pattern for a conductive member 106 a , and then a conductive material is formed over the insulating material 104 a to fill the pattern and form the conductive member 106 a .
  • the conductive member 106 a is also referred to herein as a second conductive member or at least one second conductive member, for example.
  • the conductive member 106 a may be formed using a single damascene process, for example, wherein the insulating material 104 a is patterned using lithography and then portions of the insulating material 104 a are then etched away.
  • the conductive material is formed over the insulating material 104 a , and excess conductive material is removed from over the top surface of the insulating material 104 a using an etch process and/or a chemical-mechanical polish (CMP) process, for example.
  • CMP chemical-mechanical polish
  • the conductive member 106 a may be formed using a subtractive etch process, wherein the conductive material is deposited or formed over the workpiece 102 , and the conductive material is patterned using lithography in the shape of the conductive member 106 a .
  • the insulating material 104 a is then formed around the conductive member 106 a by depositing the insulating material 104 a over the conductive member 106 a and removing any excess insulating material 104 a from over the top surface of the conductive member 106 a , if necessary, for example.
  • the insulating material 104 a and the conductive member 106 a may be formed in a conductive material layer or a metallization layer M 1 of the semiconductor device 100 .
  • Conductive lines may be formed elsewhere on the semiconductor device 100 within the metallization layer M 1 , for example.
  • the conductive lines may be formed simultaneously with the formation of the conductive members 106 a of the capacitor plates 120 a and 120 b , for example.
  • additional etch processes, lithography processes, and lithography masks may not be required to manufacture the novel conductive member 106 a in accordance with embodiments of the present invention.
  • the pattern for the conductive member 106 a may be included in an existing mask level for the metallization layer M 1 .
  • a plurality of conductive members 106 a may be formed, e.g., simultaneously, in the metallization layer M 1 .
  • the conductive material used to form the conductive member 106 a may comprise a metal and/or a semiconductive material, for example.
  • the conductive material may comprise copper, aluminum, alloys thereof, polysilicon, amorphous silicon, or combinations or multiple layers thereof, as examples.
  • the conductive material used to form the conductive member 106 a may comprise other materials.
  • vias 108 are formed in a conductive material layer or metallization layer V 1 over the metallization layer M 1 within an insulating material 104 b , as shown in FIG. 1 .
  • the vias 108 are also referred to herein as at least one via or at least one first via, for example.
  • the metallization layer V 1 is also referred to herein as a first conductive material layer or at least one first conductive material layer, for example.
  • the vias 108 may be formed using a damascene process or using a subtractive etch process, for example, as described for the formation of the conductive members 106 a in metallization layer M 1 .
  • the vias 108 are disposed over and are coupled to the conductive members 106 a , as shown.
  • Insulating material 104 b is formed adjacent the insulating material 104 a and adjacent portions of the conductive members 106 a of conductive material layer M 1 .
  • the vias 108 may comprise a first end at a lower portion and a second end at a top portion, the second end being opposite the first end, wherein the first ends of the vias 108 are coupled to the conductive members 106 a , as shown.
  • the vias 108 may be larger at the second end than at the first end, as shown.
  • the vias 108 may comprise substantially the same dimension over the entire length, e.g., vertically, of the vias 108 , not shown.
  • the insulating material 104 b that the vias 108 are formed in may comprise similar materials and dimensions as described herein for insulating material 104 a , for example.
  • the insulating material 104 b is also referred to herein as a first insulating material.
  • the vias 108 may comprise similar materials as described herein for the conductive members 106 a , for example.
  • the insulating material 104 b and the vias 108 may comprise other materials or dimensions.
  • Conductive vias may be formed elsewhere on the semiconductor device 100 within the metallization layer V 1 , for example, not shown.
  • the conductive vias may be formed simultaneously with the formation of the vias 108 , for example.
  • additional etch processes, lithography processes and lithography masks may not be required to manufacture the novel vias 108 of the capacitor plates 120 a and 120 b in accordance with embodiments of the present invention.
  • the pattern for the vias 108 may be included in an existing mask level for the second metallization layer V 1 , for example.
  • vias 108 Only two vias 108 are shown in FIG. 1 ; however, in accordance with embodiments of the present invention, many, e.g., dozens or hundreds of vias 108 may be formed simultaneously in the metallization layer V 1 , and may be coupled to the conductive members 106 a.
  • a conductive member 106 c is formed in a conductive material layer or metallization layer M 2 disposed over the metallization layer V 1 within an insulating material 104 c , also shown in FIG. 1 .
  • the metallization layer M 2 is also referred to herein as a second conductive material layer, for example.
  • the conductive member 106 c may comprise a plurality of conductive members 106 c , as shown in FIG. 2 . Only two conductive members 106 c are shown in FIG. 2 ; alternatively, many conductive members 106 c , e.g., three or greater, may be formed in parallel.
  • the conductive member 106 c is also referred to herein as at least one conductive member or at least one first conductive member, for example.
  • the conductive members 106 c may be formed using a damascene process or using a subtractive etch process, for example, as described for the conductive members 106 a in the first metallization layer M 1 .
  • the conductive members 106 c are disposed over and are coupled to the vias 108 , as shown in the cross-sectional view in FIG. 1 and in the top view of FIG. 2 .
  • the conductive members 106 c may be coupled to the second end or upper ends of the vias 108 , for example.
  • the conductive members 106 c comprise an enlarged region proximate each via 108 , as shown in the top view of FIG. 2 .
  • the enlarged region of the conductive members 106 c is wider than the vias 108 in the top view of the semiconductor device 100 .
  • Other regions of the conductive members 106 c are not enlarged.
  • the conductive members 106 c may be coupled to the vias 108 in a substantially central region of the enlarged regions of the conductive members 106 c , as shown.
  • the conductive members 106 a also comprise an enlarged region proximate each via 108 , not shown.
  • the conductive members 106 a may comprise substantially the same shape as the conductive members 106 c shown in the top view of FIG. 2 , for example.
  • FIG. 2 shows a top view of a capacitor including two capacitor plates 120 a and 120 b that comprise conductive members 106 c (and also optionally conductive members 106 a , not shown) having enlarged regions proximate vias 108 in accordance with an embodiment of the present invention.
  • the conductive members 106 c are shown in the top view of FIG. 2 ; however, the conductive members 106 a are not shown in FIG. 2 (refer again to FIG. 1 ), for example.
  • the vias 108 are shown in phantom in FIG. 2 .
  • the conductive members 106 a and 106 c may comprise a width or dimension d 1 in regions that are not proximate the vias 108 , and the vias 108 may comprise a width or dimension d 2 , wherein dimension d 2 is substantially the same as dimension d 1 at least at a top portion of the vias 108 .
  • Dimensions d 1 and d 2 may comprise a minimum feature size or CD of the semiconductor device 100 , although alternatively, dimensions d 1 and d 2 may comprise other dimensions greater than the CD.
  • the width of the enlarged regions of the conductive members 106 a and 106 c comprises a dimension d 3 that is greater than dimensions d 1 and d 2 .
  • Adjacent conductive members 106 a and 106 c within a conductive material layer M 1 or M 2 may also be spaced apart from one another by substantially by the minimum feature size of the semiconductor device 100 or by other dimensions, for example.
  • Dimension d 3 is also referred to herein as a first width, and dimension d 2 is also referred to as a second width, wherein the first width d 3 is greater than the second width d 2 .
  • the first width d 3 may be greater than the second width d 2 by about 20% or less of the second width in some embodiments, for example, although alternatively, the first width d 3 may be greater than the second width d 2 by greater than 20%.
  • Dimension d 4 represents the amount on the sides (e.g., the left and right sides and also portions of the top and bottom sides, shown in FIG. 2 ) of the vias 108 that the width of the enlarged region is greater than the width d 2 of the vias 108 and the thinner, non-enlarged regions of the conductive members 106 a and 106 c having dimension d 1 .
  • Dimension d 4 may comprise about 10% or less than the width d 2 of the vias 108 or the width d 1 of the non-enlarged regions of the conductive members 106 a or 106 c , for example, although alternatively, dimension d 4 may comprise greater than 10% of dimensions d 1 and/or d 2 .
  • Regions other than the enlarged regions of the conductive members 106 a or 106 c may have a dimension d 1 that may comprise a width comprising substantially the minimum feature size, in some embodiments.
  • the insulating material 104 c may comprise similar materials and dimensions as described for insulating material 104 a , for example. Insulating material 104 c is also referred to herein as a second insulating material. The second insulating material 104 c is disposed adjacent the first insulating material 104 b , as shown.
  • the conductive members 106 c may comprise similar materials as described for the conductive members 106 a , for example. Alternatively, the second insulating material 104 c and the conductive members 106 c may comprise other materials or dimensions.
  • Conductive lines may be formed elsewhere on the semiconductor device 100 within the metallization layer M 2 , for example, not shown.
  • the conductive lines may be formed simultaneously with the formation of the conductive members 106 c of the capacitor plates 120 a and 120 b , for example.
  • additional etch processes, lithography processes, and lithography masks may not be required to manufacture the novel conductive members 106 c in accordance with embodiments of the present invention; rather, the conductive member 106 c pattern may be included in an existing mask level for the metallization layer M 2 , for example.
  • FIG. 1 Only one conductive member 106 c is shown in FIG. 1 ; however, in accordance with embodiments of the present invention, a plurality of conductive members 106 c are formed, e.g., simultaneously, in the metallization layer M 2 (see FIG. 2 ).
  • the conductive members 106 c and the vias 108 may be simultaneously formed using a dual damascene process.
  • the first insulating material 104 b and the second insulating material 104 c may be deposited over the workpiece 102 , e.g., over the conductive material layer M 1 , if present, and two lithography masks and etch processes may be used to form patterns in the first insulating material 104 b and the second insulating material 104 c for the vias 108 and the conductive members 106 c , respectively, for example.
  • the patterns for the vias 108 and the conductive members 106 c are then simultaneously filled with a conductive material using one deposition step, and excess conductive material is removed using an etch process and/or CMP process, leaving the vias 108 and the conductive members 106 c formed within the insulating materials 104 b and 104 c , respectively.
  • the metallization or conductive material layers M 1 , V 1 , and M 2 may comprise conductive material layers M x , V x , and/or M (x+1) disposed at various locations of a semiconductor device 100 .
  • layer M 1 may comprise a first metallization layer, e.g., the first layer formed in a back-end-of the line (BEOL) process.
  • layer M 1 may comprise a second or greater metallization layer, disposed above and over previously formed metallization layers.
  • layers M 1 , V 1 , and M 2 may comprise conductive material layers formed in a front-end-of the line (FEOL) process, for example.
  • Capacitor plates 120 a and 120 b are shown in FIGS. 1 and 2 being formed in three conductive material layers M 1 , V 1 , and M 2 .
  • the capacitor plates 120 a and 120 b may also be formed in greater than three conductive material layers M 1 , V 1 , and M 2 , e.g., disposed under conductive material layer M 1 or over conductive material layer M 2 .
  • the capacitor plates 120 a and 120 b may comprise a plurality of alternating layers of conductive members 106 a or 106 c and vias 108 coupled together to form conductive capacitor plates 120 a and 120 b , for example.
  • the conductive members 106 a , vias 108 , and conductive members 106 c form capacitor plates 120 a and 120 b of a capacitor in accordance with embodiments of the present invention.
  • Two capacitor plates 120 a and 120 b may be formed proximate one another within the insulating materials 104 a , 104 b , and 104 c , forming a capacitor, in accordance with embodiments of the present invention.
  • Portions of the insulating materials 104 a , 104 b , and 104 c between the plates 120 a and 120 b function as a capacitor dielectric in these embodiments.
  • Two or more capacitor plates 120 a and/or 120 b may be coupled together to form a single capacitor plate, to be described further herein.
  • the conductive members 106 a or the conductive members 106 c may comprise similar sizes and dimensions as other conductive features formed in the same conductive material layer, for example, in these embodiments.
  • the thinner, non-enlarged regions of conductive members 106 a and 106 c comprising a width d 1 may comprise substantially the same dimension as conductive lines formed elsewhere in conductive material layers M 1 and M 2 , for example.
  • the conductive members 106 a and the conductive members 106 c may comprise the same shape and dimension for a single capacitor plate 120 a or 120 b , for example.
  • the conductive members 106 a and the conductive members 106 c may comprise different shapes and dimensions for a single capacitor plate 120 a or 120 b , for example.
  • the conductive members 106 a and the conductive members 106 c may comprise the same or different shapes and dimensions for various capacitor plates 120 a or 120 b of a single capacitor or across the surface of a semiconductor device 100 , for example.
  • the conductive members 106 a and 106 c may extend (e.g., vertically, in the top view shown in FIG. 2 ) several nm to several or hundreds of ⁇ m or greater, for example.
  • a plurality of the vias 108 are disposed along the length of the conductive members 106 a and 106 c.
  • the plurality of vias 108 of the first capacitor plate 120 a is disposed proximate the plurality of vias 108 of the second capacitor plate 120 b .
  • One via 108 of the first plate 120 a is disposed proximate or adjacent, e.g., aligned on an x axis in the view shown in FIG. 2 with a via 108 of the second plate 120 b .
  • the vias 108 of adjacent plates 120 a and 120 b may alternatively be staggered, in accordance with embodiments of the present invention.
  • FIG. 3 shows a top view of a capacitor comprising two capacitor plates 220 a and 220 b in accordance with another embodiment of the present invention, wherein the vias 208 of the capacitor plates 220 a and 220 b are staggered.
  • the exemplary and alternative materials and dimensions described for the conductive members 106 c in the description for FIGS. 1 and 2 may also be used for the conductive members 206 c shown in FIG. 3 .
  • vias 208 in adjacent plates 220 a and 220 b are staggered.
  • the vias 208 of the first plate 220 a are staggered with respect to the vias 208 of the second plate 220 a .
  • vias 208 of capacitor plate 202 a are disposed adjacent or proximate the thinner, non-enlarged regions of conductive members 206 c of capacitor plate 220 b having dimension d 1 .
  • vias 208 of capacitor plate 220 b are disposed adjacent or proximate the thinner, non-enlarged regions of conductive members 206 c of capacitor plate 220 a having dimension d 1 .
  • the enlarged regions of the conductive members 206 c proximate vias 208 in the adjacent plates 220 b and 220 a are also placed proximate the thinner, non-enlarged regions of conductive members 206 c of the adjacent capacitor plates 220 a and 220 b , respectively, for example.
  • the capacitor plates 220 a and 220 b may be placed closer together in this embodiment, due to the staggered formation of the vias 208 and the enlarged regions of the conductive members 206 c , providing increased capacitance.
  • FIG. 4 shows a top view of a capacitor comprising two capacitor plates 320 a and 320 b in accordance with yet another embodiment of the present invention, wherein the vias 308 of the capacitor plates 320 a and 320 b are alternatingly staggered about a central axis 310 of the conductive members 306 e .
  • FIG. 5 shows a cross-sectional view of the capacitor plates 320 a and 320 b shown in FIG.
  • FIG. 4 shows a view wherein two or more capacitor plates 320 a and 320 b are connected by conductive lines
  • FIG. 7 shows a perspective view of the embodiment shown in FIG. 4 implemented in three conductive material layers of the semiconductor device 300 .
  • like numerals are used for the various elements that were used to describe the previous figures, and to avoid repetition, each reference number shown in FIG. 4 is not described again in detail herein.
  • vias 308 b and 308 d comprise similar materials and dimensions and are formed by similar methods, as were described for vias 108 of FIGS. 1 and 2 .
  • Vias 308 b and 308 d are formed in conductive material layers V 1 and V x , respectively, which are disposed between conductive material layers M 1 , M 2 , and M x .
  • Conductive members 306 e having enlarged regions proximate vias 308 d are formed over vias 308 d in conductive material layer M x .
  • Conductive members 306 e comprise similar materials and dimensions and are formed by similar methods as were described for conductive members 106 a and 106 c of FIGS. 1 and 2 .
  • the capacitor plates 320 a and 320 b may also include additional vias 308 x and conductive members 306 x (not shown) formed in additional conductive material layers V(x+1) and M(x+1) of the semiconductor device 300 (also not shown), for example.
  • the central axis 310 shown in FIG. 4 is disposed in a central region of the conductive members 306 e (and also conductive members 306 a and 306 c , not shown). More particularly, for example, the central axis 310 is disposed in the center of the thinner, non-enlarged regions of the conductive members 306 a , 306 c , and 306 e .
  • One edge of the vias 308 d (and also vias 308 b ) is disposed along the central axis 310 and the other edge of the vias 308 d is disposed alternatingly to the right and to the left of the central axis 310 .
  • the top via 308 d of capacitor plate 320 a has a left edge that is aligned with and adjacent to the central axis 310 of the capacitor plate 320 a .
  • the right edge of the top via 308 d is disposed to the right of the central axis 310 .
  • the enlarged region of the conductive members 306 a , 306 c , and 306 e proximate the top via 308 d extends towards the right to cover the vias 308 d completely, and by an excessive amount having dimension d 4 .
  • the middle via 308 d of capacitor plate 320 a has a right edge that is aligned with and adjacent to the central axis 310 of the capacitor plate 320 a .
  • the left edge of the middle via 308 d is disposed to the left of the central axis 310 .
  • the enlarged region of the conductive members 306 a , 306 c , and 306 e proximate the middle via 308 d extends towards the left to cover the middle via 308 d completely and by an excess amount, dimension d 4 .
  • the remainder of the vias 308 d of the capacitor plates 320 a and 320 b are placed in a similar, array-like fashion.
  • the conductive members 306 e and vias 308 d are positioned in a serpentine-like, meandering shape in this embodiment.
  • the vias may be offset from the central axis 310 and not aligned with or adjacent to the central axis 310 , as shown in FIG. 6 , to be described further herein.
  • the capacitor plates 320 a and 320 b may advantageously be placed apart by substantially the same distance or dimension d 5 along their entire length.
  • the conductive members 306 e (and also 306 a and 306 c ) of the first capacitor plate 320 a and the conductive members 306 a , 306 c , and 306 e of the second capacitor plate 320 b may be spaced apart by substantially the same distance comprising dimension d 5 along an entire length of the conductive members 306 a , 306 c , and 306 e of the first capacitor plate 320 a and the conductive members 306 a , 306 c , and 306 e of the second capacitor plate 320 b , for example.
  • Dimension d 5 may comprise a minimum feature size of the semiconductor device 300 , or alternatively, dimension d 5 may comprise other values, e.g., being larger or smaller than the minimum feature size or CD.
  • FIG. 6 shows a top view of another embodiment of the present invention, wherein two or more capacitor plates 320 a or 320 b are electrically coupled together.
  • four capacitor plates are shown: two first plates 320 a that are coupled together by a conductive line 312 a , and two second plates 320 b that are coupled together by a conductive line 312 b .
  • the two first plates 320 a and the two second plates 320 b are coupled together in parallel by the conductive lines 312 a and 312 b , respectively.
  • Connecting a plurality of plates 320 a and 320 b together in parallel as shown in an interwoven or interleaved pattern may result in a capacitor with increased capacitance, for example.
  • the two or more capacitor plates 320 a or 320 b may be coupled together by conductive line 312 a or 312 b formed in the same conductive material layer that conductive members 306 e (or 306 a or 306 c ) are formed in or in the conductive material layers that the vias 308 b and 308 d are formed in, for example.
  • the two or more capacitor plates 320 a or 320 b may also be coupled together by conductive lines formed in a conductive material layer adjacent or proximate the conductive material layers that the conductive members 306 a , 306 c , and 306 e , or vias 308 b and 308 d are formed in, for example, not shown.
  • a plurality of the other capacitor plates 120 a , 120 b , 220 a , and 220 b described herein and 420 , 420 b , 420 c , and 420 d to be described herein may also be similarly coupled together in parallel by conductive lines 312 a or 312 b , for example, not shown.
  • the vias 308 d within a single capacitor plate 320 a or 320 b are staggered, e.g., in the vertical direction.
  • the vias 308 d are offset from the central axis 310 and are not aligned with or adjacent to a central axis of the conductive members 306 e . Rather, each via 308 d is staggered by about 20% or less alternatingly to the right and left of the conductive members 306 e .
  • the enlarged regions of the conductive members 306 e are also staggered by about 20% or less alternatingly to the right and left of the conductive members 306 e , as shown.
  • the capacitor plates 320 a and 320 b may also include a plurality of alternating layers of vias 308 e and conductive members 306 e comprising the staggered structure shown in FIG. 6 , for example.
  • FIG. 7 shows a perspective view of the embodiment of the present invention shown in FIGS. 4 and 5 , formed in three conductive material layers M 2 , V x , and M x , illustrating the enlarged regions of the conductive members 306 e and 306 c proximate the vias 308 d .
  • novel enlarged regions of the conductive members 306 c and 306 e having a wider dimension d 3 than the via 308 d width ensure the landing of the vias 308 d with other portions of the capacitor plates 320 a and 320 b , e.g., the landing of the vias 308 d on the enlarged regions of underlying conductive members 306 c , and also the landing of the conductive members 306 e on the underlying vias 308 d.
  • FIG. 8 shows yet another embodiment of the present invention, wherein vias 408 d (and also vias 408 b ) of adjacent capacitor plates 420 a and 420 b , and 420 c and 420 d , are staggered, and wherein the enlarged regions of the conductive members 406 e (and also conductive members 406 a and 406 c ) extend towards an adjacent capacitor plate.
  • FIG. 9 shows a cross-sectional view of the semiconductor device 400 shown in FIG. 8 . Again, like numerals are used for the various elements that were used to describe the previous figures, and to avoid repetition, each reference number shown in FIGS. 8 and 9 is not described again in detail herein.
  • the plurality of vias 408 b and 408 d of the first plate 420 a are staggered with respect to the plurality of vias 408 b and 408 d of the second plate 420 b .
  • the plurality of vias 408 b and 408 d of first plate 420 c are staggered with respect to the plurality of vias 408 b and 408 d of second plate 420 d.
  • the enlarged regions of the conductive members 406 a , 406 c , and 406 e are disposed so that they extend towards only one adjacent capacitor plate 420 a , 420 b , 420 c , or 420 d .
  • One side of the conductive members 406 a , 406 c , and 406 e is substantially straight.
  • the enlarged regions of the conductive members 406 a , 406 c , and 406 e of capacitor plate 420 a extend to the right towards capacitor plate 420 b
  • the enlarged regions of the conductive members 406 a , 406 c , and 406 e of capacitor plate 420 b extend to the left towards capacitor plate 420 a .
  • the left side of capacitor plate 420 a is substantially straight, and the right side of capacitor plate 420 b is substantially straight.
  • the enlarged regions of the conductive members 406 a , 406 c , and 406 e of capacitor plate 420 c extend towards capacitor plate 420 d
  • the enlarged regions of the conductive members 406 a , 406 c , and 406 e of capacitor plate 420 d extend towards capacitor plate 420 c
  • the left side of capacitor plate 420 c is substantially straight, and the right side of capacitor plate 420 d is substantially straight.
  • the capacitor plates 420 a and 420 b , and 420 c and 420 d may be spaced apart by substantially the same distance or dimension d 6 along the entire length of the capacitor plates 420 a , 420 b , 420 c , and 420 d .
  • Dimension d 6 may comprise a minimum feature size of the semiconductor device 400 , or alternatively, dimension d 6 may comprise other values, e.g., being larger or smaller than the minimum feature size.
  • first plates 420 a and 420 c and second plates 420 b and 420 d may also be coupled together by conductive lines such as conductive lines 312 a and 312 b shown in FIG. 6 , not shown in the figures.
  • an array of capacitor plates 420 a , 420 b , 420 c , and/or 420 d comprising the conductive members 406 a , 406 c , and/or 406 e having enlarged regions proximate vias 408 b and/or 408 d may be formed across a portion of a semiconductor workpiece 402 , for example.
  • the vias 408 b and/or 408 d and the enlarged regions of the conductive members 406 a , 406 c , and/or 406 e may be staggered, and the capacitor plates 420 a , 420 b , 420 c , and/or 420 d may be interwoven or interleaved.
  • the capacitor plates 420 a , 420 b , 420 c , and/or 420 d may be spaced apart to achieve a desired capacitance and to achieve a more efficient use of space on a chip or semiconductor device 400 , for example.
  • the manufacturing process for the semiconductor devices 100 , 200 , 300 , and 400 is then continued to complete the fabrication of the semiconductor devices 100 , 200 , 300 , and 400 .
  • additional insulating material layers and conductive material layers may be formed over the novel capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d and may be used to interconnect the various components of the semiconductor devices 100 , 200 , 300 , and 400 .
  • Embodiments of the present invention include the novel capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d , capacitors including the capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d , and semiconductor devices 100 , 200 , 300 , and 400 including the novel capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d described herein.
  • Embodiments of the present invention also include methods of fabricating the semiconductor devices 100 , 200 , 300 , and 400 and capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d described herein, for example.
  • the novel capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d comprise three-dimensional structures that are formed in multiple conductive material layers M 1 , V 1 , M 2 , V x , and M x of a semiconductor device 100 , 200 , 300 , and 400 .
  • the conductive members 106 a , 106 c , 206 a , 206 c , 306 a , 306 c , 306 e , 406 a , 406 c , or 406 e coupled to vias 108 , 208 , 308 b , 308 d , 408 b , or 408 d provide a novel capacitor plate 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d shape that provides flexibility in the placement and shaping of capacitors of semiconductor devices 100 , 200 , 300 , and 400 .
  • portions of the capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d and the spacing between them may be ground-rule based, comprising a width of a minimum feature size of a semiconductor device 100 , 200 , 300 , and 400 , achieving a higher capacitance value, for example.
  • the capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d described herein may be placed in series or in parallel.
  • placing the capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d in series reduces the overall capacitance of the capacitors comprised of the capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d .
  • capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d in parallel increases the overall capacitance of the capacitors comprised of the capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d.
  • the capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d may include additional vias 108 , 208 , 308 b , 308 d , 408 b , or 408 d and conductive members 106 a , 106 c , 206 a , 206 c , 306 a , 306 c , 306 e , 406 a , 406 c , or 406 e coupled to and disposed above and/or below the conductive members 106 a , 106 c , 206 a , 206 c , 306 a , 306 e , 406 a , or 406 e , not shown.
  • the vias 108 , 208 , 308 b , 308 d , 408 b , and 408 d and conductive members 106 a , 106 c , 206 a , 206 c , 306 a , 306 c , 306 e , 406 a , 406 c , and 406 e may be formed in multiple conductive material layers M 1 , V 1 , M 2 , V x , and M x , or in every conductive material layer M 1 , V 1 , M 2 , V x , and M x , of a semiconductor device 100 , 200 , 300 , and 400 , for example.
  • Advantages of embodiments of the present invention include providing novel capacitor plate 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d structures and novel capacitors for semiconductor devices 100 , 200 , 300 , and 400 .
  • a plurality of the capacitors may be arranged in an array or other shapes, and may be accessed using addressing, for example.
  • the capacitors may be electrically connected to functional regions of the semiconductor device 100 , 200 , 300 , or 400 and/or may be used as spare capacitors, providing redundancy in an integrated circuit, for example.
  • At least portions of the vias 108 , 208 , 308 b , 308 d , 408 b , and 408 d and/or conductive members 106 a , 106 c , 206 a , 206 c , 306 a , 306 c , 306 e , 406 a , 406 c , and 406 e may have substantially the same or similar dimensions as other interconnect features or devices such as conductive lines and vias formed elsewhere in the conductive material layers M 1 , V 1 , M 2 , V x , and M x of the semiconductor devices 100 , 200 , 300 , and 400 , so that capacitors formed from the novel capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d are easily integratable into existing semiconductor device 100
  • the locally enlarged landing areas e.g., the enlarged regions of the conductive members 106 a , 106 c , 206 a , 206 c , 306 a , 306 c , 306 e , 406 a , 406 c , and 406 e , provide for landing and formation on vias 108 , 208 , 308 b , 308 d , 408 b , and 408 d at the bottom and top regions of the vias of about 1.3 ⁇ to 2.0 ⁇ the ground rule value in some embodiments.
  • the capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d may be symmetrically formed, which provides consistent repetitive manufacturing processes for connecting to vias 108 , 208 , 308 b , 308 d , 408 b , and 408 d , and which also provides design convenience.
  • the capacitors formed from the novel capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d are small, fast, and low in complexity and cost.
  • the properties of the capacitors may be tuned by adjusting the capacitor dielectric thickness and materials, and by array arrangement of the capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d , as examples.
  • the capacitor plate 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d designs described herein provide rugged shapes that increase via top CD area and overlay margin by providing a modified landing area that is larger locally, proximate the vias 108 , 208 , 308 b , 308 d , 408 b , and 408 d , e.g., at the enlarged regions of the conductive members 106 a , 106 c , 206 a , 206 c , 306 a , 306 c , 306 e , 406 a , 406 c , and 406 e .
  • a larger amount of capacitance e.g., about 20% or greater in some designs, is achievable in capacitors by the use of the capacitors plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d described herein, without degradation of the manufacturing process flow or device yields.
  • Capacitors formed from the capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d may comprise vertical natural capacitors having a ground rule-based design that are cost and area-efficient, requiring no additional lithography masks to manufacture. Capacitors having improved TDDB are achievable by embodiments of the present invention.
  • the novel capacitor plate 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d shapes allow the placement of the capacitor plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d closer together, increasing the capacitance of capacitors formed from the plates 120 a , 120 b , 220 a , 220 b , 320 a , 320 c , 420 a , 420 b , 420 c , and/or 420 d.
  • the vias 108 , 208 , 308 b , 308 d , 408 b , and 408 d may be staggered or non-staggered, which is advantageous in applications where the vias 108 , 208 , 308 b , 308 d , 408 b , and 408 d may be positioned neighboring or disposed between different ground rule levels, such as proximate thin wiring (having a minimum feature size), 2 ⁇ wiring (having 2 ⁇ the minimum feature size), and/or 4 ⁇ wiring (with 4 ⁇ the minimum feature size), for example.
  • proximate thin wiring having a minimum feature size
  • 2 ⁇ wiring having 2 ⁇ the minimum feature size
  • 4 ⁇ wiring with 4 ⁇ the minimum feature size

Abstract

Capacitor plates, capacitors, semiconductor devices, and methods of manufacture thereof are disclosed. In one embodiment, a capacitor plate includes at least one via and at least one conductive member coupled to the at least one via. The at least one conductive member comprises an enlarged region proximate the at least one via.

Description

TECHNICAL FIELD
The present invention relates generally to the fabrication of semiconductor devices, and more particularly to the fabrication of capacitors.
BACKGROUND
Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment, as examples. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductive layers of material over a semiconductor substrate, and patterning the various layers using lithography to form circuit components and elements thereon.
Capacitors are elements that are used extensively in semiconductor devices for storing an electrical charge. Capacitors essentially comprise two conductive plates separated by an insulating material. When an electric current is applied to a capacitor, electric charges of equal magnitude yet opposite polarity build up on the capacitor plates. The capacitance, or the amount of charge held by the capacitor per applied voltage, depends on a number of parameters, such as the area of the plates, the distance between the plates, and the dielectric constant value of the insulating material between the plates, as examples. Capacitors are used in applications such as electronic filters, analog-to-digital converters, memory devices, control applications, and many other types of semiconductor device applications.
What are needed in the art are improved methods of fabricating capacitors in semiconductor devices and structures thereof.
SUMMARY OF THE INVENTION
Technical advantages are generally achieved by embodiments of the present invention, which provide novel methods of manufacturing capacitor plates, capacitors, semiconductor devices, and structures thereof.
In accordance with an embodiment of the present invention, a capacitor plate includes at least one via and at least one conductive member coupled to the at least one via. The at least one conductive member comprises an enlarged region proximate the at least one via.
The foregoing has outlined rather broadly the features and technical advantages of embodiments of the present invention in order that the detailed description of the invention that follows may be better understood. Additional features and advantages of embodiments of the invention will be described hereinafter, which form the subject of the claims of the invention. It should be appreciated by those skilled in the art that the conception and specific embodiments disclosed may be readily utilized as a basis for modifying or designing other structures or processes for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
FIG. 1 shows a cross-sectional view of a semiconductor device in accordance with an embodiment of the present invention, wherein a capacitor plate is formed in a plurality of conductive material layers of the semiconductor device;
FIG. 2 shows a top view of a capacitor including two of the capacitor plates shown in FIG. 1 that comprise conductive members with enlarged regions proximate at least one via in accordance with an embodiment of the present invention;
FIG. 3 shows a top view of a capacitor comprising two capacitor plates in accordance with another embodiment of the present invention, wherein the vias of the capacitor plates are staggered;
FIG. 4 shows a top view of a capacitor comprising two capacitor plates in accordance with yet another embodiment of the present invention, wherein the vias of the capacitor plates are alternatingly staggered about a central axis of the conductive members;
FIG. 5 shows a cross-sectional view of the capacitor plates shown in FIG. 4, wherein the capacitor plates are formed in a plurality of conductive material layers of a semiconductor device;
FIG. 6 shows a top view of another embodiment of the present invention, wherein two or more capacitor plates are electrically coupled together in a conductive material layer of the semiconductor device;
FIG. 7 shows a perspective view of an embodiment of the present invention, illustrating the enlarged regions of the conductive members proximate the vias;
FIG. 8 shows a top view of yet another embodiment of the present invention, wherein vias of adjacent capacitor plates are staggered and wherein the enlarged regions extend towards an adjacent capacitor plate; and
FIG. 9 shows a cross-sectional view of the semiconductor device shown in FIG. 8.
Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the embodiments of the invention and are not necessarily drawn to scale.
DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that the present invention provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
The present invention will be described with respect to embodiments in specific contexts, namely implemented in CMOS device applications. Embodiments of the invention may also be implemented in other semiconductor applications such as memory devices, logic devices, power devices, and other applications that utilize capacitors, for example.
Capacitors may be formed in conductive material layers of semiconductor devices. Metal-insulator-metal (MIM) capacitors are formed by positioning horizontal plates parallel to one another, for example. Vertical parallel plate (VPP) capacitors are formed by positioning vertical plates parallel to one another in multiple conductive material layers. However, vias of VPP capacitors may not land exactly where they are intended to land on other portions of the capacitor plates. As fabricated, the vias may be larger at the top than at the bottom, resulting in the larger top portions of the vias being placed closer to other capacitor plates than intended, resulting in shorts, device failures, or poor time dependent dielectric breakdown (TDDB) measurements due to the undesirably close spacing between capacitor plates near the vias. Vias may not land on underlying capacitor plate portions, and the manufacturing process may be sensitive to process performance of the overlay and critical dimension (CD) variances. Furthermore, capacitance is reduced due to the larger via shapes.
Thus, what are needed in the art are improved methods of manufacturing capacitor plates and capacitors in semiconductor devices.
These and other problems are generally solved or circumvented, and technical advantages are generally achieved, by embodiments of the present invention, which comprise capacitors that are formed in multiple conductive layers of semiconductor devices. The capacitor plates of the capacitors have a novel shape, comprising conductive members that have enlarged regions proximate vias of the capacitor plates, ensuring landing of the vias on the conductive members and providing a rugged capacitor design, to be described further herein. The conductive members are locally enlarged proximate the vias, yet are not enlarged in regions of the conductive members that are not proximate the vias. The enlarged regions of the conductive members make contact fully with the vias and maximize performance of capacitors formed from the capacitor plates.
FIG. 1 shows a cross-sectional view of a semiconductor device 100 in accordance with an embodiment of the present invention, wherein a capacitor plate 120 a (and also capacitor plate 120 b shown in a top view in FIG. 2) of a capacitor is formed in a plurality of conductive layers M1, V1, and M2 of the semiconductor device 100. To manufacture the semiconductor device 100, first, a workpiece 102 is provided. The workpiece 102 may include a semiconductor substrate comprising silicon or other semiconductor materials and may be covered by an insulating layer, for example. The workpiece 102 may also include other active components or circuits formed within and/or over the workpiece 102, not shown. The workpiece 102 may comprise silicon oxide over single-crystal silicon, for example. The workpiece 102 may include other conductive layers or other semiconductor elements, e.g., transistors, diodes, etc., not shown. Compound semiconductors, GaAs, InP, Si/Ge, or SiC, as examples, may be used in place of silicon. The workpiece 102 may comprise a silicon-on-insulator (SOI) or a SiGe-on-insulator substrate, as examples.
At least one via 108 is formed over the workpiece 102, and at least one conductive member 106 c or 106 a is formed coupled to the at least one via 108, wherein the at least one conductive member 106 c or 106 a comprises an enlarged region proximate the at least one via 108, as shown in the top view of FIG. 2. A plurality of conductive members 106 a or 106 c and vias 108 may be included in the capacitor plates 120 a and 120 b in accordance with embodiments of the present invention.
In some embodiments, conductive members 106 c and 106 a comprising enlarged regions proximate the vias 108 are formed both over and under the vias 108, as shown in FIG. 1. However, alternatively, vias 108 may be formed within only one conductive material layer V1, and conductive members 106 a or 106 c may be formed within only one conductive material layer M1 or M2, in other embodiments, forming a capacitor plate 120 a or 120 b.
To manufacture the embodiment of the invention shown in FIG. 1, a damascene method may be used to form conductive material layer M1. An insulating material 104 a comprising a dielectric material is deposited over the workpiece 102. The insulating material 104 a is also referred to herein, e.g., in the claims, as a third insulating material 104 a, for example. The insulating material 104 a may comprise about 1,000 to 4,000 Angstroms, or about 5,000 Angstroms or less, of an oxide such as SiO2, a nitride such as Si3N4, a high-k dielectric material having a dielectric constant greater than about 3.9, a low-k dielectric material having a dielectric constant less than about 3.9, a capping layer, a hybrid inter-level dielectric (ILD), or combinations and multiple layers thereof, as examples. Alternatively, the insulating material 104 a may comprise other dimensions and materials, for example. The insulating material 104 a may be formed using chemical vapor deposition (CVD), atomic layer deposition (ALD), metal organic chemical vapor deposition (MOCVD), physical vapor deposition (PVD), a spin-on process, or jet vapor deposition (JVD), as examples, although alternatively, other methods may also be used.
The insulating material 104 a is patterned with a pattern for a conductive member 106 a, and then a conductive material is formed over the insulating material 104 a to fill the pattern and form the conductive member 106 a. The conductive member 106 a is also referred to herein as a second conductive member or at least one second conductive member, for example. The conductive member 106 a may be formed using a single damascene process, for example, wherein the insulating material 104 a is patterned using lithography and then portions of the insulating material 104 a are then etched away. The conductive material is formed over the insulating material 104 a, and excess conductive material is removed from over the top surface of the insulating material 104 a using an etch process and/or a chemical-mechanical polish (CMP) process, for example.
Alternatively, the conductive member 106 a may be formed using a subtractive etch process, wherein the conductive material is deposited or formed over the workpiece 102, and the conductive material is patterned using lithography in the shape of the conductive member 106 a. The insulating material 104 a is then formed around the conductive member 106 a by depositing the insulating material 104 a over the conductive member 106 a and removing any excess insulating material 104 a from over the top surface of the conductive member 106 a, if necessary, for example.
The insulating material 104 a and the conductive member 106 a may be formed in a conductive material layer or a metallization layer M1 of the semiconductor device 100. Conductive lines, not shown, may be formed elsewhere on the semiconductor device 100 within the metallization layer M1, for example. The conductive lines may be formed simultaneously with the formation of the conductive members 106 a of the capacitor plates 120 a and 120 b, for example. Thus, additional etch processes, lithography processes, and lithography masks may not be required to manufacture the novel conductive member 106 a in accordance with embodiments of the present invention. For example, the pattern for the conductive member 106 a may be included in an existing mask level for the metallization layer M1. Only one conductive member 106 a is shown in FIG. 1; however, in accordance with embodiments of the present invention, a plurality of conductive members 106 a may be formed, e.g., simultaneously, in the metallization layer M1.
The conductive material used to form the conductive member 106 a may comprise a metal and/or a semiconductive material, for example. The conductive material may comprise copper, aluminum, alloys thereof, polysilicon, amorphous silicon, or combinations or multiple layers thereof, as examples. Alternatively, the conductive material used to form the conductive member 106 a may comprise other materials.
Next, vias 108 are formed in a conductive material layer or metallization layer V1 over the metallization layer M1 within an insulating material 104 b, as shown in FIG. 1. The vias 108 are also referred to herein as at least one via or at least one first via, for example. The metallization layer V1 is also referred to herein as a first conductive material layer or at least one first conductive material layer, for example. The vias 108 may be formed using a damascene process or using a subtractive etch process, for example, as described for the formation of the conductive members 106 a in metallization layer M1.
The vias 108 are disposed over and are coupled to the conductive members 106 a, as shown. Insulating material 104 b is formed adjacent the insulating material 104 a and adjacent portions of the conductive members 106 a of conductive material layer M1. The vias 108 may comprise a first end at a lower portion and a second end at a top portion, the second end being opposite the first end, wherein the first ends of the vias 108 are coupled to the conductive members 106 a, as shown. The vias 108 may be larger at the second end than at the first end, as shown. Alternatively, in some embodiments, the vias 108 may comprise substantially the same dimension over the entire length, e.g., vertically, of the vias 108, not shown.
The insulating material 104 b that the vias 108 are formed in may comprise similar materials and dimensions as described herein for insulating material 104 a, for example. The insulating material 104 b is also referred to herein as a first insulating material. The vias 108 may comprise similar materials as described herein for the conductive members 106 a, for example. Alternatively, the insulating material 104 b and the vias 108 may comprise other materials or dimensions.
Conductive vias may be formed elsewhere on the semiconductor device 100 within the metallization layer V1, for example, not shown. The conductive vias may be formed simultaneously with the formation of the vias 108, for example. Thus, additional etch processes, lithography processes and lithography masks may not be required to manufacture the novel vias 108 of the capacitor plates 120 a and 120 b in accordance with embodiments of the present invention. The pattern for the vias 108 may be included in an existing mask level for the second metallization layer V1, for example.
Only two vias 108 are shown in FIG. 1; however, in accordance with embodiments of the present invention, many, e.g., dozens or hundreds of vias 108 may be formed simultaneously in the metallization layer V1, and may be coupled to the conductive members 106 a.
A conductive member 106 c is formed in a conductive material layer or metallization layer M2 disposed over the metallization layer V1 within an insulating material 104 c, also shown in FIG. 1. The metallization layer M2 is also referred to herein as a second conductive material layer, for example. The conductive member 106 c may comprise a plurality of conductive members 106 c, as shown in FIG. 2. Only two conductive members 106 c are shown in FIG. 2; alternatively, many conductive members 106 c, e.g., three or greater, may be formed in parallel. The conductive member 106 c is also referred to herein as at least one conductive member or at least one first conductive member, for example. The conductive members 106 c may be formed using a damascene process or using a subtractive etch process, for example, as described for the conductive members 106 a in the first metallization layer M1.
The conductive members 106 c are disposed over and are coupled to the vias 108, as shown in the cross-sectional view in FIG. 1 and in the top view of FIG. 2. The conductive members 106 c may be coupled to the second end or upper ends of the vias 108, for example. The conductive members 106 c comprise an enlarged region proximate each via 108, as shown in the top view of FIG. 2. The enlarged region of the conductive members 106 c is wider than the vias 108 in the top view of the semiconductor device 100. Other regions of the conductive members 106 c are not enlarged. The conductive members 106 c may be coupled to the vias 108 in a substantially central region of the enlarged regions of the conductive members 106 c, as shown.
The conductive members 106 a also comprise an enlarged region proximate each via 108, not shown. The conductive members 106 a may comprise substantially the same shape as the conductive members 106 c shown in the top view of FIG. 2, for example.
FIG. 2 shows a top view of a capacitor including two capacitor plates 120 a and 120 b that comprise conductive members 106 c (and also optionally conductive members 106 a, not shown) having enlarged regions proximate vias 108 in accordance with an embodiment of the present invention. The conductive members 106 c are shown in the top view of FIG. 2; however, the conductive members 106 a are not shown in FIG. 2 (refer again to FIG. 1), for example. The vias 108 are shown in phantom in FIG. 2.
The conductive members 106 a and 106 c may comprise a width or dimension d1 in regions that are not proximate the vias 108, and the vias 108 may comprise a width or dimension d2, wherein dimension d2 is substantially the same as dimension d1 at least at a top portion of the vias 108. Dimensions d1 and d2 may comprise a minimum feature size or CD of the semiconductor device 100, although alternatively, dimensions d1 and d2 may comprise other dimensions greater than the CD. The width of the enlarged regions of the conductive members 106 a and 106 c comprises a dimension d3 that is greater than dimensions d1 and d2. Adjacent conductive members 106 a and 106 c within a conductive material layer M1 or M2 may also be spaced apart from one another by substantially by the minimum feature size of the semiconductor device 100 or by other dimensions, for example.
Dimension d3 is also referred to herein as a first width, and dimension d2 is also referred to as a second width, wherein the first width d3 is greater than the second width d2. The first width d3 may be greater than the second width d2 by about 20% or less of the second width in some embodiments, for example, although alternatively, the first width d3 may be greater than the second width d2 by greater than 20%.
Dimension d4 represents the amount on the sides (e.g., the left and right sides and also portions of the top and bottom sides, shown in FIG. 2) of the vias 108 that the width of the enlarged region is greater than the width d2 of the vias 108 and the thinner, non-enlarged regions of the conductive members 106 a and 106 c having dimension d1. Dimension d4 may comprise about 10% or less than the width d2 of the vias 108 or the width d1 of the non-enlarged regions of the conductive members 106 a or 106 c, for example, although alternatively, dimension d4 may comprise greater than 10% of dimensions d1 and/or d2. Regions other than the enlarged regions of the conductive members 106 a or 106 c may have a dimension d1 that may comprise a width comprising substantially the minimum feature size, in some embodiments.
The insulating material 104 c may comprise similar materials and dimensions as described for insulating material 104 a, for example. Insulating material 104 c is also referred to herein as a second insulating material. The second insulating material 104 c is disposed adjacent the first insulating material 104 b, as shown. The conductive members 106 c may comprise similar materials as described for the conductive members 106 a, for example. Alternatively, the second insulating material 104 c and the conductive members 106 c may comprise other materials or dimensions.
Conductive lines may be formed elsewhere on the semiconductor device 100 within the metallization layer M2, for example, not shown. The conductive lines may be formed simultaneously with the formation of the conductive members 106 c of the capacitor plates 120 a and 120 b, for example. Thus, additional etch processes, lithography processes, and lithography masks may not be required to manufacture the novel conductive members 106 c in accordance with embodiments of the present invention; rather, the conductive member 106 c pattern may be included in an existing mask level for the metallization layer M2, for example.
Only one conductive member 106 c is shown in FIG. 1; however, in accordance with embodiments of the present invention, a plurality of conductive members 106 c are formed, e.g., simultaneously, in the metallization layer M2 (see FIG. 2).
In some embodiments, the conductive members 106 c and the vias 108 may be simultaneously formed using a dual damascene process. For example, the first insulating material 104 b and the second insulating material 104 c may be deposited over the workpiece 102, e.g., over the conductive material layer M1, if present, and two lithography masks and etch processes may be used to form patterns in the first insulating material 104 b and the second insulating material 104 c for the vias 108 and the conductive members 106 c, respectively, for example. The patterns for the vias 108 and the conductive members 106 c are then simultaneously filled with a conductive material using one deposition step, and excess conductive material is removed using an etch process and/or CMP process, leaving the vias 108 and the conductive members 106 c formed within the insulating materials 104 b and 104 c, respectively.
The metallization or conductive material layers M1, V1, and M2 may comprise conductive material layers Mx, Vx, and/or M(x+1) disposed at various locations of a semiconductor device 100. For example, layer M1 may comprise a first metallization layer, e.g., the first layer formed in a back-end-of the line (BEOL) process. Or, layer M1 may comprise a second or greater metallization layer, disposed above and over previously formed metallization layers. Alternatively, layers M1, V1, and M2 may comprise conductive material layers formed in a front-end-of the line (FEOL) process, for example.
Capacitor plates 120 a and 120 b are shown in FIGS. 1 and 2 being formed in three conductive material layers M1, V1, and M2. Alternatively, the capacitor plates 120 a and 120 b may also be formed in greater than three conductive material layers M1, V1, and M2, e.g., disposed under conductive material layer M1 or over conductive material layer M2. The capacitor plates 120 a and 120 b may comprise a plurality of alternating layers of conductive members 106 a or 106 c and vias 108 coupled together to form conductive capacitor plates 120 a and 120 b, for example.
The conductive members 106 a, vias 108, and conductive members 106 c form capacitor plates 120 a and 120 b of a capacitor in accordance with embodiments of the present invention. Two capacitor plates 120 a and 120 b may be formed proximate one another within the insulating materials 104 a, 104 b, and 104 c, forming a capacitor, in accordance with embodiments of the present invention. Portions of the insulating materials 104 a, 104 b, and 104 c between the plates 120 a and 120 b function as a capacitor dielectric in these embodiments. Two or more capacitor plates 120 a and/or 120 b may be coupled together to form a single capacitor plate, to be described further herein.
The conductive members 106 a or the conductive members 106 c may comprise similar sizes and dimensions as other conductive features formed in the same conductive material layer, for example, in these embodiments. The thinner, non-enlarged regions of conductive members 106 a and 106 c comprising a width d1 may comprise substantially the same dimension as conductive lines formed elsewhere in conductive material layers M1 and M2, for example.
The conductive members 106 a and the conductive members 106 c may comprise the same shape and dimension for a single capacitor plate 120 a or 120 b, for example. Alternatively, the conductive members 106 a and the conductive members 106 c may comprise different shapes and dimensions for a single capacitor plate 120 a or 120 b, for example. The conductive members 106 a and the conductive members 106 c may comprise the same or different shapes and dimensions for various capacitor plates 120 a or 120 b of a single capacitor or across the surface of a semiconductor device 100, for example.
The conductive members 106 a and 106 c may extend (e.g., vertically, in the top view shown in FIG. 2) several nm to several or hundreds of μm or greater, for example. A plurality of the vias 108 are disposed along the length of the conductive members 106 a and 106 c.
In the embodiment shown in FIG. 2, the plurality of vias 108 of the first capacitor plate 120 a is disposed proximate the plurality of vias 108 of the second capacitor plate 120 b. One via 108 of the first plate 120 a is disposed proximate or adjacent, e.g., aligned on an x axis in the view shown in FIG. 2 with a via 108 of the second plate 120 b. The vias 108 of adjacent plates 120 a and 120 b may alternatively be staggered, in accordance with embodiments of the present invention.
As an example, FIG. 3 shows a top view of a capacitor comprising two capacitor plates 220 a and 220 b in accordance with another embodiment of the present invention, wherein the vias 208 of the capacitor plates 220 a and 220 b are staggered. Like numerals are used for the various elements in FIG. 3 that were used to describe FIGS. 1 and 2. To avoid repetition, each reference number shown in FIG. 3 is not described again in detail herein. Rather, similar materials x06, x08, x10, etc. . . . are used to describe the various material layers shown as were used to describe FIGS. 1 and 2, where x=1 in FIGS. 1 and 2 and x=2 in FIG. 3. As an example, the exemplary and alternative materials and dimensions described for the conductive members 106 c in the description for FIGS. 1 and 2 may also be used for the conductive members 206 c shown in FIG. 3.
Rather than the vias 208 being aligned in adjacent capacitor plates 220 a and 220 b as shown in the embodiment of FIGS. 1 and 2, vias 208 in adjacent plates 220 a and 220 b are staggered. The vias 208 of the first plate 220 a are staggered with respect to the vias 208 of the second plate 220 a. For example, in FIG. 3, vias 208 of capacitor plate 202 a are disposed adjacent or proximate the thinner, non-enlarged regions of conductive members 206 c of capacitor plate 220 b having dimension d1. Likewise, vias 208 of capacitor plate 220 b are disposed adjacent or proximate the thinner, non-enlarged regions of conductive members 206 c of capacitor plate 220 a having dimension d1. The enlarged regions of the conductive members 206 c proximate vias 208 in the adjacent plates 220 b and 220 a are also placed proximate the thinner, non-enlarged regions of conductive members 206 c of the adjacent capacitor plates 220 a and 220 b, respectively, for example. Thus, the capacitor plates 220 a and 220 b may be placed closer together in this embodiment, due to the staggered formation of the vias 208 and the enlarged regions of the conductive members 206 c, providing increased capacitance.
The vias 308 b or 308 d within a single capacitor plate 320 a or 320 b may also be staggered. For example, FIG. 4 shows a top view of a capacitor comprising two capacitor plates 320 a and 320 b in accordance with yet another embodiment of the present invention, wherein the vias 308 of the capacitor plates 320 a and 320 b are alternatingly staggered about a central axis 310 of the conductive members 306 e. FIG. 5 shows a cross-sectional view of the capacitor plates 320 a and 320 b shown in FIG. 4, wherein the capacitor plates 320 a and 320 b are formed in a plurality of conductive material layers M1, V1, M2, Vx, and Mx of the semiconductor device 300. FIG. 6 shows a view wherein two or more capacitor plates 320 a and 320 b are connected by conductive lines, and FIG. 7 shows a perspective view of the embodiment shown in FIG. 4 implemented in three conductive material layers of the semiconductor device 300. Again, like numerals are used for the various elements that were used to describe the previous figures, and to avoid repetition, each reference number shown in FIG. 4 is not described again in detail herein.
Referring next to FIGS. 4 and 5, vias 308 b and 308 d comprise similar materials and dimensions and are formed by similar methods, as were described for vias 108 of FIGS. 1 and 2. Vias 308 b and 308 d are formed in conductive material layers V1 and Vx, respectively, which are disposed between conductive material layers M1, M2, and Mx. Conductive members 306 e having enlarged regions proximate vias 308 d are formed over vias 308 d in conductive material layer Mx. Conductive members 306 e comprise similar materials and dimensions and are formed by similar methods as were described for conductive members 106 a and 106 c of FIGS. 1 and 2. The capacitor plates 320 a and 320 b may also include additional vias 308 x and conductive members 306 x (not shown) formed in additional conductive material layers V(x+1) and M(x+1) of the semiconductor device 300 (also not shown), for example.
The central axis 310 shown in FIG. 4 is disposed in a central region of the conductive members 306 e (and also conductive members 306 a and 306 c, not shown). More particularly, for example, the central axis 310 is disposed in the center of the thinner, non-enlarged regions of the conductive members 306 a, 306 c, and 306 e. One edge of the vias 308 d (and also vias 308 b) is disposed along the central axis 310 and the other edge of the vias 308 d is disposed alternatingly to the right and to the left of the central axis 310.
For example, in the top view of FIG. 4, the top via 308 d of capacitor plate 320 a has a left edge that is aligned with and adjacent to the central axis 310 of the capacitor plate 320 a. The right edge of the top via 308 d is disposed to the right of the central axis 310. The enlarged region of the conductive members 306 a, 306 c, and 306 e proximate the top via 308 d extends towards the right to cover the vias 308 d completely, and by an excessive amount having dimension d4. Likewise, the middle via 308 d of capacitor plate 320 a has a right edge that is aligned with and adjacent to the central axis 310 of the capacitor plate 320 a. The left edge of the middle via 308 d is disposed to the left of the central axis 310. The enlarged region of the conductive members 306 a, 306 c, and 306 e proximate the middle via 308 d extends towards the left to cover the middle via 308 d completely and by an excess amount, dimension d4. The remainder of the vias 308 d of the capacitor plates 320 a and 320 b are placed in a similar, array-like fashion. The conductive members 306 e and vias 308 d are positioned in a serpentine-like, meandering shape in this embodiment. In some embodiments, the vias may be offset from the central axis 310 and not aligned with or adjacent to the central axis 310, as shown in FIG. 6, to be described further herein.
The capacitor plates 320 a and 320 b may advantageously be placed apart by substantially the same distance or dimension d5 along their entire length. The conductive members 306 e (and also 306 a and 306 c) of the first capacitor plate 320 a and the conductive members 306 a, 306 c, and 306 e of the second capacitor plate 320 b may be spaced apart by substantially the same distance comprising dimension d5 along an entire length of the conductive members 306 a, 306 c, and 306 e of the first capacitor plate 320 a and the conductive members 306 a, 306 c, and 306 e of the second capacitor plate 320 b, for example. Dimension d5 may comprise a minimum feature size of the semiconductor device 300, or alternatively, dimension d5 may comprise other values, e.g., being larger or smaller than the minimum feature size or CD.
FIG. 6 shows a top view of another embodiment of the present invention, wherein two or more capacitor plates 320 a or 320 b are electrically coupled together. In FIG. 6, for example, four capacitor plates are shown: two first plates 320 a that are coupled together by a conductive line 312 a, and two second plates 320 b that are coupled together by a conductive line 312 b. The two first plates 320 a and the two second plates 320 b are coupled together in parallel by the conductive lines 312 a and 312 b, respectively. Connecting a plurality of plates 320 a and 320 b together in parallel as shown in an interwoven or interleaved pattern may result in a capacitor with increased capacitance, for example.
The two or more capacitor plates 320 a or 320 b may be coupled together by conductive line 312 a or 312 b formed in the same conductive material layer that conductive members 306 e (or 306 a or 306 c) are formed in or in the conductive material layers that the vias 308 b and 308 d are formed in, for example. The two or more capacitor plates 320 a or 320 b may also be coupled together by conductive lines formed in a conductive material layer adjacent or proximate the conductive material layers that the conductive members 306 a, 306 c, and 306 e, or vias 308 b and 308 d are formed in, for example, not shown.
A plurality of the other capacitor plates 120 a, 120 b, 220 a, and 220 b described herein and 420, 420 b, 420 c, and 420 d to be described herein may also be similarly coupled together in parallel by conductive lines 312 a or 312 b, for example, not shown.
In the embodiment shown in FIG. 6, the vias 308 d within a single capacitor plate 320 a or 320 b are staggered, e.g., in the vertical direction. The vias 308 d are offset from the central axis 310 and are not aligned with or adjacent to a central axis of the conductive members 306 e. Rather, each via 308 d is staggered by about 20% or less alternatingly to the right and left of the conductive members 306 e. The enlarged regions of the conductive members 306 e are also staggered by about 20% or less alternatingly to the right and left of the conductive members 306 e, as shown. The capacitor plates 320 a and 320 b may also include a plurality of alternating layers of vias 308 e and conductive members 306 e comprising the staggered structure shown in FIG. 6, for example.
FIG. 7 shows a perspective view of the embodiment of the present invention shown in FIGS. 4 and 5, formed in three conductive material layers M2, Vx, and Mx, illustrating the enlarged regions of the conductive members 306 e and 306 c proximate the vias 308 d. The novel enlarged regions of the conductive members 306 c and 306 e having a wider dimension d3 than the via 308 d width ensure the landing of the vias 308 d with other portions of the capacitor plates 320 a and 320 b, e.g., the landing of the vias 308 d on the enlarged regions of underlying conductive members 306 c, and also the landing of the conductive members 306 e on the underlying vias 308 d.
FIG. 8 shows yet another embodiment of the present invention, wherein vias 408 d (and also vias 408 b) of adjacent capacitor plates 420 a and 420 b, and 420 c and 420 d, are staggered, and wherein the enlarged regions of the conductive members 406 e (and also conductive members 406 a and 406 c) extend towards an adjacent capacitor plate. FIG. 9 shows a cross-sectional view of the semiconductor device 400 shown in FIG. 8. Again, like numerals are used for the various elements that were used to describe the previous figures, and to avoid repetition, each reference number shown in FIGS. 8 and 9 is not described again in detail herein.
As described with reference to the embodiment shown in FIG. 3, the plurality of vias 408 b and 408 d of the first plate 420 a are staggered with respect to the plurality of vias 408 b and 408 d of the second plate 420 b. Similarly, the plurality of vias 408 b and 408 d of first plate 420 c are staggered with respect to the plurality of vias 408 b and 408 d of second plate 420 d.
The enlarged regions of the conductive members 406 a, 406 c, and 406 e are disposed so that they extend towards only one adjacent capacitor plate 420 a, 420 b, 420 c, or 420 d. One side of the conductive members 406 a, 406 c, and 406 e is substantially straight. For example, the enlarged regions of the conductive members 406 a, 406 c, and 406 e of capacitor plate 420 a extend to the right towards capacitor plate 420 b, and the enlarged regions of the conductive members 406 a, 406 c, and 406 e of capacitor plate 420 b extend to the left towards capacitor plate 420 a. The left side of capacitor plate 420 a is substantially straight, and the right side of capacitor plate 420 b is substantially straight. Likewise, the enlarged regions of the conductive members 406 a, 406 c, and 406 e of capacitor plate 420 c extend towards capacitor plate 420 d, and the enlarged regions of the conductive members 406 a, 406 c, and 406 e of capacitor plate 420 d extend towards capacitor plate 420 c. The left side of capacitor plate 420 c is substantially straight, and the right side of capacitor plate 420 d is substantially straight.
By positioning the vias 408 b and 408 d and enlarged regions of the conductive members 406 a, 406 c, and 406 e as shown in FIGS. 8 and 9, advantageously, the capacitor plates 420 a and 420 b, and 420 c and 420 d, may be spaced apart by substantially the same distance or dimension d6 along the entire length of the capacitor plates 420 a, 420 b, 420 c, and 420 d. Dimension d6 may comprise a minimum feature size of the semiconductor device 400, or alternatively, dimension d6 may comprise other values, e.g., being larger or smaller than the minimum feature size.
Note that first plates 420 a and 420 c and second plates 420 b and 420 d may also be coupled together by conductive lines such as conductive lines 312 a and 312 b shown in FIG. 6, not shown in the figures.
In some embodiments, an array of capacitor plates 420 a, 420 b, 420 c, and/or 420 d comprising the conductive members 406 a, 406 c, and/or 406 e having enlarged regions proximate vias 408 b and/or 408 d may be formed across a portion of a semiconductor workpiece 402, for example. The vias 408 b and/or 408 d and the enlarged regions of the conductive members 406 a, 406 c, and/or 406 e may be staggered, and the capacitor plates 420 a, 420 b, 420 c, and/or 420 d may be interwoven or interleaved. The capacitor plates 420 a, 420 b, 420 c, and/or 420 d may be spaced apart to achieve a desired capacitance and to achieve a more efficient use of space on a chip or semiconductor device 400, for example.
After the top-most material layer comprising the vias 108, 208, 308 b, 308 d, 408 b, or 408 d or conductive members 106 a, 106 c, 206 a, 206 c, 306 a, 306 c, 306 e, 406 a, 406 c, or 406 e of the capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d is fabricated, the manufacturing process for the semiconductor devices 100, 200, 300, and 400 is then continued to complete the fabrication of the semiconductor devices 100, 200, 300, and 400. For example, additional insulating material layers and conductive material layers may be formed over the novel capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d and may be used to interconnect the various components of the semiconductor devices 100, 200, 300, and 400.
Embodiments of the present invention include the novel capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d, capacitors including the capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d, and semiconductor devices 100, 200, 300, and 400 including the novel capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d described herein. Embodiments of the present invention also include methods of fabricating the semiconductor devices 100, 200, 300, and 400 and capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d described herein, for example.
The novel capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d comprise three-dimensional structures that are formed in multiple conductive material layers M1, V1, M2, Vx, and Mx of a semiconductor device 100, 200, 300, and 400. The conductive members 106 a, 106 c, 206 a, 206 c, 306 a, 306 c, 306 e, 406 a, 406 c, or 406 e coupled to vias 108, 208, 308 b, 308 d, 408 b, or 408 d provide a novel capacitor plate 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d shape that provides flexibility in the placement and shaping of capacitors of semiconductor devices 100, 200, 300, and 400. In some embodiments, portions of the capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d and the spacing between them may be ground-rule based, comprising a width of a minimum feature size of a semiconductor device 100, 200, 300, and 400, achieving a higher capacitance value, for example.
The capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d described herein may be placed in series or in parallel. For example, placing the capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d in series reduces the overall capacitance of the capacitors comprised of the capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d. Placing the capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d in parallel increases the overall capacitance of the capacitors comprised of the capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d.
The capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d may include additional vias 108, 208, 308 b, 308 d, 408 b, or 408 d and conductive members 106 a, 106 c, 206 a, 206 c, 306 a, 306 c, 306 e, 406 a, 406 c, or 406 e coupled to and disposed above and/or below the conductive members 106 a, 106 c, 206 a, 206 c, 306 a, 306 e, 406 a, or 406 e, not shown. The vias 108, 208, 308 b, 308 d, 408 b, and 408 d and conductive members 106 a, 106 c, 206 a, 206 c, 306 a, 306 c, 306 e, 406 a, 406 c, and 406 e may be formed in multiple conductive material layers M1, V1, M2, Vx, and Mx, or in every conductive material layer M1, V1, M2, Vx, and Mx, of a semiconductor device 100, 200, 300, and 400, for example.
The dimensions and materials of the vias 108, 208, 308 b, 308 d, 408 b, and 408 d and conductive members 106 a, 106 c, 206 a, 206 c, 306 a, 306 c, 306 e, 406 a, 406 c, and 406 e, the type of dielectric material (e.g., of insulating materials 104 a, 104 b, 104 c, 204 c, 304 a, 304 b, 304 c, 304 d, 304 e, 404 a, 404 b, 404 c, 404 d, and 404 e), and the spacing (e.g., such as dimensions d5 or d6) between the capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d may be selected to achieve a desired capacitance for a capacitor, for example.
Advantages of embodiments of the present invention include providing novel capacitor plate 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d structures and novel capacitors for semiconductor devices 100, 200, 300, and 400. A plurality of the capacitors may be arranged in an array or other shapes, and may be accessed using addressing, for example. The capacitors may be electrically connected to functional regions of the semiconductor device 100, 200, 300, or 400 and/or may be used as spare capacitors, providing redundancy in an integrated circuit, for example.
In some embodiments, at least portions of the vias 108, 208, 308 b, 308 d, 408 b, and 408 d and/or conductive members 106 a, 106 c, 206 a, 206 c, 306 a, 306 c, 306 e, 406 a, 406 c, and 406 e may have substantially the same or similar dimensions as other interconnect features or devices such as conductive lines and vias formed elsewhere in the conductive material layers M1, V1, M2, Vx, and Mx of the semiconductor devices 100, 200, 300, and 400, so that capacitors formed from the novel capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d are easily integratable into existing semiconductor device 100, 200, 300, and 400 structures and manufacturing process flows.
The locally enlarged landing areas, e.g., the enlarged regions of the conductive members 106 a, 106 c, 206 a, 206 c, 306 a, 306 c, 306 e, 406 a, 406 c, and 406 e, provide for landing and formation on vias 108, 208, 308 b, 308 d, 408 b, and 408 d at the bottom and top regions of the vias of about 1.3× to 2.0× the ground rule value in some embodiments. The capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d may be symmetrically formed, which provides consistent repetitive manufacturing processes for connecting to vias 108, 208, 308 b, 308 d, 408 b, and 408 d, and which also provides design convenience.
The capacitors formed from the novel capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d are small, fast, and low in complexity and cost. The properties of the capacitors may be tuned by adjusting the capacitor dielectric thickness and materials, and by array arrangement of the capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d, as examples.
The capacitor plate 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d designs described herein provide rugged shapes that increase via top CD area and overlay margin by providing a modified landing area that is larger locally, proximate the vias 108, 208, 308 b, 308 d, 408 b, and 408 d, e.g., at the enlarged regions of the conductive members 106 a, 106 c, 206 a, 206 c, 306 a, 306 c, 306 e, 406 a, 406 c, and 406 e. A larger amount of capacitance, e.g., about 20% or greater in some designs, is achievable in capacitors by the use of the capacitors plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d described herein, without degradation of the manufacturing process flow or device yields.
Capacitors formed from the capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d may comprise vertical natural capacitors having a ground rule-based design that are cost and area-efficient, requiring no additional lithography masks to manufacture. Capacitors having improved TDDB are achievable by embodiments of the present invention. The novel capacitor plate 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d shapes allow the placement of the capacitor plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d closer together, increasing the capacitance of capacitors formed from the plates 120 a, 120 b, 220 a, 220 b, 320 a, 320 c, 420 a, 420 b, 420 c, and/or 420 d.
The vias 108, 208, 308 b, 308 d, 408 b, and 408 d may be staggered or non-staggered, which is advantageous in applications where the vias 108, 208, 308 b, 308 d, 408 b, and 408 d may be positioned neighboring or disposed between different ground rule levels, such as proximate thin wiring (having a minimum feature size), 2× wiring (having 2× the minimum feature size), and/or 4× wiring (with 4× the minimum feature size), for example.
Although embodiments of the present invention and their advantages have been described in detail, it should be understood that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. For example, it will be readily understood by those skilled in the art that many of the features, functions, processes, and materials described herein may be varied while remaining within the scope of the present invention. Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (24)

1. A capacitor plate, comprising:
a first via and a second via; and
at least one conductive member coupled to the first via and the second via, wherein the at least one conductive member comprises a first region proximate the first via having a first width, a second region proximate the second via having a second width, and a third region between the first region and the second region having a third width, wherein the first via comprises a first via width and wherein the second via comprises a second via width, wherein the first width is greater than the first via width and the second width is greater than the second via width, and wherein the third width is smaller than the first width and the second width.
2. The capacitor plate according to claim 1, wherein the first width is greater than the first via width by about 20% or less.
3. The capacitor plate according to claim 1, wherein the first via and the second via are disposed within a first insulating material of a semiconductor device, wherein the at least one conductive member is disposed within at least one second insulating material of the semiconductor device, the at least one second insulating material being adjacent the first insulating material.
4. The capacitor plate according to claim 3, wherein the at least one conductive member comprises at least one first conductive member having the first width, the second width and the third width, the at least one first conductive member being coupled to a first one end of the first via, further comprising at least one second conductive member coupled to a first other end of the first via, the at least one second conductive member being disposed within a third insulating material of the semiconductor device, the third insulating material being adjacent the first insulating material, wherein the at least one second conductive member comprises a fourth width proximate the first via and wherein the fourth width is greater than the first via width.
5. The capacitor plate according to claim 4, further comprising a third via disposed within a fourth insulating material of the semiconductor device, the third via comprising a third via width and being coupled to the at least one second conductive member, the fourth insulating material being adjacent the third insulating material.
6. The capacitor plate according to claim 5, further comprising at least one third conductive member coupled to the third via, the at least one third conductive member being disposed within a fifth insulating material of the semiconductor device, the fifth insulating material being adjacent the fourth insulating material, wherein the at least one third conductive member comprises a fifth width proximate the third via and wherein the fifth width is greater than the third via width.
7. A capacitor, comprising:
a first plate;
a second plate; and
an insulating material disposed between the first plate and the second plate, wherein the first plate and/or the second plate comprises a first via, a second via, and at least one conductive member coupled to the first via and the second via, wherein the at least one conductive member comprises a first region proximate the first via having a first width, a second region proximate the second via having a second width, and a third region between the first region and the second region having a third width, wherein the first via comprises a first via width, wherein the second via comprises a second via width, wherein the first width is greater than the first via width and the second width is greater than the second via width, and wherein the third width is smaller than first width and the second width.
8. The capacitor according to claim 7, wherein the first via, the second via or the at least one conductive member comprises a metal or a semiconductor material.
9. The capacitor according to claim 7, wherein the first plate and the second plate comprise a plurality of vias.
10. The capacitor according to claim 9, wherein the plurality of vias of the first plate are disposed proximate the plurality of vias of the second plate.
11. The capacitor according to claim 9, wherein the plurality of vias of the first plate are staggered with respect to the plurality of vias of the second plate, wherein the plurality of vias of the first plate are alternatingly staggered, or wherein the plurality of vias of the second plate are alternatingly staggered.
12. The capacitor according to claim 9, wherein the at least one conductive member of the first plate or the second plate comprises a central axis, wherein the plurality of vias of the first plate or the second plate are alternatingly staggered about the central axis of the at least one conductive member of the first plate or the second plate.
13. A semiconductor device, comprising:
a workpiece;
at least one first conductive material layer disposed over the workpiece, the at least one first conductive material layer including a first insulating material, a first via and a second via disposed within the first insulating material; and
at least one second conductive material layer disposed adjacent the at least one first conductive material layer, the at least one second conductive material layer including a second insulating material and at least one conductive member disposed within the second insulating material, the at least one conductive member being coupled to the at least one first via and the second via, wherein the at least one conductive member comprises a first region proximate the first via having a first width, a second region proximate the second via having a second width, and a third region between the first region and the second region having a third width, wherein the first via comprises a first via width and the second via comprises a second via width, wherein the first width is greater than the first via width and the second width is greater than the second via with, wherein the third width is smaller than the first width and the second width, and wherein the at least one first conductive member and the at least one via comprise at least one capacitor plate.
14. The semiconductor device according to claim 13, further comprising at least two capacitor plates comprising the at least one first conductive member and the first via and the second via, wherein the at least two capacitor plates comprise a first capacitor plate and a second capacitor plate of a capacitor, wherein portions of the first insulating material and the second insulating material comprise a capacitor dielectric of the capacitor.
15. The semiconductor device according to claim 14, wherein the at least one first conductive member of the first capacitor plate and the at least one first conductive member of the second capacitor plate are spaced apart by substantially a same distance along an entire length of the at least one first conductive member of the first capacitor plate and the at least one first conductive member of the second capacitor plate.
16. The semiconductor device according to claim 14, wherein the first capacitor plate or the second capacitor plate comprises two or more capacitor plates comprising the at least one first conductive member and the first via and the second via, and wherein the two or more capacitor plates are coupled together.
17. The semiconductor device according to claim 16, wherein the two or more capacitor plates are coupled together by a conductive line in a conductive material layer adjacent or proximate the at least one first conductive material layer, in a conductive material layer adjacent or proximate the at least one second conductive material layer, in the at least one first conductive material layer, or in the at least one second conductive material layer.
18. The semiconductor device according to claim 14, wherein the semiconductor device comprises a minimum feature size, wherein the first via and the second via comprise a width comprising substantially the minimum feature size, or wherein the at least one first conductive member of the first capacitor plate and the at least one first conductive member of the second capacitor plate are spaced apart by a distance comprising substantially the minimum feature size.
19. The capacitor plate according to claim 1, wherein the first via width is substantially the same as the third width.
20. The capacitor according to claim 7, wherein the first via width is substantially the same as the third width.
21. The semiconductor device according to claim 13, wherein the first via width is substantially the same as the third width.
22. The capacitor plate according to claim 1, wherein the first width and the second width are substantially the same.
23. The capacitor according to claim 7, wherein the first width and the second width are substantially the same.
24. The semiconductor device according to claim 13, wherein the first width and the second width are substantially the same.
US12/059,457 2008-03-31 2008-03-31 Semiconductor devices and methods of manufacture thereof Active 2029-02-16 US7960811B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/059,457 US7960811B2 (en) 2008-03-31 2008-03-31 Semiconductor devices and methods of manufacture thereof
US13/100,770 US8748257B2 (en) 2008-03-31 2011-05-04 Semiconductor devices and methods of manufacture thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/059,457 US7960811B2 (en) 2008-03-31 2008-03-31 Semiconductor devices and methods of manufacture thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/100,770 Division US8748257B2 (en) 2008-03-31 2011-05-04 Semiconductor devices and methods of manufacture thereof

Publications (2)

Publication Number Publication Date
US20090243036A1 US20090243036A1 (en) 2009-10-01
US7960811B2 true US7960811B2 (en) 2011-06-14

Family

ID=41115823

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/059,457 Active 2029-02-16 US7960811B2 (en) 2008-03-31 2008-03-31 Semiconductor devices and methods of manufacture thereof

Country Status (1)

Country Link
US (1) US7960811B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130105944A1 (en) * 2009-11-10 2013-05-02 Globalfoundries Inc. Metal capacitor design for improved reliability and good electrical connection

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010135515A (en) * 2008-12-03 2010-06-17 Renesas Electronics Corp Semiconductor device and method of manufacturing the same
US8748257B2 (en) * 2008-03-31 2014-06-10 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US8680650B2 (en) 2009-02-03 2014-03-25 Micron Technology, Inc. Capacitor structures having improved area efficiency
JP5493166B2 (en) * 2009-12-03 2014-05-14 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
US11088020B2 (en) * 2017-08-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005062355A1 (en) 2003-12-23 2005-07-07 Telefonaktiebolaget Lm Ericsson (Publ) Capacitor
US20090009926A1 (en) * 2005-10-04 2009-01-08 Infineon Technologies Ag Capacitor Structure
US20090141424A1 (en) * 2007-11-29 2009-06-04 Hans-Joachim Barth Semiconductor Devices and Methods of Manufacture Thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005062355A1 (en) 2003-12-23 2005-07-07 Telefonaktiebolaget Lm Ericsson (Publ) Capacitor
US20070217122A1 (en) 2003-12-23 2007-09-20 Telefonaktiebolaget Lm Ericsson (Publ) Capacitor
US20090009926A1 (en) * 2005-10-04 2009-01-08 Infineon Technologies Ag Capacitor Structure
US20090141424A1 (en) * 2007-11-29 2009-06-04 Hans-Joachim Barth Semiconductor Devices and Methods of Manufacture Thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130105944A1 (en) * 2009-11-10 2013-05-02 Globalfoundries Inc. Metal capacitor design for improved reliability and good electrical connection
US9818816B2 (en) * 2009-11-10 2017-11-14 Globalfoundries Inc. Metal capacitor design for improved reliability and good electrical connection

Also Published As

Publication number Publication date
US20090243036A1 (en) 2009-10-01

Similar Documents

Publication Publication Date Title
US8138539B2 (en) Semiconductor devices and methods of manufacture thereof
US8817451B2 (en) Semiconductor devices and methods of manufacture thereof
US7186625B2 (en) High density MIMCAP with a unit repeatable structure
US7385241B2 (en) Vertical-type capacitor structure
US7943476B2 (en) Stack capacitor in semiconductor device and method for fabricating the same including one electrode with greater surface area
US10446534B2 (en) Capacitors in integrated circuits and methods of fabrication thereof
US11502161B2 (en) Metal insulator metal capacitor structure having high capacitance
US7960811B2 (en) Semiconductor devices and methods of manufacture thereof
US8101985B2 (en) Capacitors and methods of manufacture thereof
US20100090308A1 (en) Metal-oxide-metal capacitors with bar vias
CN109585425B (en) Semiconductor structure and manufacturing method thereof
US10008560B2 (en) Capacitors in integrated circuits and methods of fabrication thereof
US6960365B2 (en) Vertical MIMCap manufacturing method
US8748257B2 (en) Semiconductor devices and methods of manufacture thereof
US8736021B2 (en) Semiconductor device comprising a metal system including a separate inductor metal layer
CN117678066A (en) Metal-insulator-metal (MIM) capacitor module including cup-shaped structure with rounded corner regions

Legal Events

Date Code Title Description
AS Assignment

Owner name: INFINEON TECHNOLOGIES NORTH AMERICA CORP., CALIFOR

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KIM, SUN-OO;REEL/FRAME:020824/0057

Effective date: 20080324

AS Assignment

Owner name: INFINEON TECHNOLOGIES AG, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INFINEON TECHNOLOGIES NORTH AMERICA CORP.;REEL/FRAME:020906/0496

Effective date: 20080426

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12