US7278085B1 - Simple error-correction codes for data buffers - Google Patents

Simple error-correction codes for data buffers Download PDF

Info

Publication number
US7278085B1
US7278085B1 US10/608,320 US60832003A US7278085B1 US 7278085 B1 US7278085 B1 US 7278085B1 US 60832003 A US60832003 A US 60832003A US 7278085 B1 US7278085 B1 US 7278085B1
Authority
US
United States
Prior art keywords
data
parity check
bits
bit
locations
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US10/608,320
Inventor
Lih-Jyh Weng
Bruce Buch
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Maxtor Corp
Original Assignee
Maxtor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Maxtor Corp filed Critical Maxtor Corp
Priority to US10/608,320 priority Critical patent/US7278085B1/en
Assigned to MAXTOR CORPORATION reassignment MAXTOR CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BUCH, BRUCE, WENG, LIH-JYH
Application granted granted Critical
Publication of US7278085B1 publication Critical patent/US7278085B1/en
Assigned to JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT AND FIRST PRIORITY REPRESENTATIVE, WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATERAL AGENT AND SECOND PRIORITY REPRESENTATIVE reassignment JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT AND FIRST PRIORITY REPRESENTATIVE SECURITY AGREEMENT Assignors: MAXTOR CORPORATION, SEAGATE TECHNOLOGY INTERNATIONAL, SEAGATE TECHNOLOGY LLC
Assigned to SEAGATE TECHNOLOGY HDD HOLDINGS, MAXTOR CORPORATION, SEAGATE TECHNOLOGY INTERNATIONAL, SEAGATE TECHNOLOGY LLC reassignment SEAGATE TECHNOLOGY HDD HOLDINGS RELEASE Assignors: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT
Assigned to THE BANK OF NOVA SCOTIA, AS ADMINISTRATIVE AGENT reassignment THE BANK OF NOVA SCOTIA, AS ADMINISTRATIVE AGENT SECURITY AGREEMENT Assignors: SEAGATE TECHNOLOGY LLC
Assigned to SEAGATE TECHNOLOGY INTERNATIONAL, SEAGATE TECHNOLOGY US HOLDINGS, INC., SEAGATE TECHNOLOGY LLC, EVAULT INC. (F/K/A I365 INC.) reassignment SEAGATE TECHNOLOGY INTERNATIONAL TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS Assignors: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATERAL AGENT AND SECOND PRIORITY REPRESENTATIVE
Adjusted expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1006Data managing, e.g. manipulating data before writing or reading out, data bus switches or control circuits therefor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • G06F11/10Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's
    • G06F11/1008Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C2029/0411Online error correction
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2207/00Indexing scheme relating to arrangements for writing information into, or reading information out from, a digital store
    • G11C2207/10Aspects relating to interfaces of memory device to external buses
    • G11C2207/104Embedded memory devices, e.g. memories with a processing device on the same die or ASIC memory designs

Definitions

  • the invention relates to encoding and decoding techniques for error correction and detection.
  • DRAM Dynamic Random Access Memory
  • Soft errors are unexpected or unwanted changes in the value of a bit (or bits) somewhere in the memory. One bit may suddenly, randomly change state, or noise may get stored as if it were valid data. Soft errors are caused by external factors, such as terrestrial cosmic rays.
  • ECC error correcting codes
  • linear block codes have been employed to correct bit errors in the data stored in the memory.
  • ECC error correcting codes
  • Hamming codes have been used to provide single-bit error correction and double-bit error detection to preserve the data accuracy.
  • CRC Cyclic Redundancy Check
  • the CRC has no error correction capability, but can detect errors occurring in more than two bits at a time. Together, the Hamming check and CRC can correct single bit errors and detect multi-bit errors reliably.
  • This invention features a coding technique that produces a syndrome that directly points to the memory location of an erroneous data word so that no syndrome conversion is needed.
  • a method of decoding errors occurring in data stored in memory includes: (i) applying data to be stored in a buffer memory to a generator matrix to generate parity check bits; (ii) storing the parity check bits in the buffer memory following the data; (iii) reading the stored data and parity check bits; (iv) re-generating the parity check bits; and (v) producing from the stored and re-regenerated parity check bits a syndrome of a form that is usable to directly identify a location of an erroneous bit of the data in the buffer memory.
  • an apparatus in another aspect of the invention, includes a controller coupled to a storage medium and a buffer memory coupled to the controller for storing data to be written to the storage medium and data read from the storage medium.
  • the controller is operable to perform the following steps: (i) applying data to be stored in a buffer memory to a generator matrix to generate parity check bits; (ii) storing the parity check bits in the buffer memory following the data; (iii) reading the stored data and parity check bits; (iv) regenerating the parity check bits; and (v) producing from the stored and regenerated parity check bits a result that is usable to directly identify a location of an erroneous bit of the data in the buffer memory.
  • FIG. 1 shows a block diagram of a data storage system that employs an error correcting code (ECC) unit encoder that produces error syndromes that directly identify error locations in buffer memory.
  • ECC error correcting code
  • FIG. 2 is a block diagram of the ECC unit (shown in FIG. 1 ) having a parity check bit generator used during encoding and decoding, and an error detector/corrector (EDC) used during decoding.
  • ECC error detector/corrector
  • FIG. 3 is a depiction of memory buffers, each of which stores a block of data followed by a CRC check and ECC check computed for the data during encoding.
  • FIG. 4 is a depiction of a generator matrix with a Hamming distance of two.
  • FIG. 5 is a depiction of a generator matrix with a Hamming distance of three for a code with single-bit error correction capability.
  • FIG. 6 is a depiction of an alternative generator matrix with a Hamming distance of three for a code with single-bit error correction capability.
  • FIG. 7 is a depiction of a generator matrix with a Hamming distance of four for a code with single-bit error correction capability.
  • FIG. 8 is a schematic diagram of a single-bit embodiment of the parity check bit generator (of FIG. 2 ) that implements parity check generation based on the generator matrix of FIG. 7 .
  • FIGS. 9A and 9B collectively show a schematic diagram of an exemplary 16-bit embodiment of the parity check bit generator (of FIG. 2 ) that implements parity check generation based on the generator matrix of FIG. 7 .
  • FIG. 10 is a flow diagram of a decoding procedure performed by the ECC unit of FIG. 2 .
  • FIG. 11 is an exemplary format of a syndrome produced by the decoding procedure of FIG. 10 .
  • FIG. 12 is a depiction of a generator matrix with a distance of six for a code with double-bit error correction capability.
  • FIG. 13 is a C-code representation of a 32-bit embodiment of the parity check bit generator (of FIG. 2 ) that implements parity check generation based on the generator matrix of FIG. 12 .
  • FIG. 14 is a depiction of an alternative generator matrix with a distance of six for a code with double-bit error correction capability.
  • the data processing system 10 includes a processor 12 coupled to an I/O system 14 .
  • the I/O system 14 includes a controller 16 coupled to an I/O device 18 .
  • the I/O system 14 is a data storage system 14 and the I/O device includes storage media 18 , as shown.
  • the storage media 18 can be a hard disk unit or some other type of mass storage.
  • the controller 16 could be connected to some other type of I/O device, for example, a network device such as a transceiver that transmits and receives data over a network.
  • the data storage system 14 includes a front end (FE) interface 20 that interfaces the controller 16 to the processor 12 , a back end (BE) interface 21 that interfaces the controller 16 to the storage media 18 and a buffer memory 22 , which serves as a staging area for data being transferred between the processor 12 and the storage media 18 via the two interfaces 20 , 21 .
  • the buffer memory 22 is implemented as Dynamic Random Access Memory (DRAM) which, as discussed above, may be particularly vulnerable to single- and multi-bit error occurrences.
  • DRAM Dynamic Random Access Memory
  • the controller 16 is configured to support coding to ensure the integrity of the data that is stored in the buffer memory 22 .
  • the coding includes error correction coding (ECC).
  • ECC error correction coding
  • the ECC is in the form of a linear block code that, in one embodiment, is similar to a Hamming code, and in another embodiment, is similar to a BCH code, as will be discussed later.
  • the controller 16 may support Cyclic Redundancy Check (CRC) coding as well.
  • CRC Cyclic Redundancy Check
  • Data to be written to the storage media 18 is provided to the FE interface 20 , which provides the data to a CRC unit 24 and ECC unit 26 via bus 28 .
  • the CRC unit 24 and ECC unit 26 compute CRC checks and ECC checks, respectively, for the received data.
  • the checks are appended to the data.
  • the data and the checks are stored in the buffer memory 22 . When the data is read from the buffer memory 22 for transfer to the disk unit 18 , the checks are re-computed for error detection and correction, as will be described.
  • the data is moved from the storage media 18 to the processor 12 , the data is provided to the controller 16 by the storage media 18 via the BE interface 21 .
  • the data is again stored in the buffer memory 22 temporarily.
  • checks are computed and stored along with the data in the buffer memory, and then re-computed when the data is read from the buffer memory 22 for transfer to the processor 12 via the FE interface 20 .
  • the exemplary data storage system 14 as thus described with reference to FIG. 1 is intended to illustrate only those aspects of the storage system 14 which pertain to encoding and decoding of buffered data. Hence, some functions are omitted and others simplified to a level appropriate to a discussion of the encoding and decoding processes as follows.
  • Extended Hamming codes allow the correction of single bit errors and detection of two bit errors per each unit of data plus associated parity check, called a “code word”. This is accomplished by using more than one parity bit, each computed on different combinations of bits in the data.
  • the number of parity check bits required is given by the Hamming rule and is a function of the number of bits of data transmitted.
  • the Hamming rule is expressed by the following k+p+2 ⁇ 2 p where k is the number of data bits and p is the number of parity check bits.
  • the ECC utilized by the encoding and decoding mechanisms of the present invention is similar to the Extended Hamming code in some aspects. Unlike the conventional Hamming code and Extended Hamming code, which has a length of 2 p ⁇ 1 bits, inclusive of both data and parity check bits (p for Hamming code and p+1 for Extended Hamming code), however, the code described herein appends the parity check bits to 2 p ⁇ 1 data bits for a code length of (2 p ⁇ 1) plus the number of parity check bits, e.g., p+1 or p+2.
  • the ECC code word of the illustrated embodiments is generated by multiplying the data bits by a generator matrix G using modulo-2 arithmetic.
  • the (n,k) code For an (n,k) code having an n-bit code word with k-bits of data followed by “n-k” parity check bits, the (n,k) code is described by a k ⁇ n generator matrix G and by an “n-k” x n parity check matrix H.
  • the resulting code word vector (c 1 , c 2 , c 3 , . . . , c n ), includes the original data bits ‘d’ and the calculated parity bits ‘p’.
  • Hamming weight is the number of non-zero bits in a binary n-tuple.
  • the Hamming distance is the number of bits in which two binary n-tuples differ.
  • the P partition of G is responsible for the generation of the actual parity check bits.
  • Each column in P represents one parity check bit calculation computed on a subset of data d.
  • the ECC unit 26 uses an ECC to generate an “n-k”-bit parity check from a k-bit data vector.
  • k 2 14 and “n-k” is 14; however, parity checks and data vectors of other sizes may be used.
  • the parity check is stored along with the data vector during the buffer memory write cycle.
  • all of memory words of the code word c are read back from the buffer memory 22 as code word r, and are processed to determine if an error has occurred in the code word.
  • the ECC unit 26 includes the following functional units: a data interface 30 , a parity check bit generator 32 , an error detector/corrector (EDC) 34 and a control logic block 36 .
  • a vector of data from a data source e.g., the processor 12 in the case of disk writes, or the storage media 18 in the case of disk reads
  • the data interface 30 receives data from the data interface 30 over a data I/O bus 38 and then provided to the parity check bit generator 32 via data interconnect 40 .
  • the vector of data is 2 14 bits in length and is transferred 16-bit words at a time.
  • the parity check bit generator 32 As the data is received by the parity check bit generator 32 , the parity check bit generator 32 generates parity check bits for the data vector. Both the data words of the data vector and the parity check bits associated with the data vector are then written to the buffer memory 22 via data memory bus 42 and parity check memory bus 44 , respectively. During a buffer memory read operation, the data vector and associated parity check that were stored in the buffer memory 22 , now possibly in error, are retrieved from the buffer memory over the bus lines 42 and 44 . Parity check bits are re-computed for the data vector by the parity check bit generator 32 .
  • the stored parity check which has been read from buffer memory, is provided to the EDC 34 via a first parity check bus 46 and the re-computed parity check bits are provided to the EDC 34 over a second parity check bus 48 .
  • the EDC 34 also receives the data vector via the interconnect 40 .
  • the EDC 34 compares, by an EXCLUSIVE-OR (“XOR”) operation, the re-computed parity check bits with the original parity check bits that were stored in the buffer memory 22 .
  • the XOR of the stored parity check bits with the re-computed parity check bits produces a syndrome.
  • the syndrome is analyzed for error detection and correction possibilities.
  • the EDC 34 applies the appropriate error correction, as necessary, as well as providing error flags to the processor 12 in the form of a two-bit syndrome code, as will be explained below.
  • the embodiment of the ECC unit 26 shown in FIG. 2 , as well as FIGS. 9A-9B , and the buffer memory organization are based on a 16-bit word implementation. However, it will be appreciated that, as word size is a matter of design choice, other word sizes may be used.
  • the buffer memory 22 includes a plurality of buffers 50 , each having a number of buffer locations 52 for storing all 16-bit words of the data vector.
  • the illustrated embodiment assumes a payload of 1024 16-bit words (2048-bytes of data buffer) plus 2 bytes of CRC.
  • the ECC check occupies the 1026 th word of each buffer.
  • the CRC check and ECC check computed for data stored in the buffer locations 52 are stored in check buffer locations 54 and 56 , respectively.
  • a generator matrix (“G 2 ”) 60 of k rows 62 by n columns (that is, k ⁇ n elements) is given.
  • k is equal to ‘2 14 ⁇ 1’ (number of matrix rows 62 ) and n is equal to ‘2 14 ⁇ 1+14’ (number of matrix columns).
  • the matrix 60 includes a k ⁇ k element data portion 64 and a k ⁇ 14 redundancy portion 66 .
  • the elements of each row 62 in each redundancy portion 66 denoted by reference number 68 , form a binary representation of a count corresponding to the position of data in the buffer, that is, the buffer locations.
  • the elements in each row in the data portion are indicated by reference number 69 .
  • Columns 70 of the redundancy portion 66 of the generator matrix 60 are used to generate the parity check bits by selecting different combinations of data bits and generating parity from them. Thus, bits in each of the columns 70 are referred to herein as “parity generation bits”.
  • the minimum Hamming distance of the code is 2. As is known, the minimum distance of a Hamming code should be at least three in order to correct a single error.
  • the minimum distance of the code described by the generator matrix G 2 can be extended to three in a number of ways. Exemplary generator matrices of a minimum distance 3 code are shown in FIGS. 5 and 6 .
  • a generator matrix “G 3 ” 80 is produced from G 2 (of FIG. 4 ) by inserting a column of ones 82 into G 2 at any redundant bit location. This column provides another check on the data bits (data portion 64 ). With the inclusion of this new parity check generation column 82 , every row of the resulting matrix G 3 80 has a weight of at least three.
  • a second generator matrix “G′ 3 ” 80 ′ that can be used to increase the distance to 3 is formed by adding another parity check generation column 84 that provides a parity check on the redundant bits (portion 66 ) of G 2 .
  • a generator matrix “G 4 ” 90 having a minimum distance 4 code is shown. This matrix is obtained by combining the parity bits of G 3 and G′ 3 . That is, this matrix incorporates both of columns 82 and 84 , as shown.
  • column 0 corresponds to a “parity-on-parity” check generation column 84
  • column 15 corresponds to the data parity check generation column 82 .
  • the columns 82 and 84 could be inserted at other column locations within the redundancy portion 66 , however.
  • G 4 is indeed a minimum distance 4 code.
  • each row contains at least four ‘1’'s. Therefore, any combination of rows will have even weights.
  • Bits 1 to 14 provide distinct lists of all 2 14 ⁇ 1 binary representations of integers 1 to 2 14 ⁇ 1. Therefore, the sum of any two rows of G 4 has at least a single one among bit 1 to bit 14 . Together with parity check bit 0 , bits 0 through 14 have a weight of at least two for any combination of two rows. Therefore, any combination of two rows has a minimum weight of 4—two from bit 15 to bit 2 14 ⁇ 1 and two from bit 0 to bit 15 . For any linear combination of three or more rows of G 4 the parity check bit 15 guarantees that the minimum weight is 4.
  • the codes described by the generator matrices of FIGS. 5-7 can be implemented by a counter and parity check generation circuitry. It will be assumed that columns 70 produce P[ 0 ] through P[ 13 ], while column 82 is used to produce P[ 14 ] and column 84 is used to produce the most significant parity check bit, P[ 15 ].
  • the implementation of the code described by generator matrix G 4 can best be illustrated conceptually with a circuit that receives a serial bit stream of data and produces from that data the 16-bit parity check word P[ 0 ] through P[ 15 ], as shown in FIG. 8 .
  • an embodiment of the parity check bit generator 32 for a serial stream of data includes fourteen AND gates 92 a - 92 n , sixteen XOR gates 94 a - 94 p , fifteen registers 96 a - 96 o and a bit counter 98 .
  • Each bit of the serial data stream is provided to each of the AND gates 92 and XOR gate 94 o .
  • Each of AND gates 92 a - 92 n is also coupled to a bit in the bit counter 98 .
  • AND gates 92 a - 92 n are coupled to corresponding ones of the XOR gates, that is, XOR gates 94 a - 94 o , which XOR the AND gate output with the contents of corresponding registers 96 a - 96 n , which store running values of P[ 0 ] through P[ 13 ], respectively.
  • Each data bit is also provided to XOR 94 o , which XORs that bit with the value of P[ 14 ] stored in register 96 o to produce a new value of P[ 14 ].
  • multiple data bits are transferred in parallel over a parallel data path or bus, for example, a 32-bit or 64-bit data bus.
  • a parallel data path or bus for example, a 32-bit or 64-bit data bus.
  • Another exemplary implementation of the parity check bit generator 32 this time having a parallel bus of N bits and a buffer width of N-bits, usable to encode the distance 4 code (described by the generator matrix G 4 of FIG. 7 ), is shown in FIGS. 9A and 9B .
  • N is 16, but it will be appreciated that different units of data transfer and storage, e.g., 32-bit data buses and 32-bit wide buffers, may be used.
  • the parity check bit generator 32 encodes data according to the generator matrix 90 ( FIG. 7 ) to produce a 16-bit parity check word of parity check bits P[ 0 ] through P[ 15 ]. It is assumed that the parity-on-parity producing column, shown as column 84 (the first column in the redundant portion of the matrix in FIG. 7 ), is used to produce the most significant parity check bit, P[ 15 ].
  • FIG. 9A shows a portion of the parity check bit generator circuitry, circuitry 100 , used to generate parity check bits P[ 0 ] through P[ 3 ], 102 a - 102 d .
  • FIG. 9A shows a portion of the parity check bit generator circuitry, circuitry 100 , used to generate parity check bits P[ 0 ] through P[ 3 ], 102 a - 102 d .
  • 9B shows a portion of the parity check bit generator circuitry, circuitry 104 , used to generate parity check bits P[ 4 ] through P[ 15 ], 102 e - 102 p , as well as clock signal 106 and parity bus 108 .
  • the circuitry 100 includes a set of AND gates 110 a - d , XOR gates 112 a - d and registers 114 a - d .
  • the registers 114 a , 114 b , 114 c and 114 d are accumulators and thus maintain running values of P[ 0 ], P[ 1 ], P[ 2 ] and P[ 3 ], respectively. They are initialized to zero.
  • Each of the AND gates is connected to a corresponding one of the bit patterns/matrix columns 70 (shown here as 70 a , 70 b , 70 c and 79 d ) and a data bus 116 , which provides the 16-bit data words.
  • AND gate 110 a is connected to P[ 0 ] check generation bits of column 1
  • AND gate 110 b is connected to P[ 1 ] check generation bits of column 2 , and so on.
  • the XOR gates 124 receive as inputs the output of a corresponding one of the AND gates and the current content of a corresponding one of the registers 114 . That is, XOR gate 110 a receives the output of AND gate 112 a and the current value of register 114 a , XOR gate 110 b receives the output of AND gate 112 b and the current value of register 114 b , and so on.
  • the registers 114 are clocked by clock signal 106 for each new data word.
  • the parity check bits P[ 0 ]-P[ 3 ] are generated as follows.
  • the parity bit P[ 0 ] 102 a is generated as the parity sum of all data words received on bus 116 after AND'ing each data word with a 10101010101010 bit pattern according to the bit selection of the first generator matrix column.
  • P[ 0 ] is the parity of all odd bits.
  • the parity bit P[ 1 ] is generated as the parity sum of all data words after AND'ing each data word with a 1100110011001100 bit pattern according to the second generator matrix column so that P[ 1 ] is the parity of all the odd-bit pairs.
  • the parity bit P[ 2 ] is generated as the parity sum of all data words after AND'ing each data word with a 1111000011110000 bit pattern to select the odd nibbles according to the third column of the generator matrix.
  • the parity bit P[ 3 ] 102 d is generated as the parity sum of all data words after AND'ing each data word with a 1111111100000000 bit pattern to select the odd bytes according to the fourth column of the generator matrix.
  • the circuitry 104 includes a counter 120 , a set of AND gates 110 e - 110 m , a set of XOR gates 112 e - 112 o and a set of registers 114 e - 114 o .
  • the registers 114 e , 114 f , 114 g , . . . , 114 m maintain running values of P[ 4 ], P[ 5 ], P[ 6 ], . . . P[ 15 ], respectively, and are initialized to zero.
  • the registers 114 are clocked by clock signal 106 for each new data word that is received.
  • Each of the AND gates is tied to a different bit of the counter 12 , with the AND gate 110 e being tied to the counter's least significant bit and the AND gate 110 n being tied to the counter's most significant bit.
  • Each of the AND gates receives as a second input a data word parity 122 .
  • the XOR gates 112 e - 112 n receive as inputs the output of a corresponding one of the AND gates and the current content of a corresponding one of the registers 114 . That is, XOR gate 112 e receives the output of AND gate 110 e and the current value of register 114 e , XOR gate 112 f receives the output of AND gate 110 f and the current value of register 114 f , and so on.
  • the XOR gate 112 o is coupled the data word parity and the current value of P[ 14 ] stored in the register 114 o .
  • the parity bits P[ 0 ]-P[ 3 ] (from circuitry 100 , FIG. 8A ) and P[ 4 ]-P[ 14 ] stored in the corresponding registers 114 are provided to a parity circuit 124 via parity bus 108 to produce parity bit P[ 15 ] 102 p , which is stored in the last register 114 p.
  • the parity check bits P[ 4 ] through P[ 15 ] are generated as follows.
  • the counter 120 counts each of the 16-bit words as they are received in (or read from) the buffer memory.
  • the counter value represents the address offset of the data word relative to the start of a buffer.
  • the counter is a 10-bit counter and counts from 1 to 1024.
  • the circuitry 104 computes the parity bits P[ 4 ] through P[ 15 ] as follows.
  • the parity bits P[ 4 ] through P[ 13 ] are the sum the parity of whole data words depending on the address offset of the data word relative to the start of the buffer.
  • the address offset [ 0 ] For example, if the address offset [ 0 ] is set, it sums the parity of the whole word into the running P[ 4 ] register 114 e . If the address offset [ 1 ] is set, it sums the parity of the whole data word into the running P[ 5 ]. If the address offset [ 8 ] is set, it sums the parity of the whole word into the running P[ 12 ] register.
  • the parity bit P[ 14 ] (from the column of all ones) is the parity of the whole data block.
  • the parity bit P[ 15 ] is the parity of the check bits P[ 0 ] through P[ 14 ].
  • the parity check bit generator of FIGS. 9A and 9B can be suitably adapted to produce fewer parity check bits for parity check words based on the matrices G 3 and G′ 3 .
  • the circuitry involved in the generation of P[ 14 ] would not be needed for an implementation of the code described by the generator matrix G 3 ( FIG. 5 ).
  • An implementation of the code described by the generator matrix G′ 3 ( FIG. 6 ) would not require the circuit elements used to produce P[ 15 ].
  • the decoding procedure 130 begins (step 132 ) by reading the contents of the buffer, that is, the stored data and associated ECC check, from the buffer in buffer memory (step 134 ).
  • the read data is provided to the parity check bit generator, which re-computes the parity check bits for the data (step 136 ).
  • the recomputed parity check and the stored parity check are provided to the EDC, which compares the two by XOR'ing them to produce a binary syndrome value (step 138 ).
  • the decoding procedure 130 processes the syndrome value for error detection and/or correction (step 140 ) and terminates (step 142 ).
  • FIG. 11 an exemplary format of a syndrome 150 generated by the decoding procedure 130 (of FIG. 10 ) is shown.
  • the syndrome includes 16-bits, bits S[ 0 ] through S[ 15 ].
  • Bits S[4] through S[ 13 ] define an address offset location 152 and bits S[ 0 ] through S[ 3 ] define a bit location 154 .
  • Remaining bits S[ 14 ] and S[ 15 ] form a 2-bit syndrome code 156 that signals to the data source whether a signal or double bit error has been detected.
  • the address offset begins at buffer location 1 , as illustrated, to easily handle the special case of identifying an error in data word 0 .
  • the syndrome 150 is interpreted according to TABLE 1 below.
  • the first case in the table represents the normal, “no error” condition.
  • the syndrome 150 directly identifies the word location and bit location of a single error in syndrome address offset field 152 and bit location field 154 , respectively.
  • the ECC unit 26 having detected a single-bit error and determined the location in memory, performs the correction. Single bit errors in the check are also identified.
  • the processor 12 may send the ECC unit 26 through a correction cycle even though the data was not in error.
  • Double-bit errors are flagged (via the syndrome code 156 ) but not corrected. These errors may occur in any two bits in the codeword read from memory (two errors in the data, two errors in the check, or one error in each). Errors in three or more bits are beyond the capabilities of the ECC unit 26 to detect.
  • a Galois field of GF(2 14 ) may be chosen for the code. The operations are performed in this field but the error locations are ordered as 1, 2, 3, . . . , k, where k is the data length in bits.
  • a code of distance 5 can be expressed in the conventional Galois field GF(2 14 ) generated by p(x). All of the field elements of the conventional field can be expressed as a linear combination of the basis x 0 , x 1 , x 2 , x 3 , . . . , x 13 . Because the encoding and the decoding of a BCH type of code involves many operations of raising an element in power, multiplications and finding the inverse of the field element, however, a different field representation more suitable for ease of implementation may be used. Instead of using x 0 , x 1 , x 2 , . . .
  • the elements x 1 , x 2 , x 4 , x 8 , x 16 , x 32 , x 64 , x 128 , x 256 , x 512 , x 1024 , x 2048 , x 4096 , x 8192 and x 16384 are selected as the new basis, which is called a “normal” basis. It is convenient to use a 14-bit binary vector to represent the field elements, and the normal basis are:
  • a column of parity check generation bits 164 is added to the matrix so that there is a parity check generation bit added to the 14 additional bits 162 in each row.
  • a distance 6 code can be designed with 31-bit redundancy.
  • an additional column of parity check generation bits 166 (the column of right-most, underlined bits) is provided. Each bit in this column is obtained as the parity check on the three parity bits in columns 82 , 84 and 164 of the same row.
  • the additional bit does not increase the minimum distance, it reduces the mis-correction probability by approximately a factor of two.
  • FIG. 13 shows a C code implementation of encoding processing 170 for the 32-bit code described by the generator matrix 160 (of FIG. 12 ).
  • a first variable “syndrome_x — 0 ⁇ ” corresponds to the ‘1’ bit in column 82
  • a second variable “syndrome_x — 1 ⁇ ” corresponds to ⁇ k (bits 68 )
  • a third variable “syndrome_x — 5 ⁇ ” corresponds to ⁇ 5k (bits 182 ).
  • a processing step 172 sets the first variable to a ‘1’
  • a processing step 173 (routine ‘add_parity(position)) appends a single redundant bit (column 84 bit) to ⁇ k to make the total number of 1 bits an even number.
  • a processing step 174 (routine ‘add_parity(fifth_power(element))) appends a single redundant bit (column 164 bit) to the element ⁇ 5k .
  • the element ⁇ 5k is generated by multiplying the element ⁇ k by itself a total of five times, the equivalent of multiplying the element with a 2-bit cyclic shift version of the element.
  • a processing step 176 generates the parity-on-parity bit (column 166 ) from the redundant bits in columns 82 , 84 and 164 . These processing steps are repeated for each of the 2 14 ⁇ 1 matrix rows.
  • the single-error-correcting algorithm for the code described by generator matrix 160 is the same as the decoding procedure described above with reference to FIG. 10 , except that a mapping of the error location from a Galois field element to the natural number is eliminated. Instead, the error location is provided by the binary representation ⁇ k .
  • the decoding algorithm requires four or more consecutive syndromes S j , S j+1 , S j+2 and S j+3 to generate the error locator polynomial ⁇ (x).
  • Syndrome S 3 is not available, however.
  • the parity bit on S 1 is denoted as P 1
  • the parity bit on S 5 is denoted as P 5
  • the parity on S 0 , P 1 and P 5 is denoted as Pa.
  • the total number of errors in the data part and in the parity check part should add up to a number no greater than 2.
  • a matrix G′ 6 180 is formed if the elements ⁇ 5k of the generator matrix G 6 are replaced by an element ⁇ ⁇ k 182 .
  • the consecutive syndromes S ⁇ 2 , S ⁇ 1 , S 0 , S 1 and S 2 can be computed.
  • the inverse of a Galois field element is needed in the above decoding algorithm.
  • Galois field of GF(2 3 )
  • the matrix G 4 (from FIG. 7 ) for the GF(2 3 ) example is given by:
  • the read back corrupted code word is [0 0 1 1 0 0 1 1 1 1 1 0 0 0 1 0 1].
  • the generator matrix G′ 6 for the running example is in the form of the following:
  • the read back corrupted code word is [0 0 1 1 0 0 1 1 1 1 0 0 0 0 1 1].

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Detection And Correction Of Errors (AREA)

Abstract

A method of and apparatus for handling errors occurring in data stored in memory is presented. Data to be stored in a buffer memory is applied to a generator matrix to generate parity check bits. The parity check bits are stored in the buffer memory along with the data. The stored data and parity check bits are read and the read data is used to regenerate the parity check bits. A result produced from the stored and regenerated parity check bits is usable to directly identify a location of an erroneous bit of the data in the buffer memory.

Description

CROSS REFERENCE TO RELATED APPLICATIONS
This application claims priority from U.S. Provisional Patent Application No. 60/452,416, filed Mar. 6, 2003, which is incorporated herein by reference in its entirety for all purposes.
BACKGROUND
The invention relates to encoding and decoding techniques for error correction and detection.
In conventional data storage systems, data being transferred between a processor and a storage device (such as a hard disk) is buffered in memory. Typically, the buffer memory is implemented with semiconductor memory devices such as Dynamic Random Access Memory (DRAM) devices.
Semiconductor memory devices, in particular, DRAMs, are susceptible to errors known as “soft errors”. Soft errors are unexpected or unwanted changes in the value of a bit (or bits) somewhere in the memory. One bit may suddenly, randomly change state, or noise may get stored as if it were valid data. Soft errors are caused by external factors, such as terrestrial cosmic rays.
When soft errors go undetected in a data storage system, erroneous data may be written to disk, or good data read back can be corrupted before it is sent to the processor. In order to provide an acceptable level of reliability for data read from a memory array, therefore, error correcting codes (ECC) such as linear block codes have been employed to correct bit errors in the data stored in the memory. For example, Hamming codes have been used to provide single-bit error correction and double-bit error detection to preserve the data accuracy. As more than two errors cannot be properly detected by the Hamming code, often a Cyclic Redundancy Check (CRC) code is used to supplement the detection capability of the Hamming code. The CRC has no error correction capability, but can detect errors occurring in more than two bits at a time. Together, the Hamming check and CRC can correct single bit errors and detect multi-bit errors reliably.
Conventional linear block codes such as Hamming codes are efficient and easily implemented by using linear feedback shift registers as encoders. During decoding, however, the error locations are indicated by syndromes, which need to be mapped to the actual locations of the errors in the buffer memory. One common approach to this task is to use a large table, which maps the syndromes to the actual memory locations of the errors. The use of such a lookup table incurs additional complexity and cost.
SUMMARY
This invention features a coding technique that produces a syndrome that directly points to the memory location of an erroneous data word so that no syndrome conversion is needed.
In one aspect of the invention, a method of decoding errors occurring in data stored in memory includes: (i) applying data to be stored in a buffer memory to a generator matrix to generate parity check bits; (ii) storing the parity check bits in the buffer memory following the data; (iii) reading the stored data and parity check bits; (iv) re-generating the parity check bits; and (v) producing from the stored and re-regenerated parity check bits a syndrome of a form that is usable to directly identify a location of an erroneous bit of the data in the buffer memory.
In another aspect of the invention, an apparatus includes a controller coupled to a storage medium and a buffer memory coupled to the controller for storing data to be written to the storage medium and data read from the storage medium. The controller is operable to perform the following steps: (i) applying data to be stored in a buffer memory to a generator matrix to generate parity check bits; (ii) storing the parity check bits in the buffer memory following the data; (iii) reading the stored data and parity check bits; (iv) regenerating the parity check bits; and (v) producing from the stored and regenerated parity check bits a result that is usable to directly identify a location of an erroneous bit of the data in the buffer memory.
Other features and advantages of the invention will be apparent from the following detailed description, and from the claims.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 shows a block diagram of a data storage system that employs an error correcting code (ECC) unit encoder that produces error syndromes that directly identify error locations in buffer memory.
FIG. 2 is a block diagram of the ECC unit (shown in FIG. 1) having a parity check bit generator used during encoding and decoding, and an error detector/corrector (EDC) used during decoding.
FIG. 3 is a depiction of memory buffers, each of which stores a block of data followed by a CRC check and ECC check computed for the data during encoding.
FIG. 4 is a depiction of a generator matrix with a Hamming distance of two.
FIG. 5 is a depiction of a generator matrix with a Hamming distance of three for a code with single-bit error correction capability.
FIG. 6 is a depiction of an alternative generator matrix with a Hamming distance of three for a code with single-bit error correction capability.
FIG. 7 is a depiction of a generator matrix with a Hamming distance of four for a code with single-bit error correction capability.
FIG. 8 is a schematic diagram of a single-bit embodiment of the parity check bit generator (of FIG. 2) that implements parity check generation based on the generator matrix of FIG. 7.
FIGS. 9A and 9B collectively show a schematic diagram of an exemplary 16-bit embodiment of the parity check bit generator (of FIG. 2) that implements parity check generation based on the generator matrix of FIG. 7.
FIG. 10 is a flow diagram of a decoding procedure performed by the ECC unit of FIG. 2.
FIG. 11 is an exemplary format of a syndrome produced by the decoding procedure of FIG. 10.
FIG. 12 is a depiction of a generator matrix with a distance of six for a code with double-bit error correction capability.
FIG. 13 is a C-code representation of a 32-bit embodiment of the parity check bit generator (of FIG. 2) that implements parity check generation based on the generator matrix of FIG. 12.
FIG. 14 is a depiction of an alternative generator matrix with a distance of six for a code with double-bit error correction capability.
Like reference numerals will be used to represent like elements.
DETAILED DESCRIPTION
Referring to FIG. 1, an exemplary data processing system 10 is shown. The data processing system 10 includes a processor 12 coupled to an I/O system 14. The I/O system 14 includes a controller 16 coupled to an I/O device 18. In one embodiment, as illustrated in the figure, the I/O system 14 is a data storage system 14 and the I/O device includes storage media 18, as shown. The storage media 18 can be a hard disk unit or some other type of mass storage. Alternatively, the controller 16 could be connected to some other type of I/O device, for example, a network device such as a transceiver that transmits and receives data over a network.
In the illustrated embodiment, the data storage system 14 includes a front end (FE) interface 20 that interfaces the controller 16 to the processor 12, a back end (BE) interface 21 that interfaces the controller 16 to the storage media 18 and a buffer memory 22, which serves as a staging area for data being transferred between the processor 12 and the storage media 18 via the two interfaces 20, 21. In one embodiment, the buffer memory 22 is implemented as Dynamic Random Access Memory (DRAM) which, as discussed above, may be particularly vulnerable to single- and multi-bit error occurrences.
In one exemplary embodiment, the controller 16 is configured to support coding to ensure the integrity of the data that is stored in the buffer memory 22. In particular, the coding includes error correction coding (ECC). The ECC is in the form of a linear block code that, in one embodiment, is similar to a Hamming code, and in another embodiment, is similar to a BCH code, as will be discussed later. For additional error detection capability, and as shown, the controller 16 may support Cyclic Redundancy Check (CRC) coding as well.
Data to be written to the storage media 18 is provided to the FE interface 20, which provides the data to a CRC unit 24 and ECC unit 26 via bus 28. The CRC unit 24 and ECC unit 26 compute CRC checks and ECC checks, respectively, for the received data. The checks are appended to the data. The data and the checks are stored in the buffer memory 22. When the data is read from the buffer memory 22 for transfer to the disk unit 18, the checks are re-computed for error detection and correction, as will be described.
Similarly, when data is moved from the storage media 18 to the processor 12, the data is provided to the controller 16 by the storage media 18 via the BE interface 21. The data is again stored in the buffer memory 22 temporarily. As before, checks are computed and stored along with the data in the buffer memory, and then re-computed when the data is read from the buffer memory 22 for transfer to the processor 12 via the FE interface 20.
The exemplary data storage system 14 as thus described with reference to FIG. 1 is intended to illustrate only those aspects of the storage system 14 which pertain to encoding and decoding of buffered data. Hence, some functions are omitted and others simplified to a level appropriate to a discussion of the encoding and decoding processes as follows.
As is well known in the art, Extended Hamming codes allow the correction of single bit errors and detection of two bit errors per each unit of data plus associated parity check, called a “code word”. This is accomplished by using more than one parity bit, each computed on different combinations of bits in the data. The number of parity check bits required is given by the Hamming rule and is a function of the number of bits of data transmitted. The Hamming rule is expressed by the following k+p+2≦2p where k is the number of data bits and p is the number of parity check bits.
In one embodiment, as illustrated in and described with reference to FIGS. 3-11, the ECC utilized by the encoding and decoding mechanisms of the present invention is similar to the Extended Hamming code in some aspects. Unlike the conventional Hamming code and Extended Hamming code, which has a length of 2p−1 bits, inclusive of both data and parity check bits (p for Hamming code and p+1 for Extended Hamming code), however, the code described herein appends the parity check bits to 2p−1 data bits for a code length of (2p−1) plus the number of parity check bits, e.g., p+1 or p+2.
As with the conventional Hamming and Extended Hamming codes, the ECC code word of the illustrated embodiments is generated by multiplying the data bits by a generator matrix G using modulo-2 arithmetic. For an (n,k) code having an n-bit code word with k-bits of data followed by “n-k” parity check bits, the (n,k) code is described by a k×n generator matrix G and by an “n-k” x n parity check matrix H. The resulting code word vector (c1, c2, c3, . . . , cn), includes the original data bits ‘d’ and the calculated parity bits ‘p’. Hamming weight is the number of non-zero bits in a binary n-tuple. The Hamming distance is the number of bits in which two binary n-tuples differ.
The generator matrix G used in constructing the ECC consists of an identity matrix I and a parity generation matrix P: G=[IkP]. The P partition of G is responsible for the generation of the actual parity check bits. Each column in P represents one parity check bit calculation computed on a subset of data d. Validating the received code word r (in the illustrated embodiment, the received code word is the code word as read from the buffer memory 22) involves multiplying it by the parity check matrix to form a syndrome vector “s”. That is, where parity matrix H=[PTIn-k], syndrome s=H*rT. If all elements of s are zero, the code word was received correctly. Typically, if s contains non-zero elements, the bit in error can be corrected as long as the error involves only a single bit.
Still referring to FIG. 1, the ECC unit 26 uses an ECC to generate an “n-k”-bit parity check from a k-bit data vector. In the illustrated embodiment, k=214 and “n-k” is 14; however, parity checks and data vectors of other sizes may be used. The parity check is stored along with the data vector during the buffer memory write cycle. During the buffer memory read cycle, all of memory words of the code word c are read back from the buffer memory 22 as code word r, and are processed to determine if an error has occurred in the code word.
Referring to FIG. 2, the ECC unit 26 includes the following functional units: a data interface 30, a parity check bit generator 32, an error detector/corrector (EDC) 34 and a control logic block 36. During a buffer memory write operation, a vector of data from a data source (e.g., the processor 12 in the case of disk writes, or the storage media 18 in the case of disk reads) is received by the data interface 30 over a data I/O bus 38 and then provided to the parity check bit generator 32 via data interconnect 40. In the illustrated embodiment of the ECC unit 26, the vector of data is 214 bits in length and is transferred 16-bit words at a time. As the data is received by the parity check bit generator 32, the parity check bit generator 32 generates parity check bits for the data vector. Both the data words of the data vector and the parity check bits associated with the data vector are then written to the buffer memory 22 via data memory bus 42 and parity check memory bus 44, respectively. During a buffer memory read operation, the data vector and associated parity check that were stored in the buffer memory 22, now possibly in error, are retrieved from the buffer memory over the bus lines 42 and 44. Parity check bits are re-computed for the data vector by the parity check bit generator 32. The stored parity check, which has been read from buffer memory, is provided to the EDC 34 via a first parity check bus 46 and the re-computed parity check bits are provided to the EDC 34 over a second parity check bus 48. The EDC 34 also receives the data vector via the interconnect 40. The EDC 34 compares, by an EXCLUSIVE-OR (“XOR”) operation, the re-computed parity check bits with the original parity check bits that were stored in the buffer memory 22. The XOR of the stored parity check bits with the re-computed parity check bits produces a syndrome. The syndrome is analyzed for error detection and correction possibilities. The EDC 34 applies the appropriate error correction, as necessary, as well as providing error flags to the processor 12 in the form of a two-bit syndrome code, as will be explained below.
The embodiment of the ECC unit 26 shown in FIG. 2, as well as FIGS. 9A-9B, and the buffer memory organization are based on a 16-bit word implementation. However, it will be appreciated that, as word size is a matter of design choice, other word sizes may be used.
Referring to FIG. 3, the buffer memory 22, shown only in partial view, includes a plurality of buffers 50, each having a number of buffer locations 52 for storing all 16-bit words of the data vector. The illustrated embodiment assumes a payload of 1024 16-bit words (2048-bytes of data buffer) plus 2 bytes of CRC. The ECC check occupies the 1026th word of each buffer. The CRC check and ECC check computed for data stored in the buffer locations 52 are stored in check buffer locations 54 and 56, respectively.
Referring to FIG. 4, a generator matrix (“G2”) 60 of k rows 62 by n columns (that is, k×n elements) is given. In the illustrated embodiment, k is equal to ‘214−1’ (number of matrix rows 62) and n is equal to ‘214−1+14’ (number of matrix columns). The matrix 60 includes a k×k element data portion 64 and a k×14 redundancy portion 66. The elements of each row 62 in each redundancy portion 66, denoted by reference number 68, form a binary representation of a count corresponding to the position of data in the buffer, that is, the buffer locations. The elements in each row in the data portion are indicated by reference number 69. Columns 70 of the redundancy portion 66 of the generator matrix 60 are used to generate the parity check bits by selecting different combinations of data bits and generating parity from them. Thus, bits in each of the columns 70 are referred to herein as “parity generation bits”.
It can be seen from the figure that the minimum Hamming distance of the code is 2. As is known, the minimum distance of a Hamming code should be at least three in order to correct a single error.
The minimum distance of the code described by the generator matrix G2 can be extended to three in a number of ways. Exemplary generator matrices of a minimum distance 3 code are shown in FIGS. 5 and 6. Referring first to FIG. 5, a generator matrix “G380 is produced from G2 (of FIG. 4) by inserting a column of ones 82 into G2 at any redundant bit location. This column provides another check on the data bits (data portion 64). With the inclusion of this new parity check generation column 82, every row of the resulting matrix G 3 80 has a weight of at least three. Referring now to FIG. 6, a second generator matrix “G′380′ that can be used to increase the distance to 3 is formed by adding another parity check generation column 84 that provides a parity check on the redundant bits (portion 66) of G2.
Referring to FIG. 7, a generator matrix “G490 having a minimum distance 4 code is shown. This matrix is obtained by combining the parity bits of G3 and G′3. That is, this matrix incorporates both of columns 82 and 84, as shown. In the illustrated matrix, column 0 corresponds to a “parity-on-parity” check generation column 84 and column 15 corresponds to the data parity check generation column 82. The columns 82 and 84 could be inserted at other column locations within the redundancy portion 66, however.
It is a simple matter to prove that G4 is indeed a minimum distance 4 code. First, it can be seen that each row contains at least four ‘1’'s. Therefore, any combination of rows will have even weights. Bits 1 to 14 provide distinct lists of all 214−1 binary representations of integers 1 to 214−1. Therefore, the sum of any two rows of G4 has at least a single one among bit 1 to bit 14. Together with parity check bit 0, bits 0 through 14 have a weight of at least two for any combination of two rows. Therefore, any combination of two rows has a minimum weight of 4—two from bit 15 to bit 2 14−1 and two from bit 0 to bit 15. For any linear combination of three or more rows of G4 the parity check bit 15 guarantees that the minimum weight is 4.
The codes described by the generator matrices of FIGS. 5-7 can be implemented by a counter and parity check generation circuitry. It will be assumed that columns 70 produce P[0] through P[13], while column 82 is used to produce P[14] and column 84 is used to produce the most significant parity check bit, P[15]. The implementation of the code described by generator matrix G4 can best be illustrated conceptually with a circuit that receives a serial bit stream of data and produces from that data the 16-bit parity check word P[0] through P[15], as shown in FIG. 8.
Referring to FIG. 8, an embodiment of the parity check bit generator 32 for a serial stream of data includes fourteen AND gates 92 a-92 n, sixteen XOR gates 94 a-94 p, fifteen registers 96 a-96 o and a bit counter 98. Each bit of the serial data stream is provided to each of the AND gates 92 and XOR gate 94 o. Each of AND gates 92 a-92 n is also coupled to a bit in the bit counter 98. The outputs of AND gates 92 a-92 n are coupled to corresponding ones of the XOR gates, that is, XOR gates 94 a-94 o, which XOR the AND gate output with the contents of corresponding registers 96 a-96 n, which store running values of P[0] through P[13], respectively. Each data bit is also provided to XOR 94 o, which XORs that bit with the value of P[14] stored in register 96 o to produce a new value of P[14]. When the bit counter has counted all 214 data bits, the final values of P[0] through P[14] are XOR'ed by the XOR gate 94 p to produce P[15], which is stored in register 96 p. The final values of the parity check bits P[0] through P[15] are then read from registers 96 a-96 p, respectively.
It will be appreciated that the circuit elements used to produce P[15], that is, XOR 94 p and register 96 p, could be eliminated for an implementation of the code described by the generator matrices G′3 (FIG. 6). Similarly, an implementation of the code described by the generator matrix G3 (FIG. 5) would not require circuit elements 94 o and 96 o used to produce P[14].
In practice, multiple data bits are transferred in parallel over a parallel data path or bus, for example, a 32-bit or 64-bit data bus. Another exemplary implementation of the parity check bit generator 32, this time having a parallel bus of N bits and a buffer width of N-bits, usable to encode the distance 4 code (described by the generator matrix G4 of FIG. 7), is shown in FIGS. 9A and 9B. In the illustrated example, N is 16, but it will be appreciated that different units of data transfer and storage, e.g., 32-bit data buses and 32-bit wide buffers, may be used.
Referring to FIGS. 9A and 9B, the parity check bit generator 32 encodes data according to the generator matrix 90 (FIG. 7) to produce a 16-bit parity check word of parity check bits P[0] through P[15]. It is assumed that the parity-on-parity producing column, shown as column 84 (the first column in the redundant portion of the matrix in FIG. 7), is used to produce the most significant parity check bit, P[15]. FIG. 9A shows a portion of the parity check bit generator circuitry, circuitry 100, used to generate parity check bits P[0] through P[3], 102 a-102 d. FIG. 9B shows a portion of the parity check bit generator circuitry, circuitry 104, used to generate parity check bits P[4] through P[15], 102 e-102 p, as well as clock signal 106 and parity bus 108.
Referring to FIG. 9A, the circuitry 100 includes a set of AND gates 110 a-d, XOR gates 112 a-d and registers 114 a-d. The registers 114 a, 114 b, 114 c and 114 d are accumulators and thus maintain running values of P[0], P[1], P[2] and P[3], respectively. They are initialized to zero. Each of the AND gates is connected to a corresponding one of the bit patterns/matrix columns 70 (shown here as 70 a, 70 b, 70 c and 79 d) and a data bus 116, which provides the 16-bit data words. More specifically, AND gate 110 a is connected to P[0] check generation bits of column 1, AND gate 110 b is connected to P[1] check generation bits of column 2, and so on. The XOR gates 124 receive as inputs the output of a corresponding one of the AND gates and the current content of a corresponding one of the registers 114. That is, XOR gate 110 a receives the output of AND gate 112 a and the current value of register 114 a, XOR gate 110 b receives the output of AND gate 112 b and the current value of register 114 b, and so on. The registers 114 are clocked by clock signal 106 for each new data word.
Still referring to FIG. 9A, the parity check bits P[0]-P[3] are generated as follows. The parity bit P[0] 102 a is generated as the parity sum of all data words received on bus 116 after AND'ing each data word with a 1010101010101010 bit pattern according to the bit selection of the first generator matrix column. Thus, P[0] is the parity of all odd bits. The parity bit P[1] is generated as the parity sum of all data words after AND'ing each data word with a 1100110011001100 bit pattern according to the second generator matrix column so that P[1] is the parity of all the odd-bit pairs. The parity bit P[2] is generated as the parity sum of all data words after AND'ing each data word with a 1111000011110000 bit pattern to select the odd nibbles according to the third column of the generator matrix. The parity bit P[3] 102 d is generated as the parity sum of all data words after AND'ing each data word with a 1111111100000000 bit pattern to select the odd bytes according to the fourth column of the generator matrix.
Referring to FIG. 9B, the circuitry 104 includes a counter 120, a set of AND gates 110 e-110 m, a set of XOR gates 112 e-112 o and a set of registers 114 e-114 o. The registers 114 e, 114 f, 114 g, . . . , 114 m maintain running values of P[4], P[5], P[6], . . . P[15], respectively, and are initialized to zero. The registers 114 are clocked by clock signal 106 for each new data word that is received. Each of the AND gates is tied to a different bit of the counter 12, with the AND gate 110 e being tied to the counter's least significant bit and the AND gate 110 n being tied to the counter's most significant bit. Each of the AND gates receives as a second input a data word parity 122. The XOR gates 112 e-112 n receive as inputs the output of a corresponding one of the AND gates and the current content of a corresponding one of the registers 114. That is, XOR gate 112 e receives the output of AND gate 110 e and the current value of register 114 e, XOR gate 112 f receives the output of AND gate 110 f and the current value of register 114 f, and so on. The XOR gate 112 o is coupled the data word parity and the current value of P[14] stored in the register 114 o. The parity bits P[0]-P[3] (from circuitry 100, FIG. 8A) and P[4]-P[14] stored in the corresponding registers 114 are provided to a parity circuit 124 via parity bus 108 to produce parity bit P[15] 102 p, which is stored in the last register 114 p.
Still referring to FIG. 9B, the parity check bits P[4] through P[15] are generated as follows. The counter 120 counts each of the 16-bit words as they are received in (or read from) the buffer memory. Thus, the counter value represents the address offset of the data word relative to the start of a buffer. In this example, the counter is a 10-bit counter and counts from 1 to 1024. The circuitry 104 computes the parity bits P[4] through P[15] as follows. The parity bits P[4] through P[13] are the sum the parity of whole data words depending on the address offset of the data word relative to the start of the buffer. For example, if the address offset [0] is set, it sums the parity of the whole word into the running P[4] register 114 e. If the address offset [1] is set, it sums the parity of the whole data word into the running P[5]. If the address offset [8] is set, it sums the parity of the whole word into the running P[12] register. The parity bit P[14] (from the column of all ones) is the parity of the whole data block. The parity bit P[15] is the parity of the check bits P[0] through P[14].
As was the case with the single bit embodiment of FIG. 8, the parity check bit generator of FIGS. 9A and 9B can be suitably adapted to produce fewer parity check bits for parity check words based on the matrices G3 and G′3. The circuitry involved in the generation of P[14] would not be needed for an implementation of the code described by the generator matrix G3 (FIG. 5). An implementation of the code described by the generator matrix G′3 (FIG. 6) would not require the circuit elements used to produce P[15].
Referring to FIG. 10, an exemplary decoding procedure 130 used to decode an erroneous code word read from a buffer in the buffer memory 22 is shown. The decoding procedure 130 begins (step 132) by reading the contents of the buffer, that is, the stored data and associated ECC check, from the buffer in buffer memory (step 134). The read data is provided to the parity check bit generator, which re-computes the parity check bits for the data (step 136). The recomputed parity check and the stored parity check are provided to the EDC, which compares the two by XOR'ing them to produce a binary syndrome value (step 138). The decoding procedure 130 processes the syndrome value for error detection and/or correction (step 140) and terminates (step 142).
Referring to FIG. 11, an exemplary format of a syndrome 150 generated by the decoding procedure 130 (of FIG. 10) is shown. The syndrome includes 16-bits, bits S[0] through S[15]. Bits S[4] through S[13] define an address offset location 152 and bits S[0] through S[3] define a bit location 154. Remaining bits S[14] and S[15] form a 2-bit syndrome code 156 that signals to the data source whether a signal or double bit error has been detected. The address offset begins at buffer location 1, as illustrated, to easily handle the special case of identifying an error in data word 0.
The syndrome 150 is interpreted according to TABLE 1 below.
TABLE 1
SYNDROME SYNDROME SYNDROME
BIT [15] BIT [14] BITS [13:0] INTERPRETATION
0 0 0 No error
0 0 ≠0 Two-bit error in data
0 1 0 Error in data
0 1 ≠0 Single-bit error in
data; word number
(location) and bit
number of bit in error
indicated by syn-
drome bits [13:4] &
syndrome bits [3:0],
respectively
1 0 0 Error in check, no
error in data
1 0 ≠0 Error in check and
data; two-bit error
detected in data
1 1 0 Error in check and in
data
1 1 ≠0 Error in check and
data; single-bit error
detected in data; word
number (location) and
bit number of bit in
error indicated by syn-
drome bits [13:4] &
syndrome bits [3:0],
respectively
The first case in the table represents the normal, “no error” condition. In the fourth and eighth cases (corresponding to a single-bit error), where at least one of bits S[14] and S[15] is a ‘1’ and the bits S[0] through S[13] are not all zeros, the syndrome 150 directly identifies the word location and bit location of a single error in syndrome address offset field 152 and bit location field 154, respectively. The ECC unit 26, having detected a single-bit error and determined the location in memory, performs the correction. Single bit errors in the check are also identified. In response to a parity check error, the processor 12 may send the ECC unit 26 through a correction cycle even though the data was not in error. Double-bit errors are flagged (via the syndrome code 156) but not corrected. These errors may occur in any two bits in the codeword read from memory (two errors in the data, two errors in the check, or one error in each). Errors in three or more bits are beyond the capabilities of the ECC unit 26 to detect.
To increase the distance of the ECC further, more redundant bits are needed in the generator matrix. Thus, in another embodiment that uses a code similar to a BCH code, as described hereinafter with reference to FIGS. 12-14, double-bit error correction capability is achieved.
As shown in FIG. 12, to increase the minimum distance to five, a generator matrix “G5160 is formed by adding 14 bits 162 (bits 15 to 28) to the redundant bits in each row of the generator matrix G4 in such a way that these 14 bits have the same properties as the first 14 bits 68 of G4. If the first 14 bits 68 are denoted βk, then the additional 14 bits 162 can be βsk provided “s” does not divide 214−1. Because 3 divides 214−1, the next smallest integer that can be used for s is 5. Another value of s that may be used is 214−2=−1.
A Galois field of GF(214) may be chosen for the code. The operations are performed in this field but the error locations are ordered as 1, 2, 3, . . . , k, where k is the data length in bits. As usual, an irreducible polynomial of degree 14 p(x)=x14+x13+x12+x9+x8+x+1 is selected to generate all of the field elements as xk mod p(x) for k=0, 1, 2, . . . , 214−2.
A code of distance 5 can be expressed in the conventional Galois field GF(214) generated by p(x). All of the field elements of the conventional field can be expressed as a linear combination of the basis x0, x1, x2, x3, . . . , x13. Because the encoding and the decoding of a BCH type of code involves many operations of raising an element in power, multiplications and finding the inverse of the field element, however, a different field representation more suitable for ease of implementation may be used. Instead of using x0, x1, x2, . . . x13 as the basis, the elements x1, x2, x4, x8, x16, x32, x64, x128, x256, x512, x1024, x2048, x4096, x8192 and x16384 are selected as the new basis, which is called a “normal” basis. It is convenient to use a 14-bit binary vector to represent the field elements, and the normal basis are:
x1=<binary>00 0000 0000 0001=<hex>0001
x2=<binary>00 0000 0000 0010=<hex>0002
x4=<binary>00 0000 0000 0100=<hex>0004
x8=<binary>00 0000 0000 1000=<hex>0008
x16=<binary>00 0000 0001 0000=<hex>0010
x32=<binary>00 0000 0010 0000=<hex>0020
x64=<binary>00 0000 0100 0000=<hex>0040
x128=<binary>00 0000 1000 0000=<hex>0080
x256=<binary>00 0001 0000 0000=<hex>0100
x512=<binary>00 0010 0000 0000=<hex>0200
x1024=<binary>00 0100 0000 0000=<hex>0400
x2048=<binary>01 1000 0000 0000=<hex>0800
x4096=<binary>10 0000 0000 0000=<hex>1000
x8192=<binary>10 0000 0000 0000=<hex>2000
If the field elements with normal basis representation are denoted βk for k=0, 1, 2, 3, . . . , 214−2, then the first few field elements of βk are given by:
B0 = <hex> 3fff
β1 = <hex> 0001
β2 = <hex> 0002
β3 = <hex> 0021
β4 = <hex> 0004
β5 = <hex> 0120
β6 = <hex> 0042
β7 = <hex> 1121
β8 = <hex> 0008
β9 = <hex> 1400
β10 = <hex> 0240
β11 = <hex> 1d00
β12 = <hex> 0084
β13 = <hex> 0093
β13 = <hex> 0930
β14 = <hex> 2242
β15 = <hex> 3d31
β16 = <hex> 0010
β17 = <hex> 2080
β18 = <hex> 2800
β19 = <hex> 2290
β20 = <hex> 0480
β21 = <hex> 1d00
.
.
.
It can be seen that β2*k can be obtained from βk by cyclic rotation to the left by one bit. Therefore, all βk*q can be obtained from βk by cyclic rotation of βk to the left by s bit if q=2s.
Still referring to FIG. 12, to achieve a code having a minimum distance 6, a column of parity check generation bits 164 is added to the matrix so that there is a parity check generation bit added to the 14 additional bits 162 in each row. In this manner a distance 6 code can be designed with 31-bit redundancy. To make the number of bits a practical number of 32-bits of 4 bytes, an additional column of parity check generation bits 166 (the column of right-most, underlined bits) is provided. Each bit in this column is obtained as the parity check on the three parity bits in columns 82, 84 and 164 of the same row. Although the additional bit does not increase the minimum distance, it reduces the mis-correction probability by approximately a factor of two.
FIG. 13 shows a C code implementation of encoding processing 170 for the 32-bit code described by the generator matrix 160 (of FIG. 12). Initially, a first variable “syndrome_x 0^” corresponds to the ‘1’ bit in column 82, a second variable “syndrome_x 1^” corresponds to βk (bits 68) and a third variable “syndrome_x 5^” corresponds to β5k (bits 182). A processing step 172 sets the first variable to a ‘1’, a processing step 173 (routine ‘add_parity(position)) appends a single redundant bit (column 84 bit) to βk to make the total number of 1 bits an even number. A processing step 174 (routine ‘add_parity(fifth_power(element))) appends a single redundant bit (column 164 bit) to the element β5k. The element β5k is generated by multiplying the element βk by itself a total of five times, the equivalent of multiplying the element with a 2-bit cyclic shift version of the element. A processing step 176 generates the parity-on-parity bit (column 166) from the redundant bits in columns 82, 84 and 164. These processing steps are repeated for each of the 214−1 matrix rows.
The single-error-correcting algorithm for the code described by generator matrix 160 is the same as the decoding procedure described above with reference to FIG. 10, except that a mapping of the error location from a Galois field element to the natural number is eliminated. Instead, the error location is provided by the binary representation βk.
A double-error-correction decoding algorithm for the code described by generator matrix 160 will now be described. The decoding algorithm requires four or more consecutive syndromes Sj, Sj+1, Sj+2 and Sj+3 to generate the error locator polynomial σ(x). The syndrome S0 for a double-error is equal to 0, and the syndromes S1, S2=(S1)2, S4=(S1)4 and S5 are readily available from the parity check bits. Syndrome S3 is not available, however. The consecutive syndromes are denoted as S0, S1, S2, Z, S4, S5 and Z2, where S6=(S3)2=Z2. The parity bit on S1 is denoted as P1, the parity bit on S5 is denoted as P5, and the parity on S0, P1 and P5 is denoted as Pa.
First, for a single error correction, an error locator polynomial σ(x)=σ1x+1, where σ1=S1, is assumed. If S5=(S1)5, the correction is correct. If the single correction fails, then a two-error correction should be attempted assuming the error locator polynomial to be σ(x)=σ2x21x+β0.
Using the first three consecutive syndromes gives σ2S01S10S2=0. If one substitutes S0=0 and S2=S1 2, then σ1=S1. Using the next three consecutive syndromes starting with S1 gives σ2S11S20Z=0. Substituting θ1=S1 in the equation gives σ2S11(S1)2+Z=0. Using the next three consecutive syndromes starting at S4 gives σ2S41S50Z2=0. By substituting σ1=S1 and S4=(S1)4, the equation becomes σ2(S1)4+S1*S5+Z2=0. Therefore, the equations to solve are as follows:
σ2 S 1+(S 1)2 +Z=0  Eq. 1
σ2(S 1)4 +S 1 *S 5 +Z 2=0  Eq. 2
The first equation (Eq. 1) is squared and then added to the second equation (Eq. 2) to form (σ2)2(S1)22(S1)4+(S1)4+S1*S5=0. The resulting equation is a quadratic equation for the unknown σ2, which can be solved with known techniques. Note that there are two solutions to σ2, but only one of them with yield the correct results.
An alternative approach is to solve Z first, by multiplying Eq. 1 by (S1)3 and then adding it to Eq. 2, to give (S1)5+S1*S5+(S1)3*Z+Z2=0. The resulting equation is a quadratic equation in Z, which can be solved for Z=S3. As in the case of σ2, there are two solutions for Z, but only one correct solution. Once S3 is known, a conventional BCH decoding algorithm can be used to find the error locator polynomial σ(x).
When the number of errors in the data is known from the solved σ(x), the total number of errors in the data part and in the parity check part should add up to a number no greater than 2.
Conditions that indicate the number of errors in the check bits (excluding the error in Pa) are provided in TABLE 2 below.
TABLE 2
Case S0 P1 P5 Pa Number of errors in check bits
0 0 0 0 0 0
1 0 0 0 1 0
2 0 0 1 0 1
3 0 0 1 1 1
4 0 1 0 0 1
5 0 1 0 1 1
6 0 1 1 0 2
7 0 1 1 1 2
8 1 0 0 0 1
9 1 0 0 1 1
10 1 0 1 0 2
11 1 0 1 1 2
12 1 1 0 0 2
13 1 1 0 1 2
14 1 1 1 0 3
15 1 1 1 1 3
In an alternative implementation, and referring to FIG. 14, a matrix G′6 180 is formed if the elements β5k of the generator matrix G6 are replaced by an element β −k 182. In this case, the consecutive syndromes S−2, S−1, S0, S1 and S2 can be computed. Noting that S−2=(S−1)2 and S2=(S1)2, the equations for the degree 2 σ(x) can be formed as σ2*S−21*S−10*S0=0 with S0=0 and S−2=(S−1)2, which gives σ12*S−1. Using the next three consecutive syndromes gives σ2*S−11*S00*S1=0, with S0=0. Therefore, the coefficients for σ(x) become σ2=S1/S−1 and σ1=S1.
The inverse of a Galois field element is needed in the above decoding algorithm. The operations for the inverse computation can be performed in the following manner. Given a 14-bit Galois field element A=(a2, a1), where a2 is the upper 7 bits of A and a1 is the lower 7 bits of A, a second 14-bit Galois field element B is formed by swapping the upper 7 bits with the lower 7 bits in A so that B=(a1, a2). It is possible to form C=A*B and use a table-lookup to find the inverse of C, namely, C−1. Once C−1 has be determined, the inverse of A, A−1, can be determined from A−1=C−1*B. The table used in the table-lookup requires only 128 entries.
To illustrate the encoding/decoding method of the double error correcting code, an example using a Galois field of GF(23) is provided as follows. The example assumes the Galois field is generated by the irreducible polynomial p(x)=x3+x2+1, with the field elements {0=(000), α0=(001), α1=(010), α2=(100), α3=(101), α4=(111), α5=(011), α6=(110)}. This field is represented by the conventional representation. That is, the basis includes three elements: α0=(001); α1=(010); and α2=(100). To use normal basis representation, the basis includes the elements α1=(010), α2=(100) and α4=(111).
All of the elements in normal basis representations can be obtained as follows. The method uses βj=[xyz] to represent the normal basis representation, where [xyz] is a binary number. The element βj=[xyz] is defined as follows:
βj =[xyz]=x*α 4 +y*α 2 +z*α 1 =x*β 4 +y*β 2 +z*β 1.
With this definition and by setting y=z=0 and x=1, it is possible to obtain β4=[100]=α4; and, similarly, β2=[010]=α2, and β1=[001]=α1. The normal basis representation of all of the elements can be readily obtained, and are given by the following:
[000]=0; [001]=β11; [010]=β22; [011]=β2121=(100)+(010)=(110)=α66; [100]=β44; [101]=β4141=(111)+(010)=(101)=α33; [110]=β4242=(111)+(100)=(011)=α55; and [111]=β421421=(111)+(100)+(010)=(001)=α00. The relation and elements are summarized below:
α0=(001)=β0=[111]
α1=(010)=β1=[001]
α2=(100)=β2=[010]
α3=(101)=β3=[101]
α4=(111)=β4=[100]
α5=(011)=β5=[110]
α6=(110)=β6=[011]
From this point onward, only the normal basis representation βj is used.
The matrix G4 (from FIG. 7) for the GF(23) example is given by:
G 4 = 1 0 0 0 0 0 0 1 1 1 1 1 0 1 0 0 0 0 0 1 1 1 0 0 0 0 1 0 0 0 0 1 1 0 1 0 0 0 0 1 0 0 0 1 1 0 0 1 0 0 0 0 1 0 0 1 0 1 1 0 0 0 0 0 0 1 0 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 1 = 1 0 0 0 0 0 0 1 β 0 1 0 1 0 0 0 0 0 1 β 5 0 0 0 1 0 0 0 0 1 β 3 0 0 0 0 1 0 0 0 1 β 4 1 0 0 0 0 1 0 0 1 β 6 0 0 0 0 0 0 1 0 1 β 2 1 0 0 0 0 0 1 0 1 β 1 1
The matrix G6 (from FIG. 12) for the present example is given by (with columns 1 and 3 represented by βk and β5k, respectively):
G 6 = 1 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 0 1 0 0 0 0 0 1 1 1 0 0 1 0 0 1 0 0 1 0 0 0 0 1 1 0 1 0 0 0 1 1 0 0 0 1 0 0 0 1 1 0 0 1 0 1 1 0 0 0 0 0 1 0 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0 1 0 1 0 1 0 1 1 0 1 0 0 0 0 0 0 0 1 1 0 0 1 1 1 1 0 0 = 1 0 0 0 0 0 0 1 β 0 1 β 0 1 0 1 0 0 0 0 0 1 β 5 0 β 4 1 0 0 1 0 0 0 0 1 β 3 0 β 1 1 0 0 0 1 0 0 0 1 β 4 1 β 6 0 0 0 0 0 1 0 0 1 β 6 0 β 2 1 0 0 0 0 0 1 0 1 β 2 1 β 3 0 0 0 0 0 0 1 0 1 β 1 1 β 5 0
Letting the information bits be [0 0 1 0 0 1 1], the code word is given by [0 0 1 0 0 1 1]*G6=[0 0 1 0 0 1 1 1 1 1 0 0 0 1 0 1]=c. Assuming an error is [0 0 0 1 0 1 0 0 0 0 0 0 0 0 0 0]=e, the read back corrupted code word is [0 0 1 1 0 0 1 1 1 1 0 0 0 1 0 1]. The data bit of the read back corrupted code word is used to compute the following check bits:
[0 0 1 1 0 0 1]*G6=[1 000 0 100 1]. Exclusive-ORing the above with the check bits of the read back corrupted codeword gives:
[1 1 1 0 0 0 1 0 1]+[1 000 0 100 1]=[0 110 0 110 0]=[1 β 5 0 β5 0]. Therefore, S15 and S55.
Assuming the two errors are βi and βj, it is possible to form the following two equations:
βij =S 15;  and Eq. 3
β5i5j =S 55.  Eq. 4
Raising Eq. 3 to the fifth power gives the following equation:
β5i4ij++βi4j5j254.  Eq. 5
Adding Eq. 4 to Eq. 5 gives
β4ij++βi4jij*(β3i3j)=β542, or
βij*(β3i3j)=β2.  Eq. 6
In Eq. 6, both βij and (β3i3j) are unknown, and the goal is to find βij. Raising S1 to cubic power gives:
β3i2ijii2j3j =S 1 31, or
3i3j)+βij*(βij)=β1, or
3i3j)+βij *S 11, or
3i3j)+βij51.  Eq. 7
Substituting Eq. 7 into Eq. 6 provides the following:
βij*(βij51)=β2, or
ij)25+(βij)*β12.  Eq. 8
If every term is divided by β5, then (βij)2+(βij)*β34=0.
By letting (βij)=β3*W, β6*W26*W+β4=0, which leads to the following:
W 2 +W+β 5=0  Eq. 9
To solve a quadratic equation in GF(2m), it is necessary to pre-store the solutions β1+(β1)26=[011] and β6+(β6)23=[101]. The requirement in this example is that the constant term has to be of even weight. In Eq. 9, the constant term is β5=[110], which can be decomposed into [110]=[011]+[101]. Consequently, one solution of Eq. 9 is W=β162. The other solution for W is β203. Therefore, the two solutions for (βij)=β3*W are β5 and β6.
The method first tries (βij)=β5 and (βij)=S15 in finding the solutions to x25*x+β5=0. Using the same procedure as before, and letting x=β5*y, gives (β5*y)2+(β5)2*y+β5=0. Dividing every term by (β5)2 gives y2+y+β2=0. Since β2=[010] has an odd weight, y2+y+β2=0 has no solutions.
Next the method solves the quadratic equation for (βij)=β6, which gives the following equation:
x 25 *x+β 6=0.  Eq. 10
Using x=β5*y results in (β5*y)2+(β5)2*y+β6=0. Dividing every term by (β5)2 then gives:
y 2 +y+β 3=0.  Eq. 11
From the foregoing it can be seen that one solution for Eq. 11 is y=β6 and the other solution for Eq. 11 is y=β604. Thus, the solutions for x25*x+β6=0 (Eq. 10) are x=β4 and x=β2. This result can be verified by determining that β425=S1.
The first error location is given by β4=[100]. The actual error occurs at location 100−1=011 or bit 3, assuming the right-most data bit is bit 0. The second error location is given by β2=[010]. Again, if the right-most data bit is bit 0, the actual error occurs at location 010−1=001 or bit 1.
For the case of G′6, the error locations are obtained from βk and β−k. Using βk and β−k may simplify the computation. The generator matrix G′6 for the running example is in the form of the following:
G 6 = 1 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 0 1 0 0 0 0 0 1 1 1 0 0 0 1 0 1 0 0 1 0 0 0 0 1 1 0 1 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 0 0 1 0 0 1 0 1 1 0 0 0 1 1 0 0 0 0 0 1 0 1 0 1 0 1 1 1 0 0 0 0 0 0 0 0 1 1 0 0 1 1 0 1 1 0 = 1 0 0 0 0 0 0 1 β 0 1 β 0 1 0 1 0 0 0 0 0 1 β 5 0 β 2 1 0 0 1 0 0 0 0 1 β 3 0 β 4 1 0 0 0 1 0 0 0 1 β 4 1 β 3 0 0 0 0 0 1 0 0 1 β 6 0 β 1 1 0 0 0 0 0 1 0 1 β 2 1 β 5 0 0 0 0 0 0 1 0 1 β 1 1 β 6 0
Assuming the information bits to be [0 0 1 0 0 1 1], the code word is given by [0 0 1 0 0 1 1]*G6′=[0 0 1 0 0 1 1 1 1 1 0 0 0 0 1 1]=c′. Assuming the error is [0 0 1 0 1 0 0 0 0 0 0 0 0 0 0]=e′=e, the read back corrupted code word is [0 0 1 1 0 0 1 1 1 1 0 0 0 0 1 1]. Using the data bit of the read back corrupted code word to compute the check bits thus gives
[0 0 1 1 0 0 1]*G6′=[1 000 0 010 1]. Exclusive-ORing the above with the check bit of the read back corrupted codeword gives
[1 1 1 0 0 0 0 1 1]+[1 000 0 010 1]=[0 110 0 011 0]=[1 β 5 0 β6 0]. Therefore, S15 and S−16.
Assuming the two errors are βi and βj, it is possible to form the following two equations:
βij =S 15; and  Eq. 12
β−i−j =S −16.  Eq. 13
Since it is known that S0=0 because there are two errors, then (βij)*S−1+(βij)*S00*S1=0, or (βij)*β65=0, and thus (βij)=β566. The equation to solve is the following:
x 2+(βij)*x+(βij)=0, or x 25 *x+β 6=0.  Eq. 14
Since Eq. 14 is the same as Eq. 10, it is understood to be the correct equation.
It is to be understood that while the invention has been described in conjunction with the detailed description thereof, the foregoing description is intended to illustrate and not limit the scope of the invention, which is defined by the scope of the appended claims. Other embodiments are within the scope of the following claims. All publications and references cited herein are expressly incorporated herein by reference in their entirety.

Claims (27)

1. A method of decoding errors occurring in data stored in memory, comprising:
applying data to be stored in a buffer memory as a plurality of data words to a generator matrix to generate a set of parity check bits;
storing the plurality of data words in a plurality of data buffer locations in the buffer memory and the set of parity check bits in one or more parity check buffer locations in the buffer memory, the parity check buffer locations being different locations than the data buffer locations that contain the data;
reading all of the stored data words and the set of parity check bits from the respective data buffer and parity check buffer locations;
regenerating the set of parity check bits for all of the data words; and
producing from all of the stored and the set of regenerated parity check bits a result that is usable to directly identify:
i. the data buffer location that contains a data word with an erroneous bit and
ii. the position of the erroneous bit in the data word contained in the identified data buffer location.
2. The method of claim 1 wherein the result is in the form of a syndrome.
3. The method of claim 1 wherein the result identifies an address of the data buffer location.
4. The method of claim 1 wherein the generator matrix comprises a data portion and a parity check generation portion, and the parity check generation portion comprises rows of bits corresponding to binary representations of the data buffer locations used to store the data words.
5. The method of claim 4 wherein the parity check generation portion of the generator matrix comprises columns of bit sequences usable to select combinations of data bits for parity check bit generation, each column corresponding to a different parity check bit.
6. The method of claim 5 wherein the generator matrix has a minimum distance of three and describes an error correction code with single-bit error correction capability.
7. The method of claim 6 wherein one of the columns is usable to generate a parity check bit for the parity check bits that correspond to the data.
8. The method of claim 6 wherein one of the columns is usable to select data parity in producing a parity check bit.
9. The method of claim 5 wherein the generator matrix has a minimum distance of four and describes an error correction code with single-bit error correction capability.
10. The method of claim 9 wherein the columns comprise a column to select data parity in producing a parity check bit and a column to generate a parity check bit for the parity check bits that correspond to the data.
11. The method of claim 5 wherein the generator matrix has a minimum distance of five and describes an error correction code with a double-bit error correction capability, and wherein producing comprises:
producing from the stored and regenerated parity check bits a result that is usable to directly identify the positions of two erroneous bits of the data word contained in the identified data buffer location.
12. The method of claim 11 wherein the rows of the parity check generation portion comprise bits corresponding to a first field element and a second field element, and wherein the second field element has the same properties as the first field element.
13. The method of claim 12 wherein the second field element is generated from the first field element.
14. The method of claim 12 wherein the second field element is generated from the first field element by a cyclic rotation of bits in the first field element.
15. The method of claim 12 wherein the first and second field elements are field elements of a Galois field of GF(2p), where p is an integer.
16. The method of claim 15 wherein the first element comprises a binary representation βk and the second element comprises a binary representation βsk where k is an integer in a range of 1 to 2p−1 and s does not divide 2p−1.
17. The method of claim 16 wherein the integer p is equal to 14.
18. The method of claim 17 wherein s is equal to 5.
19. The method of claim 16 wherein the two erroneous bits are associated with ones of the first and second elements, and the first and second elements provide the positions of the two erroneous bits.
20. The method of claim 19 wherein the first element comprises a binary representation βk and the second element comprises a binary representation β−k where k is an integer in a range of 1 to 2p−1.
21. The method of claim 13 wherein the first element comprises a normal basis representation of a binary number.
22. An encoding method comprising:
applying data to be stored in a buffer memory to a generator matrix as a plurality of data words to generate a set of parity check bits for all the data words;
wherein the generator matrix comprises a data portion and a parity check generation portion, and the parity check generation portion comprises rows of bits corresponding to binary representations of respective data buffer locations to be used to store all of the data words;
storing all of the data words in the buffer memory at the data buffer locations; and
storing the set of parity check bits in one or more parity check locations of the buffer memory, the parity check locations being different locations than the data buffer locations that contain the data.
23. A data storage system comprising:
a storage medium;
a controller coupled to the storage medium; and
a buffer memory coupled to the storage medium and the controller for storing data to be written to the storage medium and data read from the storage medium;
wherein the controller is operable to perform the following steps:
applying data to be stored in a buffer memory as a plurality of data words to a generator matrix to generate a set of parity check bits;
storing all of the data words in a plurality of data buffer locations and the set of parity check bits in one or more parity check buffer locations of the buffer memory, the parity check buffer locations being different than the data buffer locations that contain the data;
reading all of the stored data words and the set of parity check bits;
regenerating the set parity check bits for all of the data words; and
producing from all of the stored data words and all of the regenerated parity check bits a result that is usable to directly identify:
i. a data buffer location that contains a data word with an erroneous bit, and
ii. the position of the erroneous bit in the data word.
24. A data storage system comprising:
a storage medium;
a controller coupled to the storage medium; and
a buffer memory coupled to the storage medium and the controller for storing data to be written to the storage medium and data read from the storage medium;
wherein the controller is operable to perform the following steps:
applying data to be stored in the buffer memory as a plurality of data words to a generator matrix to generate a set of parity check bits for all the data words, the generator matrix comprising a data portion and a parity check generation portion;
the parity check generation portion comprises rows of bits corresponding to binary representations of the respective data buffer locations to be used to store the data;
storing all of the data words in the buffer memory at the data buffer locations; and
storing the set of parity check bits in the buffer memory in one or more parity check buffer locations that are different than the data buffer locations that contain the data.
25. An apparatus comprising:
a controller coupled to a storage medium; and
a buffer memory coupled to the controller for storing data to be written to the storage medium and data read from the storage medium;
wherein the controller is operable to perform the following steps:
applying data to be stored in a buffer memory as a plurality of data words to a generator matrix to generate a set of parity check bits;
storing all of the data words in respective data buffer memory locations and the set parity check bits in one or more parity check buffer locations that are different locations than the data buffer locations that contain the data;
reading all of the stored data words and the set of parity check bits;
regenerating the set of parity check bits for all of the data words; and
producing from all of the stored data words and the set of regenerated parity check bits a result that is usable to directly identify:
i. a data buffer location of a data word that contains an erroneous bit and
ii. the position of the erroneous bit in the data word.
26. An apparatus comprising:
a controller coupled to a storage medium; and
a buffer memory coupled to the controller for storing a plurality of data words to be written to the storage medium and read from the storage medium;
wherein the controller is operable to perform the following steps:
applying all of the data words to be stored in a plurality of addressable data buffer locations in the buffer memory to a generator matrix to generate a set of parity check bits, the generator matrix comprising a data portion and a parity check generation portion;
wherein the parity check generation portion comprises rows of bits corresponding to binary representations of the data buffer locations to be used to store all of the data words;
storing all of the data words in the buffer memory at the addressable data buffer locations; and
storing the set of parity check bits in the buffer memory in one or more addressable parity check buffer locations that have are different addresses than the data buffer locations that contain all of the data words.
27. An apparatus comprising:
a controller coupled to a storage medium; and
a buffer memory coupled to the controller for storing a plurality of data words to be written to the storage medium and read from the storage medium, the buffer memory including separately addressable multiple symbol storage locations;
wherein the controller is operable to perform the following steps:
applying all of the data words to a generator matrix to generate a set of parity check bits, the generator matrix including a parity check generation portion that includes rows of bits that correspond to the addressable storage locations to be used to store the data;
storing all of the data words in the corresponding addressable storage locations as a plurality of data words;
storing the set of parity check bits generated by the generator matrix in storage locations that are addressable separately from the storage locations in which the data words are stored;
retrieving all of the data words and the set of parity check bits from their respective storage locations and regenerating the set of parity check bits; and
producing from the stored and regenerated parity check bits a result that is usable to directly identify:
i. the addressable storage location that contains a data word with an erroneous bit, and
ii. the position of the erroneous bit in the data word contained in the identified storage location.
US10/608,320 2003-03-06 2003-06-27 Simple error-correction codes for data buffers Expired - Fee Related US7278085B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/608,320 US7278085B1 (en) 2003-03-06 2003-06-27 Simple error-correction codes for data buffers

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US45241603P 2003-03-06 2003-03-06
US10/608,320 US7278085B1 (en) 2003-03-06 2003-06-27 Simple error-correction codes for data buffers

Publications (1)

Publication Number Publication Date
US7278085B1 true US7278085B1 (en) 2007-10-02

Family

ID=38535934

Family Applications (1)

Application Number Title Priority Date Filing Date
US10/608,320 Expired - Fee Related US7278085B1 (en) 2003-03-06 2003-06-27 Simple error-correction codes for data buffers

Country Status (1)

Country Link
US (1) US7278085B1 (en)

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060200733A1 (en) * 2005-03-01 2006-09-07 Stankovic Vladimir M Multi-source data encoding, transmission and decoding using Slepian-Wolf codes based on channel code partitioning
US20060200724A1 (en) * 2005-03-01 2006-09-07 Stankovic Vladimir M Multi-source data encoding, transmission and decoding using Slepian-Wolf codes based on channel code partitioning
US20070157064A1 (en) * 2005-12-27 2007-07-05 D.S.P. Group Ltd. Systems and methods for error corrections
US20070198890A1 (en) * 2005-11-13 2007-08-23 International Business Machines Corporation Method for creating an error correction coding scheme
US20080104477A1 (en) * 2003-03-19 2008-05-01 Stmicroelectronics S.R.I. Method for performing error corrections of digital information codified as a symbol sequence
US20080133684A1 (en) * 1999-09-29 2008-06-05 Tetsuro Motoyama Method and system for remote diagnostic, control and information collection based on various communication modes for sending messages to users
US20080148129A1 (en) * 2006-12-14 2008-06-19 Regents Of The University Of Minnesota Error detection and correction using error pattern correcting codes
US20080320364A1 (en) * 2007-06-20 2008-12-25 Texas Instruments Incorporated Adding known data to crc processing without increased processing time
US20090089646A1 (en) * 2007-10-02 2009-04-02 Masanobu Hirose Semiconductor storage device
US20100146369A1 (en) * 2005-05-27 2010-06-10 International Business Machines Corporation Soft Error Protection in Individual Memory Devices
US20100293443A1 (en) * 2006-10-31 2010-11-18 Josef Newald Method for transmitting a data transfer block and method and system for transferring a data transfer block
US20100306632A1 (en) * 2009-05-27 2010-12-02 International Business Machines Corporation Error detection using parity compensation in binary coded decimal and densely packed decimal conversions
US20110047439A1 (en) * 2009-08-20 2011-02-24 Broadcom Corporation Soft error rate protection for memories
US20110099451A1 (en) * 2009-10-22 2011-04-28 Arm Limited Error control coding for single error correction and double error detection
US20110246768A1 (en) * 2010-04-06 2011-10-06 King Saud University Systems and methods improving cryptosystems with biometrics
US20110289381A1 (en) * 2010-05-24 2011-11-24 Oracle International Corporation Memory system that provides guaranteed component-failure correction with double-error correction
US8069392B1 (en) * 2007-10-16 2011-11-29 Integrated Device Technology, Inc. Error correction code system and method
US20120233521A1 (en) * 2011-03-08 2012-09-13 Kwok Zion S Apparatus, system, and method for decoding linear block codes in a memory controller
US20130139028A1 (en) * 2011-11-28 2013-05-30 Texas Instruments Incorporated Extended Bidirectional Hamming Code for Double-Error Correction and Triple-Error Detection
US20150098263A1 (en) * 2013-10-03 2015-04-09 Fujitsu Semiconductor Limited Ferroelectric memory device
US9037564B2 (en) 2011-04-29 2015-05-19 Stephen Lesavich Method and system for electronic content storage and retrieval with galois fields on cloud computing networks
US9137250B2 (en) 2011-04-29 2015-09-15 Stephen Lesavich Method and system for electronic content storage and retrieval using galois fields and information entropy on cloud computing networks
US20150363267A1 (en) * 2014-06-17 2015-12-17 Arm Limited Error detection in stored data values
US9361479B2 (en) 2011-04-29 2016-06-07 Stephen Lesavich Method and system for electronic content storage and retrieval using Galois fields and geometric shapes on cloud computing networks
US9430443B1 (en) * 2015-05-08 2016-08-30 Norwegian University Of Science And Technology Systematic coding technique
US9529671B2 (en) 2014-06-17 2016-12-27 Arm Limited Error detection in stored data values
US9569308B1 (en) * 2013-07-15 2017-02-14 Rambus Inc. Reduced-overhead error detection and correction
US9569771B2 (en) 2011-04-29 2017-02-14 Stephen Lesavich Method and system for storage and retrieval of blockchain blocks using galois fields
US9891976B2 (en) 2015-02-26 2018-02-13 Arm Limited Error detection circuitry for use with memory
US10211853B2 (en) * 2015-08-17 2019-02-19 Lattice Semiconductor Corporation Method of transmitting and receiving audio signals and apparatus thereof
US20190068226A1 (en) * 2017-01-18 2019-02-28 Shenzhen GOODIX Technology Co., Ltd. Code word generating method, erroneous bit determining method, and circuits thereof
US10552260B2 (en) * 2017-06-12 2020-02-04 Cirrus Logic, Inc. Detection of double bit errors and correction of single bit errors in a multiword array
CN112887504A (en) * 2021-01-20 2021-06-01 南昌航空大学 Information hiding method and extracting method based on overlapped Hamming codes
US11032023B1 (en) * 2019-05-21 2021-06-08 Tarana Wireless, Inc. Methods for creating check codes, and systems for wireless communication using check codes
US20230089443A1 (en) * 2021-09-17 2023-03-23 Nxp B.V. Method to increase the usable word width of a memory providing an error correction scheme
US11881277B2 (en) 2021-08-06 2024-01-23 Samsung Electronics Co., Ltd. Memory device and operating method thereof

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4862462A (en) * 1987-02-12 1989-08-29 Honeywell Bull Italia S.P.A. Memory systems and related error detection and correction apparatus
US5452429A (en) * 1993-11-17 1995-09-19 International Business Machines Corporation Error correction code on add-on cards for writing portions of data words
US5490155A (en) * 1992-10-02 1996-02-06 Compaq Computer Corp. Error correction system for n bits using error correcting code designed for fewer than n bits
US5537425A (en) * 1992-09-29 1996-07-16 International Business Machines Corporation Parity-based error detection in a memory controller
US5555250A (en) * 1994-10-14 1996-09-10 Compaq Computer Corporation Data error detection and correction system
US5612965A (en) * 1994-04-26 1997-03-18 Unisys Corporation Multiple memory bit/chip failure detection
US5666371A (en) * 1995-02-24 1997-09-09 Unisys Corporation Method and apparatus for detecting errors in a system that employs multi-bit wide memory elements
US5784393A (en) * 1995-03-01 1998-07-21 Unisys Corporation Method and apparatus for providing fault detection to a bus within a computer system
US6799291B1 (en) * 2000-11-20 2004-09-28 International Business Machines Corporation Method and system for detecting a hard failure in a memory array

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4862462A (en) * 1987-02-12 1989-08-29 Honeywell Bull Italia S.P.A. Memory systems and related error detection and correction apparatus
US5537425A (en) * 1992-09-29 1996-07-16 International Business Machines Corporation Parity-based error detection in a memory controller
US5663969A (en) * 1992-09-29 1997-09-02 International Business Machines Corporation Parity-based error detection in a memory controller
US5490155A (en) * 1992-10-02 1996-02-06 Compaq Computer Corp. Error correction system for n bits using error correcting code designed for fewer than n bits
US5452429A (en) * 1993-11-17 1995-09-19 International Business Machines Corporation Error correction code on add-on cards for writing portions of data words
US5612965A (en) * 1994-04-26 1997-03-18 Unisys Corporation Multiple memory bit/chip failure detection
US5555250A (en) * 1994-10-14 1996-09-10 Compaq Computer Corporation Data error detection and correction system
US5666371A (en) * 1995-02-24 1997-09-09 Unisys Corporation Method and apparatus for detecting errors in a system that employs multi-bit wide memory elements
US5784393A (en) * 1995-03-01 1998-07-21 Unisys Corporation Method and apparatus for providing fault detection to a bus within a computer system
US6799291B1 (en) * 2000-11-20 2004-09-28 International Business Machines Corporation Method and system for detecting a hard failure in a memory array

Cited By (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080133684A1 (en) * 1999-09-29 2008-06-05 Tetsuro Motoyama Method and system for remote diagnostic, control and information collection based on various communication modes for sending messages to users
US20080104477A1 (en) * 2003-03-19 2008-05-01 Stmicroelectronics S.R.I. Method for performing error corrections of digital information codified as a symbol sequence
US8966335B2 (en) * 2003-03-19 2015-02-24 Micron Technology, Inc. Method for performing error corrections of digital information codified as a symbol sequence
US10630317B2 (en) 2003-03-19 2020-04-21 Micron Technology, Inc. Method for performing error corrections of digital information codified as a symbol sequence
US20060200724A1 (en) * 2005-03-01 2006-09-07 Stankovic Vladimir M Multi-source data encoding, transmission and decoding using Slepian-Wolf codes based on channel code partitioning
US8065592B2 (en) 2005-03-01 2011-11-22 The Texas A&M University System Multi-source data encoding, transmission and decoding using slepian-wolf codes based on channel code partitioning
US20060200733A1 (en) * 2005-03-01 2006-09-07 Stankovic Vladimir M Multi-source data encoding, transmission and decoding using Slepian-Wolf codes based on channel code partitioning
US20110029846A1 (en) * 2005-03-01 2011-02-03 The Texas A&M University System Multi-source data encoding, transmission and decoding using slepian-wolf codes based on channel code partitioning
US7779326B2 (en) * 2005-03-01 2010-08-17 The Texas A&M University System Multi-source data encoding, transmission and decoding using Slepian-Wolf codes based on channel code partitioning
US7653867B2 (en) * 2005-03-01 2010-01-26 The Texas A&M University System Multi-source data encoding, transmission and decoding using Slepian-Wolf codes based on channel code partitioning
US20100146369A1 (en) * 2005-05-27 2010-06-10 International Business Machines Corporation Soft Error Protection in Individual Memory Devices
US8949685B2 (en) * 2005-05-27 2015-02-03 International Business Machines Corporation Soft error protection in individual memory devices
US20080244353A1 (en) * 2005-11-13 2008-10-02 International Business Machines Corporation Method for creating an error correction coding scheme
US20070198890A1 (en) * 2005-11-13 2007-08-23 International Business Machines Corporation Method for creating an error correction coding scheme
US7797611B2 (en) * 2005-11-14 2010-09-14 International Business Machines Corporation Creating an error correction coding scheme and reducing data loss
US8321762B2 (en) 2005-11-14 2012-11-27 International Business Machines Corporation Method for creating an error correction coding scheme
US20070157064A1 (en) * 2005-12-27 2007-07-05 D.S.P. Group Ltd. Systems and methods for error corrections
US7562283B2 (en) * 2005-12-27 2009-07-14 D.S.P. Group Ltd. Systems and methods for error correction using binary coded hexidecimal or hamming decoding
US20100293443A1 (en) * 2006-10-31 2010-11-18 Josef Newald Method for transmitting a data transfer block and method and system for transferring a data transfer block
US8413017B2 (en) * 2006-10-31 2013-04-02 Robert Bosch Gmbh Method for transmitting a data transfer block and method and system for transferring a data transfer block
US8108759B2 (en) * 2006-12-14 2012-01-31 Regents Of The University Of Minnesota Error detection and correction using error pattern correcting codes
US20080148129A1 (en) * 2006-12-14 2008-06-19 Regents Of The University Of Minnesota Error detection and correction using error pattern correcting codes
US8127211B2 (en) * 2007-06-20 2012-02-28 Texas Instruments Incorporated Adding known data to CRC processing without increased processing time
US20080320364A1 (en) * 2007-06-20 2008-12-25 Texas Instruments Incorporated Adding known data to crc processing without increased processing time
US8151173B2 (en) * 2007-10-02 2012-04-03 Panasonic Corporation Semiconductor storage device comprising memory array including normal array and parity array
US20090089646A1 (en) * 2007-10-02 2009-04-02 Masanobu Hirose Semiconductor storage device
US8069392B1 (en) * 2007-10-16 2011-11-29 Integrated Device Technology, Inc. Error correction code system and method
US8286061B2 (en) * 2009-05-27 2012-10-09 International Business Machines Corporation Error detection using parity compensation in binary coded decimal and densely packed decimal conversions
US20100306632A1 (en) * 2009-05-27 2010-12-02 International Business Machines Corporation Error detection using parity compensation in binary coded decimal and densely packed decimal conversions
US20110047439A1 (en) * 2009-08-20 2011-02-24 Broadcom Corporation Soft error rate protection for memories
US8327249B2 (en) * 2009-08-20 2012-12-04 Broadcom Corporation Soft error rate protection for memories
US8381083B2 (en) * 2009-10-22 2013-02-19 Arm Limited Error control coding for single error correction and double error detection
US20110099451A1 (en) * 2009-10-22 2011-04-28 Arm Limited Error control coding for single error correction and double error detection
US9825761B2 (en) * 2010-04-06 2017-11-21 King Saud University Systems and methods improving cryptosystems with biometrics
US20110246768A1 (en) * 2010-04-06 2011-10-06 King Saud University Systems and methods improving cryptosystems with biometrics
US8335976B2 (en) * 2010-05-24 2012-12-18 Oracle America, Inc. Memory system that provides guaranteed component-failure correction with double-error correction
US20110289381A1 (en) * 2010-05-24 2011-11-24 Oracle International Corporation Memory system that provides guaranteed component-failure correction with double-error correction
US20120233521A1 (en) * 2011-03-08 2012-09-13 Kwok Zion S Apparatus, system, and method for decoding linear block codes in a memory controller
US8612834B2 (en) * 2011-03-08 2013-12-17 Intel Corporation Apparatus, system, and method for decoding linear block codes in a memory controller
US9037564B2 (en) 2011-04-29 2015-05-19 Stephen Lesavich Method and system for electronic content storage and retrieval with galois fields on cloud computing networks
US9137250B2 (en) 2011-04-29 2015-09-15 Stephen Lesavich Method and system for electronic content storage and retrieval using galois fields and information entropy on cloud computing networks
US9361479B2 (en) 2011-04-29 2016-06-07 Stephen Lesavich Method and system for electronic content storage and retrieval using Galois fields and geometric shapes on cloud computing networks
US9569771B2 (en) 2011-04-29 2017-02-14 Stephen Lesavich Method and system for storage and retrieval of blockchain blocks using galois fields
US20130139028A1 (en) * 2011-11-28 2013-05-30 Texas Instruments Incorporated Extended Bidirectional Hamming Code for Double-Error Correction and Triple-Error Detection
US8694872B2 (en) * 2011-11-28 2014-04-08 Texas Instruments Incorporated Extended bidirectional hamming code for double-error correction and triple-error detection
US9569308B1 (en) * 2013-07-15 2017-02-14 Rambus Inc. Reduced-overhead error detection and correction
US9785500B1 (en) 2013-07-15 2017-10-10 Rambus Inc. Reduced-overhead error detection and correction
US20150098263A1 (en) * 2013-10-03 2015-04-09 Fujitsu Semiconductor Limited Ferroelectric memory device
US9190136B2 (en) * 2013-10-03 2015-11-17 Fujitsu Semiconductor Limited Ferroelectric memory device
US20150363267A1 (en) * 2014-06-17 2015-12-17 Arm Limited Error detection in stored data values
US9529671B2 (en) 2014-06-17 2016-12-27 Arm Limited Error detection in stored data values
US9760438B2 (en) * 2014-06-17 2017-09-12 Arm Limited Error detection in stored data values
US9891976B2 (en) 2015-02-26 2018-02-13 Arm Limited Error detection circuitry for use with memory
US9430443B1 (en) * 2015-05-08 2016-08-30 Norwegian University Of Science And Technology Systematic coding technique
US10979083B2 (en) * 2015-08-17 2021-04-13 Lattice Semiconductor Corporation Method of transmitting and receiving audio signals and apparatus thereof
US10211853B2 (en) * 2015-08-17 2019-02-19 Lattice Semiconductor Corporation Method of transmitting and receiving audio signals and apparatus thereof
US20190068226A1 (en) * 2017-01-18 2019-02-28 Shenzhen GOODIX Technology Co., Ltd. Code word generating method, erroneous bit determining method, and circuits thereof
US10992319B2 (en) * 2017-01-18 2021-04-27 Shenzhen GOODIX Technology Co., Ltd. Code word generating method, erroneous bit determining method, and circuits thereof
US10552260B2 (en) * 2017-06-12 2020-02-04 Cirrus Logic, Inc. Detection of double bit errors and correction of single bit errors in a multiword array
US11916667B1 (en) 2019-05-21 2024-02-27 Tarana Wireless, Inc. Cubic low-density parity-check code encoder
US11032023B1 (en) * 2019-05-21 2021-06-08 Tarana Wireless, Inc. Methods for creating check codes, and systems for wireless communication using check codes
CN112887504A (en) * 2021-01-20 2021-06-01 南昌航空大学 Information hiding method and extracting method based on overlapped Hamming codes
CN112887504B (en) * 2021-01-20 2022-08-23 南昌航空大学 Information hiding method and extracting method based on overlapped Hamming codes
US11881277B2 (en) 2021-08-06 2024-01-23 Samsung Electronics Co., Ltd. Memory device and operating method thereof
US20230089443A1 (en) * 2021-09-17 2023-03-23 Nxp B.V. Method to increase the usable word width of a memory providing an error correction scheme
US11694761B2 (en) * 2021-09-17 2023-07-04 Nxp B.V. Method to increase the usable word width of a memory providing an error correction scheme

Similar Documents

Publication Publication Date Title
US7278085B1 (en) Simple error-correction codes for data buffers
US6041430A (en) Error detection and correction code for data and check code fields
US6615387B1 (en) Method and apparatus for error detection
CA1204874A (en) Multibyte error correcting system involving a two- level code structure
US11740960B2 (en) Detection and correction of data bit errors using error correction codes
US7370264B2 (en) H-matrix for error correcting circuitry
US7398449B1 (en) Encoding 64-bit data nibble error correct and cyclic-redundancy code (CRC) address error detect for use on a 76-bit memory module
US5226043A (en) Apparatus and method for data error detection and correction and address error detection in a memory system
JP4036338B2 (en) Method and apparatus for correcting and detecting multiple spotty byte errors in a byte with a limited number of error bytes
KR100833600B1 (en) Error correction circuit, method there-of and semiconductor memory device including the circuit
US5418796A (en) Synergistic multiple bit error correction for memory of array chips
US9450613B2 (en) Apparatus and method for error correction and error detection
US5856987A (en) Encoder and decoder for an SEC-DED-S4ED rotational code
JP2008165808A (en) Error correction circuit and method for reducing miscorrection probability and semiconductor memory device including the circuit
US20100299575A1 (en) Method and system for detection and correction of phased-burst errors, erasures, symbol errors, and bit errors in a received symbol string
EP0373764B1 (en) Correction of random and burst errors
EP0147336B1 (en) Error correcting and detecting system
US8694872B2 (en) Extended bidirectional hamming code for double-error correction and triple-error detection
US20090110109A1 (en) Apparatus and method for generating a transmit signal and apparatus and method for extracting an original message from a received signal
WO2006029243A1 (en) Memory array error correction
US20130318423A1 (en) Mis-correction and no-correction rates for error control
JPH0529935B2 (en)
US20050149834A1 (en) (18, 9) Error correction code for double error correction and triple error detection
US5459740A (en) Method and apparatus for implementing a triple error detection and double error correction code
US10567007B2 (en) Device and method of processing a data word using checkbits

Legal Events

Date Code Title Description
AS Assignment

Owner name: MAXTOR CORPORATION, COLORADO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WENG, LIH-JYH;BUCH, BRUCE;REEL/FRAME:014247/0465

Effective date: 20030623

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT

Free format text: SECURITY AGREEMENT;ASSIGNORS:MAXTOR CORPORATION;SEAGATE TECHNOLOGY LLC;SEAGATE TECHNOLOGY INTERNATIONAL;REEL/FRAME:022757/0017

Effective date: 20090507

Owner name: WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATE

Free format text: SECURITY AGREEMENT;ASSIGNORS:MAXTOR CORPORATION;SEAGATE TECHNOLOGY LLC;SEAGATE TECHNOLOGY INTERNATIONAL;REEL/FRAME:022757/0017

Effective date: 20090507

AS Assignment

Owner name: SEAGATE TECHNOLOGY INTERNATIONAL, CALIFORNIA

Free format text: RELEASE;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:025662/0001

Effective date: 20110114

Owner name: SEAGATE TECHNOLOGY HDD HOLDINGS, CALIFORNIA

Free format text: RELEASE;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:025662/0001

Effective date: 20110114

Owner name: SEAGATE TECHNOLOGY LLC, CALIFORNIA

Free format text: RELEASE;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:025662/0001

Effective date: 20110114

Owner name: MAXTOR CORPORATION, CALIFORNIA

Free format text: RELEASE;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS ADMINISTRATIVE AGENT;REEL/FRAME:025662/0001

Effective date: 20110114

AS Assignment

Owner name: THE BANK OF NOVA SCOTIA, AS ADMINISTRATIVE AGENT,

Free format text: SECURITY AGREEMENT;ASSIGNOR:SEAGATE TECHNOLOGY LLC;REEL/FRAME:026010/0350

Effective date: 20110118

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: SEAGATE TECHNOLOGY US HOLDINGS, INC., CALIFORNIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATERAL AGENT AND SECOND PRIORITY REPRESENTATIVE;REEL/FRAME:030833/0001

Effective date: 20130312

Owner name: EVAULT INC. (F/K/A I365 INC.), CALIFORNIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATERAL AGENT AND SECOND PRIORITY REPRESENTATIVE;REEL/FRAME:030833/0001

Effective date: 20130312

Owner name: SEAGATE TECHNOLOGY INTERNATIONAL, CAYMAN ISLANDS

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATERAL AGENT AND SECOND PRIORITY REPRESENTATIVE;REEL/FRAME:030833/0001

Effective date: 20130312

Owner name: SEAGATE TECHNOLOGY LLC, CALIFORNIA

Free format text: TERMINATION AND RELEASE OF SECURITY INTEREST IN PATENT RIGHTS;ASSIGNOR:WELLS FARGO BANK, NATIONAL ASSOCIATION, AS COLLATERAL AGENT AND SECOND PRIORITY REPRESENTATIVE;REEL/FRAME:030833/0001

Effective date: 20130312

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20191002