US20240006223A1 - Method for semiconductor die edge protection and semiconductor die separation - Google Patents

Method for semiconductor die edge protection and semiconductor die separation Download PDF

Info

Publication number
US20240006223A1
US20240006223A1 US18/368,449 US202318368449A US2024006223A1 US 20240006223 A1 US20240006223 A1 US 20240006223A1 US 202318368449 A US202318368449 A US 202318368449A US 2024006223 A1 US2024006223 A1 US 2024006223A1
Authority
US
United States
Prior art keywords
substrate
dielectric layer
semiconductor
semiconductor dies
trenches
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US18/368,449
Inventor
Brandon P. Wirz
Andrew M. Bayless
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US18/368,449 priority Critical patent/US20240006223A1/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BAYLESS, ANDREW M., WIRZ, BRANDON P.
Publication of US20240006223A1 publication Critical patent/US20240006223A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3185Partial encapsulation or coating the coating covering also the sidewalls of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding

Definitions

  • the present disclosure generally relates to semiconductor die assemblies, and more particularly relates to semiconductor die edge protection and semiconductor die separation.
  • Semiconductor packages typically include one or more semiconductor dies (e.g., memory chip, microprocessor chip, imager chip) mounted on a substrate, encased in a protective covering.
  • the semiconductor dies may include functional features, such as memory cells, processor circuits, or imager devices, as well as bond pads electrically connected to the functional features.
  • the bond pads can be electrically connected to corresponding conductive structures of the substrate, which may be coupled to terminals outside the protective covering such that the semiconductor die can be connected to higher level circuitry.
  • two or more semiconductor dies may be stacked on top of each other to reduce footprints of the semiconductor packages (which may be referred to as multi-chip packages).
  • the stacked semiconductor dies may include three-dimensional interconnects (e.g., through-silicon vias (TSVs)) to route electrical signals between the semiconductor dies.
  • TSVs through-silicon vias
  • the semiconductor dies may be thinned to reduce overall thicknesses of such semiconductor packages, as well as to mitigate issues related to forming the three-dimensional interconnects through the stacked semiconductor dies.
  • a carrier wafer is attached to a front side of a substrate (e.g., a wafer) having the semiconductor dies fabricated thereon such that the substrate may be thinned from its back side.
  • the substrate may be diced to singulate individual semiconductor dies while attached to an adhesive layer of a sheet of mount tape.
  • the dicing step tends to generate particles that causes yield loss.
  • the dicing step utilizing a blade may be incompatible with new advanced materials included in the semiconductor dies.
  • FIGS. 1 A through 1 L illustrate an example process of protecting semiconductor die edge and separating semiconductor dies in accordance with an embodiment of the present technology.
  • FIGS. 2 and 3 are flowcharts illustrating methods of protecting semiconductor die edge and separating semiconductor dies in accordance with embodiments of the present technology.
  • the scheme of protecting edges of semiconductor dies as described herein may not only provide for a passivation layer around edges (and a back side) of a semiconductor die but also provide an alternative die separation technique suitable for integrating new materials and/or deploying advanced packaging technology compared to conventional dicing techniques.
  • the passivation layer around the edges of semiconductor dies may reduce cracks (or chipping) at the edges or propagation of such cracks inward toward integrated circuits and/or various components of the semiconductor dies.
  • the passivation layer may include a diffusion barrier (e.g., a nitride layer) to block contaminants (e.g., metallic atoms such as copper) from diffusing through silicon substrate of the semiconductor die, which may cause certain reliability issues.
  • the die separation technique in accordance with the present technology may eliminate conventional dicing steps (e.g., blade dicing, laser dicing) that generate particles that attach to the surface of the dies, causing yield loss.
  • the conventional dicing steps also presents challenges for integrating new materials (e.g., low-k and/or extreme low-k materials) that may be used to build state-of-the-art semiconductor devices.
  • the conventional dicing steps may leave contaminants on the surface of the semiconductor dies, which, in return, may hinder deploying advanced packaging techniques—e.g., a combination bonding technique that forms direct bonding of two semiconductor dies, face-to-face.
  • the scheme of protecting edges of semiconductor dies and separating semiconductor dies in accordance with the present technology provides various benefits, such as decreasing particle counts to improve yield, protecting the semiconductor dies to improve reliability, reducing contaminants for deploying advanced packaging techniques, facilitating integration of new materials that may be incompatible with conventional dicing technique, among others.
  • the present technology may reduce width of dicing lanes such that more semiconductor dies may be generated per wafer—e.g., reducing a production cost.
  • the present technology may facilitate relieving wafer-level mechanical stress during the wafer back side processing steps (e.g., reduced wafer warpage) due to an adhesive material placed between semiconductor dies, which may absorb stresses exerted on the wafer.
  • semiconductor device or die generally refers to a solid-state device that includes one or more semiconductor materials. Examples of semiconductor devices include logic devices, memory devices, microprocessors, or diodes, among others. Such semiconductor devices may include integrated circuits or components, data storage elements, processing components, and/or other features manufactured on semiconductor substrates. Further, the term “semiconductor device or die” can refer to a finished device or to an assembly or other structure at various stages of processing before becoming a finished device. Depending upon the context in which it is used, the term “substrate” can refer to a wafer-level substrate or to a singulated, die-level substrate.
  • a substrate may include a semiconductor wafer, a package support substrate, an interposer, a semiconductor device or die, or the like.
  • a person having ordinary skill in the relevant art will recognize that suitable steps of the methods described herein can be performed at the wafer level or at the die level.
  • structures disclosed herein can be formed using conventional semiconductor-manufacturing techniques.
  • Materials can be deposited, for example, using chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), spin coating, plating, and/or other suitable techniques.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • CVD physical vapor deposition
  • ALD atomic layer deposition
  • spin coating plating
  • plating and/or other suitable techniques.
  • materials can be removed, for example, using plasma etching, wet etching, chemical-mechanical planarization (CMP), or other suitable techniques.
  • CMP chemical-mechanical planarization
  • the terms “front,” “back,” “vertical,” “lateral,” “down,” “up,” “upper,” and “lower” can refer to relative directions or positions of features in the semiconductor device assemblies in view of the orientation shown in the Figures.
  • “upper” or “uppermost” can refer to a feature positioned closer to the top of a page than another feature. These terms, however, should be construed broadly to include semiconductor devices having other orientations.
  • a person skilled in the relevant art will also understand that the technology may have additional embodiments, and that the technology may be practiced without several of the details of the embodiments described herein with reference to FIGS. 1 A- 1 L, 2 , and 3 .
  • FIG. 1 A illustrates a cross-sectional diagram of a substrate 105 that includes semiconductor dies 115 (e.g., semiconductor dies 115 a through 115 c ) fabricated on its front side 106 .
  • Each semiconductor die 115 may include an integrated circuit formed on its front side. Further, the semiconductor die 115 may include one or more vias (depicted in FIGS. 1 G, 1 H, 1 I, and 1 L ) coupled with the integrated circuit, and extending from the front side to a back side of the semiconductor die 115 .
  • the one or more vias are configured to provide one or more electrical connections for the integrated circuit on the back side—e.g., to facilitate stacking of multiple semiconductor die 115 on top of each other.
  • TSVs through-silicon vias
  • the integrated circuit and the vias of the semiconductor die 115 are omitted when multiple semiconductor dies 115 are depicted in FIGS. 1 A- 1 L in a relatively low magnification for clear illustration of certain aspects of the principles of the present technology.
  • FIG. 1 A also depicts a set of trenches 120 (e.g., trenches 120 a through 120 c ) formed on the front side 106 , as well as a photo resist layer 110 used to protect the semiconductor dies 115 .
  • individual trenches 120 may correspond to scribe lines (or dicing lanes) of the substrate 105 .
  • the photo resist layer 110 can include a hard mask layer (e.g., a hard mask with carbon).
  • forming the trenches 120 may be accomplished by performing an etch process (e.g., plasma-based dry etch process, wet etch process) known to a person skilled in the art of semiconductor fabrication technology.
  • the sidewalls of the trenches 120 may be sloped.
  • openings of the trenches 120 may be greater at the surface of the substrate 105 than those at the bottom of the trenches 120 —e.g., sidewalls with a positive slope.
  • the positive slope of the sidewalls may facilitate formation of a dielectric layer (e.g., the first dielectric layer 125 ) on the sidewalls to be more uniform, in some cases.
  • Dimensions of the trenches 120 include a width (denoted as “W” in FIG. 1 A ) and a depth D (denoted as “D” in FIG. 1 A ).
  • the width of the trenches 120 may be less than typical widths of a dicing lane (a scribe line), which may be approximately 60 to 80 ⁇ m wide (e.g., within 10% of 60 ⁇ m, within 10% of 80 ⁇ m).
  • the width of trenches 120 may be approximately 40 ⁇ m (e.g., within 10% of 40 ⁇ m), 30 ⁇ m (e.g., within 10% of 30 ⁇ m), or even less.
  • the depth of trenches 120 can be determined based on a final thickness of the semiconductor dies 115 (denoted as “T” in FIG. 1 G ). Namely, the depth of trenches 120 may be devised to be greater than the final thickness of the semiconductor dies 115 such that individual semiconductor dies 115 can be singulated without a dicing process. For example, when the final thickness of the semiconductor dies 115 is approximately 50 ⁇ m (e.g., within 10% of 50 ⁇ m), the depth of trenches 120 may be approximately 55 to 60 ⁇ m (e.g., within 10% of 55 ⁇ m, within 10% of 60 ⁇ m).
  • the width and depth of the trenches 120 may be based on the aspect ratio determined by the width and depth of the trenches 120 , considering the process capability associated with downstream process steps, such as process steps forming a dielectric layer (e.g., a chemical vapor deposition (CVD) process, a physical vapor deposition (PVD) process) on the sidewalls of the trenches 120 and/or filling the trenches 120 with an adhesive material, among others.
  • a dielectric layer e.g., a chemical vapor deposition (CVD) process, a physical vapor deposition (PVD) process
  • FIG. 1 B illustrates a cross-sectional diagram of the substrate 105 , after the photo resist layer 110 has been removed, and subsequently, a first dielectric layer 125 has been formed on the front side 106 of the substrate 105 .
  • the first dielectric layer 125 can be formed by performing one or more process steps, including a CVD process, for example.
  • the first dielectric layer 125 may include various dielectric materials, such as an oxide, a nitride, an oxynitride, or a combination thereof.
  • an oxide layer may be formed on the silicon surface, followed by a nitride layer deposited on the oxide layer formed on the silicon surface.
  • Such a composite layer may reduce formation of defects (e.g., crystalline defects in the substrate 105 ) when compared to a single layer of nitride directly formed on the silicon surface.
  • FIG. 1 C illustrates a cross-sectional diagram of the substrate 105 , after the first dielectric layer 125 has been removed from the front side 106 of the substrate 105 , and from the bottom of the individual trenches 120 . As a result, the first dielectric layer 125 remains on the sidewalls of the trenches 120 .
  • an etch process e.g., plasma-based dry etch process
  • FIG. 1 D illustrates a cross-sectional diagram of the substrate 105 , after the trenches 120 (with the first dielectric layer 125 formed on their sidewalls) has been filled with an adhesive material 130 —e.g., Nissan Chemical thermoset adhesive.
  • the adhesive material 130 (which may be referred to as carrier adhesive) also covers (e.g., coats) the front side 106 of the substrate 105 .
  • the sidewalls of the trenches 120 are protected by the first dielectric layer 125 to prevent the adhesive material 130 directly contacting the sidewalls.
  • the adhesive material 130 may exhibit a fluid-like material property such that the trenches 120 with a high aspect ratio (e.g., a relatively narrow opening with a relatively deep trench bottom) can be filled.
  • the substrate 105 in FIG. 1 D has been flipped to depict the back side 107 above the front side 106 .
  • FIG. 1 E illustrates a cross-sectional diagram of the substrate 105 , after a carrier substrate 135 (or a support substrate) has been bonded using the adhesive material 130 on the front side 106 .
  • the carrier substrate 135 may mechanically support the substrate 105 (and the semiconductor dies 115 ) during subsequent process steps to be performed on the back side 107 —e.g., process steps described with reference to FIGS. 1 F through 1 J .
  • the adhesive material 130 can be densified (e.g., set, cured) such that the adhesive material 130 becomes suitable for the subsequent processing steps.
  • a thermal process may be applied to the adhesive material 130 (e.g., thermally setting the adhesive material 130 ).
  • a chemical process may be applied to the adhesive material 130 (e.g., chemically setting the adhesive material 130 ).
  • FIG. 1 F illustrates a cross-sectional diagram of the substrate 105 , after a first portion of the substrate 105 has been removed from the back side 107 of the substrate 105 (as indicated with arrows).
  • a backgrind and/or a chemical mechanical polishing (CMP) process e.g., a first process
  • CMP chemical mechanical polishing
  • a different process e.g., an etch process
  • FIG. 1 G illustrates a cross-sectional diagram of the substrate 105 , after a second portion of the substrate 105 has been removed from the back side 107 of the substrate 105 .
  • an etch process e.g., a second process
  • the etch process may be configured to expose the adhesive material 130 in the trenches 120 from the back side 107 as a result of removing the second portion of the substrate 105 .
  • the etch process may be devised to expose one or more through-silicon vias (TSVs) 140 of the semiconductor dies 115 from the back side 107 .
  • TSVs through-silicon vias
  • the TSVs are coupled with an integrated circuit 141 formed on the front side 106 of the substrate 105 , and configured to provide one or more electrical connections for the integrated circuit 141 on the back side 107 .
  • the back side 107 of the substrate 105 may be recessed with respect to the exposed adhesive material 130 after removing the second portion of the substrate 105 .
  • the second process e.g., the etch process
  • the second process may be configured to remove the semiconductor substrate 105 at a first removal rate and the adhesive material 130 (and/or the first dielectric layer 125 ) at a second removal rate that is less than the first removal rate.
  • the semiconductor dies 115 are separated from the substrate 105 because the depth of the trenches 120 is determined to be greater than the final thickness of the semiconductor dies 115 (e.g., the thickness of the semiconductor dies 115 at the completion of the etch process).
  • the semiconductor dies 115 are separated from the substrate 105 because the portion of the substrate 105 common to all semiconductor dies 115 no longer exist as a result of completing the second process (e.g., the etch process).
  • individual semiconductor dies 115 are held to each other and to the carrier substrate 135 by the adhesive material 130 .
  • a combination of forming trenches 120 on the front side 106 of the substrate 105 and thinning the substrate 105 from the back side 107 past the bottom of the trenches 120 accomplishes separating the semiconductor dies 115 from the substrate 105 , thereby eliminating dicing steps that physically sever the semiconductor dies 115 from the substrate 105 .
  • a warpage of the substrate 105 may be avoided during the subsequent process steps to be performed on the back side 107 of the semiconductor dies 115 .
  • pressure (or force) that may be exerted on the semiconductor dies 115 through the wafer back side processing steps may be at least partially absorbed by the adhesive material 130 in lieu of subjecting the substrate 105 to the pressure (or force) that may generate defects (e.g., slippage, crystalline dislocations) in the substrate 105 —e.g., during de-bonding step.
  • various process steps associated with forming trenches 120 filled with the adhesive material 130 (and the first dielectric layer 125 ) and thinning the substrate 105 from the back side 107 of the substrate 105 include conventional semiconductor process steps that may be performed in semiconductor fabrication environments (e.g., a clean room environment).
  • the clean room process steps are inherently cleaner than a conventional dicing process involving mechanical dicing of the substrate 105 .
  • the semiconductor dies 115 separated from the substrate 105 in accordance with the present technology may benefit from the clean room process steps, such as reduced particles, debris, contaminants, damages, cracks, or the like, to improve yield and reliability of the semiconductor dies 115 .
  • the final thickness of the semiconductor dies 115 may be thinner than that of the semiconductor dies 115 separated by the conventional dicing process—e.g., the semiconductor dies 115 may not have to maintain a certain thickness to sustain various forces during the dicing process. Thinner semiconductor dies 115 may reduce package heights and/or facilitate utilizing an advanced packaging technique (e.g., combination bonding) for the semiconductor dies 115 .
  • an advanced packaging technique e.g., combination bonding
  • the clean room process steps may be more compatible with integrating new materials (e.g., low-k dielectric material, extreme low-k dielectric material) that may be essential for advanced semiconductor devices.
  • the present technology may reduce a production cost of the semiconductor dies 115 because of the nature of wafer level processes that concurrently separates all the semiconductor dies 115 from the substrate 105 , instead of having a saw cutting a row (or a column) of semiconductor dies 115 , one row (or column) at a time.
  • Other benefits of the present technology may include a flexible placement of the semiconductor dies 115 on the substrate 105 (which may be referred to as a wafer map of semiconductor dies) to increase a total quantity of semiconductor dies as the trenches 120 are not required to form straight lines (as in dicing lanes). For example, one or more rows (or columns) of semiconductor dies 115 may be shifted with respect to neighboring rows (or columns) of semiconductor dies 115 such that a quantity of partial dies around the perimeter of the wafer may be reduced. Moreover, the present technology may facilitate variations in shapes and sizes of the semiconductor dies 115 within a semiconductor wafer.
  • individual semiconductor dies 115 may be in a hexagonal shape (or different shapes other than conventional rectangular shape)—e.g., the hexagonal shape may increase a total quantity of memory dies that can be placed in a semiconductor wafer or provide an efficient layout of various components within the semiconductor die.
  • FIG. 1 H illustrates a cross-sectional diagram of the semiconductor dies 115 attached to the carrier substrate 135 , after a second dielectric layer 145 has been formed on the back side 107 .
  • the second dielectric layer 145 can be formed by performing one or more process steps, such as deposition processes (e.g., CVD and/or PVD processes) described with reference to FIG. 1 B .
  • the second dielectric layer 145 may include various dielectric materials, such as an oxide, a nitride, an oxynitride, or a combination thereof.
  • the second dielectric layer 145 may include a composite layer having a nitride and an oxide formed at a relatively low temperature (which may be referred to as low temperature nitride and oxide (LTNO)).
  • the second dielectric layer 145 may include a silicon nitride (SiN) layer and/or a layer of tetraethyl orthosilicate (TEOS).
  • the second dielectric layer 145 may protect the back side 107 of individual semiconductor dies 115 from contaminants (e.g., copper) and/or during subsequent processing steps—e.g., one or more cleaning steps to remove the adhesive material 130 , forming conductive components (e.g., under-bump metallization (UBM) structures for the TSVs 140 ).
  • the TSVs 140 may be buried within the second dielectric layer 145 . Further, an interface 150 may form between the second dielectric layer 145 and the first dielectric layer 125 (and/or the adhesive material 130 ).
  • FIG. 1 I illustrates a cross-sectional diagram of the semiconductor dies 115 attached to the carrier substrate 135 , after a portion of the second dielectric layer 145 has been removed to expose the TSVs 140 of the semiconductor dies 115 from the back side 107 .
  • a CMP process may be performed to remove the portion of the second dielectric layer 145 to expose the TSVs 140 of the semiconductor dies 115 .
  • an etch process may be performed to remove the portion of the second dielectric layer 145 to expose the TSVs 140 of the semiconductor dies 115 .
  • the interface 150 between the first dielectric layer 125 and the second dielectric layer 145 may remain after the CMP process step (or the etch process step).
  • one or more process steps may be performed on the back side 107 to form conductive components—e.g., UBM structures corresponding to the TSVs 140 to facilitate stacking of the semiconductor dies 115 .
  • Such process steps may include additional deposition process steps (e.g., forming one or more metallic/conductive layers), photolithography process steps (e.g., defining UBM structures corresponding to the TSVs 140 ), etch process steps (e.g., removing excessive metallic/conductive materials where unnecessary), clean process steps (e.g., removing photo resists, removing various by-products generated during etch process steps), among others.
  • the adhesive material 130 once cured (e.g., thermally set as described with reference to FIG. 1 E ), may exhibit material properties (e.g., modulus of rigidity) sufficient to sustain its structural and/or compositional integrity during the process steps—e.g., remaining within the trenches 120 .
  • the adhesive material 130 may be removed using a specific solvent that selectively dissolves the adhesive material 130 .
  • FIG. 1 J illustrates a cross-sectional diagram of the semiconductor dies 115 attached to the carrier substrate 135 , after the adhesive material 130 within the trenches 120 has been partially removed from the back side 107 using a cleaning process (e.g., using the specific solvent that dissolves the adhesive material 130 ). Removing the portion of the adhesive material 130 at this stage facilitates completely removing the adhesive material 130 from the trenches 120 as described with reference to FIG. 1 L . In some embodiments, this cleaning step may be omitted.
  • FIG. 1 K illustrates a cross-sectional diagram of the semiconductor dies 115 attached to a sheet of film frame 155 , after the carrier substrate 135 has been detached (de-bonded) from the semiconductor dies 115 (e.g., by removing the adhesive material 130 between the carrier substrate 135 and the semiconductor dies 115 ). Further, the semiconductor dies 115 in FIG. 1 K has been flipped to depict the front side 106 above the back side 107 . FIG. 1 K also depicts remaining adhesive material 130 within the trenches 120 .
  • FIG. 1 L illustrates a cross-sectional diagram of the semiconductor dies 115 attached to the sheet of film frame 155 , after the adhesive material 130 in the trenches 120 has been removed. Subsequently, individual dies 115 may be tested for their functionality and picked up from the sheet of film frame 155 for further processing, e.g., stacking multiple semiconductor dies 115 to form a semiconductor die assembly.
  • Each semiconductor die 115 may include an integrated circuit (e.g., the integrated circuit 141 ) formed on a front side (e.g., the front side 106 ) of a semiconductor substrate, a first dielectric layer (e.g., the first dielectric layer 125 ) on a sidewall of the semiconductor substrate, and a second dielectric layer (e.g., the second dielectric layer 145 ) on a back side (e.g., the back side 107 ) of the semiconductor substrate opposite to the front side, where the second dielectric layer may be discontinuous from the first dielectric layer (e.g., due to the interface 150 between the first dielectric layer 125 and the second dielectric layer 145 ).
  • an integrated circuit e.g., the integrated circuit 141
  • a front side e.g., the front side 106
  • a first dielectric layer e.g., the first dielectric layer 125
  • a back side e.g., the back side 107
  • the first dielectric layer includes at least two dielectric materials—e.g., an oxide layer in contact with the sidewall of the semiconductor substrate and a nitride layer in contact with the oxide layer.
  • the first dielectric layer includes a first dielectric material (e.g., oxide)
  • the second dielectric layer includes a second dielectric material (e.g., nitride) different from the first dielectric material.
  • the first and second dielectric materials include an oxide, a nitride, an oxynitride, or a combination thereof.
  • the semiconductor die 115 may include one or more vias (e.g., TSVs 140 ) extending from the front side of the semiconductor substrate past the second dielectric material on the back side, where the one or more vias are coupled with the integrated circuit and configured to provide one or more electrical connections for the integrated circuit on a surface of the second dielectric material (e.g., the second dielectric layer 145 ).
  • vias e.g., TSVs 140
  • FIG. 2 is a flowchart 200 illustrating a method of protecting edges of semiconductor dies in accordance with an embodiment of the present technology.
  • the flowchart 200 may include aspects of methods as described with reference to FIGS. 1 A through 1 L .
  • the method includes forming a plurality of trenches on a front side of a substrate including a plurality of semiconductor dies, where individual trenches of the plurality correspond to scribe lines of the substrate (box 210 ).
  • the method further includes filling each of the plurality of trenches with an adhesive material (box 215 ).
  • the method further includes thinning the substrate from a back side of the substrate (box 220 ).
  • the method further includes removing the adhesive material to singulate individual semiconductor dies of the plurality (box 225 ).
  • forming the plurality of trenches includes performing an etch process on the front side of the substrate.
  • each trench of the plurality of trenches includes a depth greater than a thickness of the singulated individual semiconductor dies.
  • the method may further include forming a first dielectric layer on sidewalls of the plurality of trenches, prior to filling each of the plurality of trenches with the adhesive material.
  • the method may further include attaching, prior to thinning the substrate from the back side, a carrier substrate to the substrate using the adhesive material on the front side of the substrate.
  • thinning the substrate from the back side includes exposing the adhesive material in each of the plurality of trenches from the back side of the substrate.
  • the method may further include forming, after thinning the substrate from the back side, a second dielectric layer on the back side of the substrate, and removing at least a portion of the second dielectric layer to expose the adhesive material in each of the plurality of trenches.
  • removing at least the portion of the second dielectric layer also exposes one or more through-silicon vias (TSVs) of the plurality of semiconductor dies.
  • TSVs through-silicon vias
  • the method may further include attaching a sheet of film frame to the second dielectric layer remaining on the back side of the substrate, prior to removing the adhesive material.
  • FIG. 3 is a flowchart 300 illustrating a method of protecting edges of semiconductor dies in accordance with an embodiment of the present technology.
  • the flowchart 300 may include aspects of methods as described with reference to FIGS. 1 A through 1 L .
  • the method includes forming a plurality of trenches on a front side of a semiconductor substrate including a plurality of semiconductor dies, each trench of the plurality of trenches having a depth greater than a final thickness of individual semiconductor dies (box 310 ).
  • the method further includes forming a first dielectric layer on sidewalls of the plurality of trenches (box 315 ).
  • the method further includes filling each of the plurality of trenches with an adhesive material that coats the front side of the semiconductor substrate (box 320 ).
  • the method further includes thinning the semiconductor substrate from a back side of the semiconductor substrate to the final thickness (box 325 ).
  • the method further includes removing the adhesive material to singulate individual semiconductor dies (box 330 ).
  • forming the first dielectric layer on the sidewalls further comprises forming the first dielectric layer on the front side of the semiconductor substrate including the plurality of trenches, and performing an etch process on the front side of the semiconductor substrate to remove the first dielectric layer from the front side of the semiconductor substrate and from the bottom of individual trenches of the plurality.
  • the method may further comprise attaching, prior to thinning the semiconductor substrate, a carrier substrate to the semiconductor substrate using the adhesive material on the front side of the semiconductor substrate.
  • thinning the semiconductor substrate from the back side further comprises removing a first portion of the semiconductor substrate from the back side using a first process without exposing the adhesive material in the trenches, and removing, after removing the first portion, a second portion of the semiconductor substrate from the back side using a second process to expose the adhesive material in the trenches as a result of removing the second portion of the semiconductor substrate.
  • the second process is configured to remove the semiconductor substrate at a first removal rate and the adhesive material at a second removal rate less than the first removal rate.
  • the back side of the semiconductor substrate is recessed with respect to the exposed adhesive material after removing the second portion.
  • various process parameters for the CMP process may be modified (e.g., using a different slurry, changing pressures associated with a wafer chuck and/or a stage of the CMP tool, tweaking rotation speeds/directions of the wafer chuck and/or the stage, etc.) to reduce the substrate removal rate such that the CMP process may continue to remove the substrate 105 with a fine-tuned removal rate to expose the adhesive material 130 to achieve the results depicted in FIG. 1 G , thereby without switching to an etch process.
  • the CMP process may utilize an endpoint mechanism based on detecting a change in friction monitored by a motor of the CMP tool when the first dielectric layer 125 and the adhesive material 130 is exposed.
  • an endpoint mechanism may indicate that the CMP process has reached to the bottom of trenches 120 from the back side 107 , at least in certain areas of the substrate 105 such that the CMP process can be fine-tuned thereafter to precisely control the removal rate.
  • certain aspects of the present technology described in the context of particular embodiments may also be combined or eliminated in other embodiments.
  • the devices discussed herein, including a semiconductor device may be formed on a semiconductor substrate or die, such as silicon, germanium, silicon-germanium alloy, gallium arsenide, gallium nitride, etc.
  • the substrate is a semiconductor wafer.
  • the substrate may be a silicon-on-insulator (SOI) substrate, such as silicon-on-glass (SOG) or silicon-on-sapphire (SOP), or epitaxial layers of semiconductor materials on another substrate.
  • SOI silicon-on-insulator
  • SOG silicon-on-glass
  • SOP silicon-on-sapphire
  • the conductivity of the substrate, or sub-regions of the substrate may be controlled through doping using various chemical species including, but not limited to, phosphorous, boron, or arsenic. Doping may be performed during the initial formation or growth of the substrate, by ion-implantation, or by any other doping means.
  • “or” as used in a list of items indicates an inclusive list such that, for example, a list of at least one of A, B, or C means A or B or C or AB or AC or BC or ABC (i.e., A and B and C).
  • the phrase “based on” shall not be construed as a reference to a closed set of conditions. For example, an exemplary step that is described as “based on condition A” may be based on both a condition A and a condition B without departing from the scope of the present disclosure.
  • the phrase “based on” shall be construed in the same manner as the phrase “based at least in part on.”

Abstract

Methods for protecting edges of semiconductor dies are disclosed. Further, the disclosed methods provide for separating the semiconductor dies without using a dicing technique. In one embodiment, a plurality of trenches may be formed on a front side of a substrate including a plurality of semiconductor dies. Individual trenches may correspond to scribe lines of the substrate where each trench includes a depth greater than a final thickness of the semiconductor dies. A dielectric layer may be formed on sidewalls of the trenches, thereby protecting the edges of the semiconductor dies, prior to filling the trenches with an adhesive material. Subsequently, the substrate may be thinned from a back side such that the adhesive material in the trenches may be exposed from the back side. The adhesive material may be removed to singulate individual semiconductor dies of the plurality from the substrate.

Description

    CROSS-REFERENCE TO RELATED APPLICATION(S)
  • This application is a continuation of U.S. application Ser. No. 16/923,754, filed Jul. 8, 2020, which is incorporated herein by reference in its entirety.
  • TECHNICAL FIELD
  • The present disclosure generally relates to semiconductor die assemblies, and more particularly relates to semiconductor die edge protection and semiconductor die separation.
  • BACKGROUND
  • Semiconductor packages typically include one or more semiconductor dies (e.g., memory chip, microprocessor chip, imager chip) mounted on a substrate, encased in a protective covering. The semiconductor dies may include functional features, such as memory cells, processor circuits, or imager devices, as well as bond pads electrically connected to the functional features. The bond pads can be electrically connected to corresponding conductive structures of the substrate, which may be coupled to terminals outside the protective covering such that the semiconductor die can be connected to higher level circuitry.
  • In some semiconductor packages, two or more semiconductor dies may be stacked on top of each other to reduce footprints of the semiconductor packages (which may be referred to as multi-chip packages). The stacked semiconductor dies may include three-dimensional interconnects (e.g., through-silicon vias (TSVs)) to route electrical signals between the semiconductor dies. The semiconductor dies may be thinned to reduce overall thicknesses of such semiconductor packages, as well as to mitigate issues related to forming the three-dimensional interconnects through the stacked semiconductor dies. Typically, a carrier wafer is attached to a front side of a substrate (e.g., a wafer) having the semiconductor dies fabricated thereon such that the substrate may be thinned from its back side. Further, the substrate may be diced to singulate individual semiconductor dies while attached to an adhesive layer of a sheet of mount tape. The dicing step, however, tends to generate particles that causes yield loss. Further, the dicing step utilizing a blade may be incompatible with new advanced materials included in the semiconductor dies.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Many aspects of the present technology can be better understood with reference to the following drawings. The components in the drawings are not necessarily to scale. Instead, emphasis is placed on illustrating clearly the principles of the present technology and overall features.
  • FIGS. 1A through 1L illustrate an example process of protecting semiconductor die edge and separating semiconductor dies in accordance with an embodiment of the present technology.
  • FIGS. 2 and 3 are flowcharts illustrating methods of protecting semiconductor die edge and separating semiconductor dies in accordance with embodiments of the present technology.
  • DETAILED DESCRIPTION
  • Specific details of several embodiments for protecting edges (and/or back sides) of semiconductor dies and separating the semiconductor dies for semiconductor device assemblies, and associated methods are described below. The scheme of protecting edges of semiconductor dies as described herein may not only provide for a passivation layer around edges (and a back side) of a semiconductor die but also provide an alternative die separation technique suitable for integrating new materials and/or deploying advanced packaging technology compared to conventional dicing techniques. For example, the passivation layer around the edges of semiconductor dies may reduce cracks (or chipping) at the edges or propagation of such cracks inward toward integrated circuits and/or various components of the semiconductor dies. Additionally, the passivation layer may include a diffusion barrier (e.g., a nitride layer) to block contaminants (e.g., metallic atoms such as copper) from diffusing through silicon substrate of the semiconductor die, which may cause certain reliability issues.
  • Moreover, the die separation technique in accordance with the present technology may eliminate conventional dicing steps (e.g., blade dicing, laser dicing) that generate particles that attach to the surface of the dies, causing yield loss. The conventional dicing steps also presents challenges for integrating new materials (e.g., low-k and/or extreme low-k materials) that may be used to build state-of-the-art semiconductor devices. In some cases, the conventional dicing steps may leave contaminants on the surface of the semiconductor dies, which, in return, may hinder deploying advanced packaging techniques—e.g., a combination bonding technique that forms direct bonding of two semiconductor dies, face-to-face.
  • As such, the scheme of protecting edges of semiconductor dies and separating semiconductor dies in accordance with the present technology provides various benefits, such as decreasing particle counts to improve yield, protecting the semiconductor dies to improve reliability, reducing contaminants for deploying advanced packaging techniques, facilitating integration of new materials that may be incompatible with conventional dicing technique, among others. Further, the present technology may reduce width of dicing lanes such that more semiconductor dies may be generated per wafer—e.g., reducing a production cost. As described in more detail below, the present technology may facilitate relieving wafer-level mechanical stress during the wafer back side processing steps (e.g., reduced wafer warpage) due to an adhesive material placed between semiconductor dies, which may absorb stresses exerted on the wafer.
  • The term “semiconductor device or die” generally refers to a solid-state device that includes one or more semiconductor materials. Examples of semiconductor devices include logic devices, memory devices, microprocessors, or diodes, among others. Such semiconductor devices may include integrated circuits or components, data storage elements, processing components, and/or other features manufactured on semiconductor substrates. Further, the term “semiconductor device or die” can refer to a finished device or to an assembly or other structure at various stages of processing before becoming a finished device. Depending upon the context in which it is used, the term “substrate” can refer to a wafer-level substrate or to a singulated, die-level substrate. Also, a substrate may include a semiconductor wafer, a package support substrate, an interposer, a semiconductor device or die, or the like. A person having ordinary skill in the relevant art will recognize that suitable steps of the methods described herein can be performed at the wafer level or at the die level.
  • Further, unless the context indicates otherwise, structures disclosed herein can be formed using conventional semiconductor-manufacturing techniques. Materials can be deposited, for example, using chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), spin coating, plating, and/or other suitable techniques. Similarly, materials can be removed, for example, using plasma etching, wet etching, chemical-mechanical planarization (CMP), or other suitable techniques. Some of the techniques may be combined with photolithography processes. A person skilled in the relevant art will also understand that the technology may have additional embodiments, and that the technology may be practiced without several of the details of the embodiments described herein with reference to FIGS. 1A-1L, 2, and 3 .
  • As used herein, the terms “front,” “back,” “vertical,” “lateral,” “down,” “up,” “upper,” and “lower” can refer to relative directions or positions of features in the semiconductor device assemblies in view of the orientation shown in the Figures. For example, “upper” or “uppermost” can refer to a feature positioned closer to the top of a page than another feature. These terms, however, should be construed broadly to include semiconductor devices having other orientations. A person skilled in the relevant art will also understand that the technology may have additional embodiments, and that the technology may be practiced without several of the details of the embodiments described herein with reference to FIGS. 1A-1L, 2, and 3 .
  • FIG. 1A illustrates a cross-sectional diagram of a substrate 105 that includes semiconductor dies 115 (e.g., semiconductor dies 115 a through 115 c) fabricated on its front side 106. Each semiconductor die 115 may include an integrated circuit formed on its front side. Further, the semiconductor die 115 may include one or more vias (depicted in FIGS. 1G, 1H, 1I, and 1L) coupled with the integrated circuit, and extending from the front side to a back side of the semiconductor die 115. The one or more vias (which may also be referred to as through-silicon vias (TSVs)) are configured to provide one or more electrical connections for the integrated circuit on the back side—e.g., to facilitate stacking of multiple semiconductor die 115 on top of each other. The integrated circuit and the vias of the semiconductor die 115 are omitted when multiple semiconductor dies 115 are depicted in FIGS. 1A-1L in a relatively low magnification for clear illustration of certain aspects of the principles of the present technology.
  • FIG. 1A also depicts a set of trenches 120 (e.g., trenches 120 a through 120 c) formed on the front side 106, as well as a photo resist layer 110 used to protect the semiconductor dies 115. As such, individual trenches 120 may correspond to scribe lines (or dicing lanes) of the substrate 105. In some embodiments, the photo resist layer 110 can include a hard mask layer (e.g., a hard mask with carbon). In some embodiments, forming the trenches 120 may be accomplished by performing an etch process (e.g., plasma-based dry etch process, wet etch process) known to a person skilled in the art of semiconductor fabrication technology. Although the trenches 120 are depicted to include vertical sidewalls, in some embodiments, the sidewalls of the trenches 120 may be sloped. For example, openings of the trenches 120 may be greater at the surface of the substrate 105 than those at the bottom of the trenches 120—e.g., sidewalls with a positive slope. The positive slope of the sidewalls may facilitate formation of a dielectric layer (e.g., the first dielectric layer 125) on the sidewalls to be more uniform, in some cases.
  • Dimensions of the trenches 120 include a width (denoted as “W” in FIG. 1A) and a depth D (denoted as “D” in FIG. 1A). The width of the trenches 120 may be less than typical widths of a dicing lane (a scribe line), which may be approximately 60 to 80 μm wide (e.g., within 10% of 60 μm, within 10% of 80 μm). In some embodiments, the width of trenches 120 may be approximately 40 μm (e.g., within 10% of 40 μm), 30 μm (e.g., within 10% of 30 μm), or even less. Further, the depth of trenches 120 can be determined based on a final thickness of the semiconductor dies 115 (denoted as “T” in FIG. 1G). Namely, the depth of trenches 120 may be devised to be greater than the final thickness of the semiconductor dies 115 such that individual semiconductor dies 115 can be singulated without a dicing process. For example, when the final thickness of the semiconductor dies 115 is approximately 50 μm (e.g., within 10% of 50 μm), the depth of trenches 120 may be approximately 55 to 60 μm (e.g., within 10% of 55 μm, within 10% of 60 μm). Additionally, or alternatively, the width and depth of the trenches 120 may be based on the aspect ratio determined by the width and depth of the trenches 120, considering the process capability associated with downstream process steps, such as process steps forming a dielectric layer (e.g., a chemical vapor deposition (CVD) process, a physical vapor deposition (PVD) process) on the sidewalls of the trenches 120 and/or filling the trenches 120 with an adhesive material, among others.
  • FIG. 1B illustrates a cross-sectional diagram of the substrate 105, after the photo resist layer 110 has been removed, and subsequently, a first dielectric layer 125 has been formed on the front side 106 of the substrate 105. The first dielectric layer 125 can be formed by performing one or more process steps, including a CVD process, for example. The first dielectric layer 125 may include various dielectric materials, such as an oxide, a nitride, an oxynitride, or a combination thereof. In some embodiments, an oxide layer may be formed on the silicon surface, followed by a nitride layer deposited on the oxide layer formed on the silicon surface. Such a composite layer may reduce formation of defects (e.g., crystalline defects in the substrate 105) when compared to a single layer of nitride directly formed on the silicon surface.
  • FIG. 1C illustrates a cross-sectional diagram of the substrate 105, after the first dielectric layer 125 has been removed from the front side 106 of the substrate 105, and from the bottom of the individual trenches 120. As a result, the first dielectric layer 125 remains on the sidewalls of the trenches 120. In some embodiments, an etch process (e.g., plasma-based dry etch process) may be performed to remove the first dielectric layer 125 from relatively flat regions (e.g., the front side 106, the bottom of the trenches 120) with respect to incoming flux of etchants, while retaining the first dielectric layer 125 on the sidewalls.
  • FIG. 1D illustrates a cross-sectional diagram of the substrate 105, after the trenches 120 (with the first dielectric layer 125 formed on their sidewalls) has been filled with an adhesive material 130—e.g., Nissan Chemical thermoset adhesive. The adhesive material 130 (which may be referred to as carrier adhesive) also covers (e.g., coats) the front side 106 of the substrate 105. The sidewalls of the trenches 120 are protected by the first dielectric layer 125 to prevent the adhesive material 130 directly contacting the sidewalls. In some embodiments, the adhesive material 130 may exhibit a fluid-like material property such that the trenches 120 with a high aspect ratio (e.g., a relatively narrow opening with a relatively deep trench bottom) can be filled. Further, the substrate 105 in FIG. 1D has been flipped to depict the back side 107 above the front side 106.
  • FIG. 1E illustrates a cross-sectional diagram of the substrate 105, after a carrier substrate 135 (or a support substrate) has been bonded using the adhesive material 130 on the front side 106. The carrier substrate 135 may mechanically support the substrate 105 (and the semiconductor dies 115) during subsequent process steps to be performed on the back side 107—e.g., process steps described with reference to FIGS. 1F through 1J. Further, the adhesive material 130 can be densified (e.g., set, cured) such that the adhesive material 130 becomes suitable for the subsequent processing steps. In some embodiments, a thermal process may be applied to the adhesive material 130 (e.g., thermally setting the adhesive material 130). Additionally, or alternatively, a chemical process may be applied to the adhesive material 130 (e.g., chemically setting the adhesive material 130).
  • FIG. 1F illustrates a cross-sectional diagram of the substrate 105, after a first portion of the substrate 105 has been removed from the back side 107 of the substrate 105 (as indicated with arrows). In some embodiments, a backgrind and/or a chemical mechanical polishing (CMP) process (e.g., a first process) may be performed to remove the bulk of the substrate 105—e.g., thinning the substrate 105 from approximately 700 μm (e.g., within 10% of 700 μm) to approximately 100 μm (e.g., within 10% of 100 μm) or less. In other embodiments, a different process (e.g., an etch process) may be performed to remove the bulk of the substrate 105, which a person skilled in the art of semiconductor fabrication may be familiar with.
  • FIG. 1G illustrates a cross-sectional diagram of the substrate 105, after a second portion of the substrate 105 has been removed from the back side 107 of the substrate 105. In some embodiments, an etch process (e.g., a second process) may be performed to the back side 107 after the bulk of the substrate 105 has been removed (e.g., using the CMP process described with reference to FIG. 1F). The etch process may be configured to expose the adhesive material 130 in the trenches 120 from the back side 107 as a result of removing the second portion of the substrate 105. Further, the etch process may be devised to expose one or more through-silicon vias (TSVs) 140 of the semiconductor dies 115 from the back side 107. The TSVs are coupled with an integrated circuit 141 formed on the front side 106 of the substrate 105, and configured to provide one or more electrical connections for the integrated circuit 141 on the back side 107. In some embodiments, the back side 107 of the substrate 105 may be recessed with respect to the exposed adhesive material 130 after removing the second portion of the substrate 105. As such, the second process (e.g., the etch process) may be configured to remove the semiconductor substrate 105 at a first removal rate and the adhesive material 130 (and/or the first dielectric layer 125) at a second removal rate that is less than the first removal rate.
  • It should be appreciated that, after completing the second process to expose the adhesive material 130 in the trenches 120 from the back side 107 (e.g., when the etch front proceeds past the bottom of trenches 120 from the back side 107), the semiconductor dies 115 are separated from the substrate 105 because the depth of the trenches 120 is determined to be greater than the final thickness of the semiconductor dies 115 (e.g., the thickness of the semiconductor dies 115 at the completion of the etch process). In other words, individual semiconductor dies 115 are separated from the substrate 105 because the portion of the substrate 105 common to all semiconductor dies 115 no longer exist as a result of completing the second process (e.g., the etch process). Thereafter, individual semiconductor dies 115 are held to each other and to the carrier substrate 135 by the adhesive material 130. In this manner, a combination of forming trenches 120 on the front side 106 of the substrate 105 and thinning the substrate 105 from the back side 107 past the bottom of the trenches 120 accomplishes separating the semiconductor dies 115 from the substrate 105, thereby eliminating dicing steps that physically sever the semiconductor dies 115 from the substrate 105.
  • Still referring to FIG. 1G, as the substrate 105 common to the semiconductor dies 115 no longer exists and the semiconductor dies 115 are coupled with each other by the adhesive material 130, a warpage of the substrate 105 may be avoided during the subsequent process steps to be performed on the back side 107 of the semiconductor dies 115. In other words, pressure (or force) that may be exerted on the semiconductor dies 115 through the wafer back side processing steps may be at least partially absorbed by the adhesive material 130 in lieu of subjecting the substrate 105 to the pressure (or force) that may generate defects (e.g., slippage, crystalline dislocations) in the substrate 105—e.g., during de-bonding step.
  • As described herein, various process steps associated with forming trenches 120 filled with the adhesive material 130 (and the first dielectric layer 125) and thinning the substrate 105 from the back side 107 of the substrate 105 include conventional semiconductor process steps that may be performed in semiconductor fabrication environments (e.g., a clean room environment). The clean room process steps are inherently cleaner than a conventional dicing process involving mechanical dicing of the substrate 105. Thus, the semiconductor dies 115 separated from the substrate 105 in accordance with the present technology may benefit from the clean room process steps, such as reduced particles, debris, contaminants, damages, cracks, or the like, to improve yield and reliability of the semiconductor dies 115. Moreover, the final thickness of the semiconductor dies 115 may be thinner than that of the semiconductor dies 115 separated by the conventional dicing process—e.g., the semiconductor dies 115 may not have to maintain a certain thickness to sustain various forces during the dicing process. Thinner semiconductor dies 115 may reduce package heights and/or facilitate utilizing an advanced packaging technique (e.g., combination bonding) for the semiconductor dies 115.
  • Further, when compared with a dicing technique, the clean room process steps may be more compatible with integrating new materials (e.g., low-k dielectric material, extreme low-k dielectric material) that may be essential for advanced semiconductor devices. Additionally, the present technology may reduce a production cost of the semiconductor dies 115 because of the nature of wafer level processes that concurrently separates all the semiconductor dies 115 from the substrate 105, instead of having a saw cutting a row (or a column) of semiconductor dies 115, one row (or column) at a time. Other benefits of the present technology may include a flexible placement of the semiconductor dies 115 on the substrate 105 (which may be referred to as a wafer map of semiconductor dies) to increase a total quantity of semiconductor dies as the trenches 120 are not required to form straight lines (as in dicing lanes). For example, one or more rows (or columns) of semiconductor dies 115 may be shifted with respect to neighboring rows (or columns) of semiconductor dies 115 such that a quantity of partial dies around the perimeter of the wafer may be reduced. Moreover, the present technology may facilitate variations in shapes and sizes of the semiconductor dies 115 within a semiconductor wafer. For example, individual semiconductor dies 115 may be in a hexagonal shape (or different shapes other than conventional rectangular shape)—e.g., the hexagonal shape may increase a total quantity of memory dies that can be placed in a semiconductor wafer or provide an efficient layout of various components within the semiconductor die.
  • FIG. 1H illustrates a cross-sectional diagram of the semiconductor dies 115 attached to the carrier substrate 135, after a second dielectric layer 145 has been formed on the back side 107. The second dielectric layer 145 can be formed by performing one or more process steps, such as deposition processes (e.g., CVD and/or PVD processes) described with reference to FIG. 1B. The second dielectric layer 145 may include various dielectric materials, such as an oxide, a nitride, an oxynitride, or a combination thereof. In some example embodiments, the second dielectric layer 145 may include a composite layer having a nitride and an oxide formed at a relatively low temperature (which may be referred to as low temperature nitride and oxide (LTNO)). In other example embodiments, the second dielectric layer 145 may include a silicon nitride (SiN) layer and/or a layer of tetraethyl orthosilicate (TEOS). The second dielectric layer 145 may protect the back side 107 of individual semiconductor dies 115 from contaminants (e.g., copper) and/or during subsequent processing steps—e.g., one or more cleaning steps to remove the adhesive material 130, forming conductive components (e.g., under-bump metallization (UBM) structures for the TSVs 140). After forming the second dielectric layer 145, the TSVs 140 may be buried within the second dielectric layer 145. Further, an interface 150 may form between the second dielectric layer 145 and the first dielectric layer 125 (and/or the adhesive material 130).
  • FIG. 1I illustrates a cross-sectional diagram of the semiconductor dies 115 attached to the carrier substrate 135, after a portion of the second dielectric layer 145 has been removed to expose the TSVs 140 of the semiconductor dies 115 from the back side 107. In some embodiments, a CMP process may be performed to remove the portion of the second dielectric layer 145 to expose the TSVs 140 of the semiconductor dies 115. In other embodiments, an etch process may be performed to remove the portion of the second dielectric layer 145 to expose the TSVs 140 of the semiconductor dies 115. The interface 150 between the first dielectric layer 125 and the second dielectric layer 145 may remain after the CMP process step (or the etch process step).
  • In some embodiments, after exposing the TSVs 140 on the surface of the second dielectric layer 145, one or more process steps may be performed on the back side 107 to form conductive components—e.g., UBM structures corresponding to the TSVs 140 to facilitate stacking of the semiconductor dies 115. Such process steps may include additional deposition process steps (e.g., forming one or more metallic/conductive layers), photolithography process steps (e.g., defining UBM structures corresponding to the TSVs 140), etch process steps (e.g., removing excessive metallic/conductive materials where unnecessary), clean process steps (e.g., removing photo resists, removing various by-products generated during etch process steps), among others. The adhesive material 130, once cured (e.g., thermally set as described with reference to FIG. 1E), may exhibit material properties (e.g., modulus of rigidity) sufficient to sustain its structural and/or compositional integrity during the process steps—e.g., remaining within the trenches 120. The adhesive material 130, however, may be removed using a specific solvent that selectively dissolves the adhesive material 130.
  • FIG. 1J illustrates a cross-sectional diagram of the semiconductor dies 115 attached to the carrier substrate 135, after the adhesive material 130 within the trenches 120 has been partially removed from the back side 107 using a cleaning process (e.g., using the specific solvent that dissolves the adhesive material 130). Removing the portion of the adhesive material 130 at this stage facilitates completely removing the adhesive material 130 from the trenches 120 as described with reference to FIG. 1L. In some embodiments, this cleaning step may be omitted.
  • FIG. 1K illustrates a cross-sectional diagram of the semiconductor dies 115 attached to a sheet of film frame 155, after the carrier substrate 135 has been detached (de-bonded) from the semiconductor dies 115 (e.g., by removing the adhesive material 130 between the carrier substrate 135 and the semiconductor dies 115). Further, the semiconductor dies 115 in FIG. 1K has been flipped to depict the front side 106 above the back side 107. FIG. 1K also depicts remaining adhesive material 130 within the trenches 120.
  • FIG. 1L illustrates a cross-sectional diagram of the semiconductor dies 115 attached to the sheet of film frame 155, after the adhesive material 130 in the trenches 120 has been removed. Subsequently, individual dies 115 may be tested for their functionality and picked up from the sheet of film frame 155 for further processing, e.g., stacking multiple semiconductor dies 115 to form a semiconductor die assembly.
  • Each semiconductor die 115 may include an integrated circuit (e.g., the integrated circuit 141) formed on a front side (e.g., the front side 106) of a semiconductor substrate, a first dielectric layer (e.g., the first dielectric layer 125) on a sidewall of the semiconductor substrate, and a second dielectric layer (e.g., the second dielectric layer 145) on a back side (e.g., the back side 107) of the semiconductor substrate opposite to the front side, where the second dielectric layer may be discontinuous from the first dielectric layer (e.g., due to the interface 150 between the first dielectric layer 125 and the second dielectric layer 145). In some embodiments, the first dielectric layer includes at least two dielectric materials—e.g., an oxide layer in contact with the sidewall of the semiconductor substrate and a nitride layer in contact with the oxide layer. In some embodiments, the first dielectric layer includes a first dielectric material (e.g., oxide), and the second dielectric layer includes a second dielectric material (e.g., nitride) different from the first dielectric material. In some embodiments, the first and second dielectric materials include an oxide, a nitride, an oxynitride, or a combination thereof. In some embodiments, the semiconductor die 115 may include one or more vias (e.g., TSVs 140) extending from the front side of the semiconductor substrate past the second dielectric material on the back side, where the one or more vias are coupled with the integrated circuit and configured to provide one or more electrical connections for the integrated circuit on a surface of the second dielectric material (e.g., the second dielectric layer 145).
  • FIG. 2 is a flowchart 200 illustrating a method of protecting edges of semiconductor dies in accordance with an embodiment of the present technology. The flowchart 200 may include aspects of methods as described with reference to FIGS. 1A through 1L.
  • The method includes forming a plurality of trenches on a front side of a substrate including a plurality of semiconductor dies, where individual trenches of the plurality correspond to scribe lines of the substrate (box 210). The method further includes filling each of the plurality of trenches with an adhesive material (box 215). The method further includes thinning the substrate from a back side of the substrate (box 220). The method further includes removing the adhesive material to singulate individual semiconductor dies of the plurality (box 225).
  • In some embodiments, forming the plurality of trenches includes performing an etch process on the front side of the substrate. In some embodiments, each trench of the plurality of trenches includes a depth greater than a thickness of the singulated individual semiconductor dies. In some embodiments, the method may further include forming a first dielectric layer on sidewalls of the plurality of trenches, prior to filling each of the plurality of trenches with the adhesive material. In some embodiments, the method may further include attaching, prior to thinning the substrate from the back side, a carrier substrate to the substrate using the adhesive material on the front side of the substrate.
  • In some embodiments, thinning the substrate from the back side includes exposing the adhesive material in each of the plurality of trenches from the back side of the substrate. In some embodiments, the method may further include forming, after thinning the substrate from the back side, a second dielectric layer on the back side of the substrate, and removing at least a portion of the second dielectric layer to expose the adhesive material in each of the plurality of trenches. In some embodiments, removing at least the portion of the second dielectric layer also exposes one or more through-silicon vias (TSVs) of the plurality of semiconductor dies. In some embodiments, the method may further include attaching a sheet of film frame to the second dielectric layer remaining on the back side of the substrate, prior to removing the adhesive material.
  • FIG. 3 is a flowchart 300 illustrating a method of protecting edges of semiconductor dies in accordance with an embodiment of the present technology. The flowchart 300 may include aspects of methods as described with reference to FIGS. 1A through 1L.
  • The method includes forming a plurality of trenches on a front side of a semiconductor substrate including a plurality of semiconductor dies, each trench of the plurality of trenches having a depth greater than a final thickness of individual semiconductor dies (box 310). The method further includes forming a first dielectric layer on sidewalls of the plurality of trenches (box 315). The method further includes filling each of the plurality of trenches with an adhesive material that coats the front side of the semiconductor substrate (box 320). The method further includes thinning the semiconductor substrate from a back side of the semiconductor substrate to the final thickness (box 325). The method further includes removing the adhesive material to singulate individual semiconductor dies (box 330).
  • In some embodiments, forming the first dielectric layer on the sidewalls further comprises forming the first dielectric layer on the front side of the semiconductor substrate including the plurality of trenches, and performing an etch process on the front side of the semiconductor substrate to remove the first dielectric layer from the front side of the semiconductor substrate and from the bottom of individual trenches of the plurality. In some embodiments, the method may further comprise attaching, prior to thinning the semiconductor substrate, a carrier substrate to the semiconductor substrate using the adhesive material on the front side of the semiconductor substrate.
  • In some embodiments, thinning the semiconductor substrate from the back side further comprises removing a first portion of the semiconductor substrate from the back side using a first process without exposing the adhesive material in the trenches, and removing, after removing the first portion, a second portion of the semiconductor substrate from the back side using a second process to expose the adhesive material in the trenches as a result of removing the second portion of the semiconductor substrate. In some embodiments, the second process is configured to remove the semiconductor substrate at a first removal rate and the adhesive material at a second removal rate less than the first removal rate. In some embodiments, the back side of the semiconductor substrate is recessed with respect to the exposed adhesive material after removing the second portion.
  • It should be noted that the methods described above describe possible implementations, and that the operations and the steps may be rearranged or otherwise modified and that other implementations are possible. Furthermore, embodiments from two or more of the methods may be combined.
  • From the foregoing, it will be appreciated that specific embodiments of the technology have been described herein for purposes of illustration, but that various modifications may be made without deviating from the disclosure. For example, although the foregoing example process sequence illustrates the first process (e.g., the CMP process) achieving the results depicted in FIG. 1F and the second process (e.g., the etch process) achieving the results depicted in FIG. 1G as two separate process steps utilizing two different process modules (e.g., CMP module and etch module), the present technology is not limited thereto. Namely, process steps to thin the substrate 105 to expose the adhesive material 130 (and the TSVs 140) from the back side 107 may be performed without using two different process modules.
  • For example, after removing the bulk of the substrate 105 using the CMP process to achieve the results depicted in FIG. 1F (e.g., based on a total CMP process time using a previously established substrate removal rate), various process parameters for the CMP process may be modified (e.g., using a different slurry, changing pressures associated with a wafer chuck and/or a stage of the CMP tool, tweaking rotation speeds/directions of the wafer chuck and/or the stage, etc.) to reduce the substrate removal rate such that the CMP process may continue to remove the substrate 105 with a fine-tuned removal rate to expose the adhesive material 130 to achieve the results depicted in FIG. 1G, thereby without switching to an etch process. Additionally, or alternatively, the CMP process may utilize an endpoint mechanism based on detecting a change in friction monitored by a motor of the CMP tool when the first dielectric layer 125 and the adhesive material 130 is exposed. Such an endpoint mechanism may indicate that the CMP process has reached to the bottom of trenches 120 from the back side 107, at least in certain areas of the substrate 105 such that the CMP process can be fine-tuned thereafter to precisely control the removal rate. In addition, certain aspects of the present technology described in the context of particular embodiments may also be combined or eliminated in other embodiments.
  • The devices discussed herein, including a semiconductor device, may be formed on a semiconductor substrate or die, such as silicon, germanium, silicon-germanium alloy, gallium arsenide, gallium nitride, etc. In some cases, the substrate is a semiconductor wafer. In other cases, the substrate may be a silicon-on-insulator (SOI) substrate, such as silicon-on-glass (SOG) or silicon-on-sapphire (SOP), or epitaxial layers of semiconductor materials on another substrate. The conductivity of the substrate, or sub-regions of the substrate, may be controlled through doping using various chemical species including, but not limited to, phosphorous, boron, or arsenic. Doping may be performed during the initial formation or growth of the substrate, by ion-implantation, or by any other doping means.
  • As used herein, including in the claims, “or” as used in a list of items (for example, a list of items prefaced by a phrase such as “at least one of” or “one or more of”) indicates an inclusive list such that, for example, a list of at least one of A, B, or C means A or B or C or AB or AC or BC or ABC (i.e., A and B and C). Also, as used herein, the phrase “based on” shall not be construed as a reference to a closed set of conditions. For example, an exemplary step that is described as “based on condition A” may be based on both a condition A and a condition B without departing from the scope of the present disclosure. In other words, as used herein, the phrase “based on” shall be construed in the same manner as the phrase “based at least in part on.”
  • From the foregoing, it will be appreciated that specific embodiments of the invention have been described herein for purposes of illustration, but that various modifications may be made without deviating from the scope of the invention. Rather, in the foregoing description, numerous specific details are discussed to provide a thorough and enabling description for embodiments of the present technology. One skilled in the relevant art, however, will recognize that the disclosure can be practiced without one or more of the specific details. In other instances, well-known structures or operations often associated with memory systems and devices are not shown, or are not described in detail, to avoid obscuring other aspects of the technology. In general, it should be understood that various other devices, systems, and methods in addition to those specific embodiments disclosed herein may be within the scope of the present technology.

Claims (12)

What is claimed is:
1. A semiconductor device, comprising:
an integrated circuit formed on a front side of a semiconductor substrate;
a first dielectric layer on a sidewall of the semiconductor substrate; and
a second dielectric layer on a back side of the semiconductor substrate opposite to the front side, the second dielectric layer discontinuous from the first dielectric layer.
2. The semiconductor device of claim 1, wherein the first dielectric layer includes at least two dielectric materials.
3. The semiconductor device of claim 1, wherein:
the first dielectric layer includes a first dielectric material; and
the second dielectric layer includes a second dielectric material different from the first dielectric material.
4. The semiconductor device of claim 3, wherein the first and second dielectric materials include an oxide, a nitride, an oxynitride, or a combination thereof.
5. The semiconductor device of claim 1, further comprising:
one or more vias extending from the front side of the semiconductor substrate past the second dielectric material on the back side, the one or more vias coupled with the integrated circuit and configured to provide one or more electrical connections for the integrated circuit on a surface of the second dielectric material.
6. The semiconductor device of claim 1, wherein the first and second dielectric layers share an interface vertically aligned with the sidewall of the semicondutor device.
7. A semiconductor device, comprising:
an integrated circuit formed on a front side of a semiconductor substrate;
a first dielectric layer on a back side of the semiconductor substrate opposite to the front side; and
a second dielectric layer on a sidewall of the semiconductor substrate and directly contacting the first dielectric layer at a substantially vertical interface coplanar with the sidewall of the semiconductor device.
8. The semiconductor device of claim 7, wherein the second dielectric layer is discontinuous from the first dielectric layer.
9. The semiconductor device of claim 7, wherein the second dielectric layer includes at least two dielectric materials.
10. The semiconductor device of claim 7, wherein:
the first dielectric layer includes a first dielectric material; and
the second dielectric layer includes a second dielectric material different from the first dielectric material.
11. The semiconductor device of claim 10, wherein the first and second dielectric materials include an oxide, a nitride, an oxynitride, or a combination thereof.
12. The semiconductor device of claim 7, further comprising:
one or more vias extending from the front side of the semiconductor substrate past the second dielectric material on the back side, the one or more vias coupled with the integrated circuit and configured to provide one or more electrical connections for the integrated circuit on a surface of the second dielectric material.
US18/368,449 2020-07-08 2023-09-14 Method for semiconductor die edge protection and semiconductor die separation Pending US20240006223A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US18/368,449 US20240006223A1 (en) 2020-07-08 2023-09-14 Method for semiconductor die edge protection and semiconductor die separation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/923,754 US11764096B2 (en) 2020-07-08 2020-07-08 Method for semiconductor die edge protection and semiconductor die separation
US18/368,449 US20240006223A1 (en) 2020-07-08 2023-09-14 Method for semiconductor die edge protection and semiconductor die separation

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/923,754 Continuation US11764096B2 (en) 2020-07-08 2020-07-08 Method for semiconductor die edge protection and semiconductor die separation

Publications (1)

Publication Number Publication Date
US20240006223A1 true US20240006223A1 (en) 2024-01-04

Family

ID=79173812

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/923,754 Active 2040-10-06 US11764096B2 (en) 2020-07-08 2020-07-08 Method for semiconductor die edge protection and semiconductor die separation
US18/368,449 Pending US20240006223A1 (en) 2020-07-08 2023-09-14 Method for semiconductor die edge protection and semiconductor die separation

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/923,754 Active 2040-10-06 US11764096B2 (en) 2020-07-08 2020-07-08 Method for semiconductor die edge protection and semiconductor die separation

Country Status (2)

Country Link
US (2) US11764096B2 (en)
CN (1) CN113921467A (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220029987A (en) * 2020-09-02 2022-03-10 에스케이하이닉스 주식회사 Three dimensional semiconductor device

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6709953B2 (en) * 2002-01-31 2004-03-23 Infineon Technologies Ag Method of applying a bottom surface protective coating to a wafer, and wafer dicing method
US6908784B1 (en) * 2002-03-06 2005-06-21 Micron Technology, Inc. Method for fabricating encapsulated semiconductor components
US8153464B2 (en) * 2005-10-18 2012-04-10 International Rectifier Corporation Wafer singulation process
US8058150B2 (en) * 2008-07-10 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Particle free wafer separation
JP6324743B2 (en) * 2014-01-31 2018-05-16 住友電工デバイス・イノベーション株式会社 Manufacturing method of semiconductor device
US10529671B2 (en) * 2016-12-13 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
TWI668771B (en) * 2018-09-28 2019-08-11 典琦科技股份有限公司 Method for manufacturing chip package
US10658316B2 (en) * 2018-10-02 2020-05-19 Globalfoundries Singapore Pte. Ltd. Bond pad reliability of semiconductor devices
US20210296194A1 (en) * 2020-03-18 2021-09-23 Advanced Micro Devices, Inc Molded semiconductor chip package with stair-step molding layer

Also Published As

Publication number Publication date
CN113921467A (en) 2022-01-11
US20220013401A1 (en) 2022-01-13
US11764096B2 (en) 2023-09-19

Similar Documents

Publication Publication Date Title
TWI736791B (en) Semiconductor structures and forming method thereof
US11735568B2 (en) Semiconductor die stacks and associated systems and methods
US8097955B2 (en) Interconnect structures and methods
EP2596526B1 (en) Metal-contamination -free through-substrate via structure
US11715674B2 (en) Trim wall protection method for multi-wafer stacking
US11152276B2 (en) Trim wall protection method for multi-wafer stacking
US8906803B2 (en) Method of forming through substrate vias (TSVs) and singulating and releasing die having the TSVs from a mechanical support substrate
US20240006223A1 (en) Method for semiconductor die edge protection and semiconductor die separation
US20150179580A1 (en) Hybrid interconnect structure and method for fabricating the same
US10818570B1 (en) Stacked semiconductor devices having dissimilar-sized dies
EP4135020A2 (en) Bond pads for semiconductor die assemblies and associated methods and systems
US11955345B2 (en) Encapsulation warpage reduction for semiconductor die assemblies and associated methods and systems
US11776908B2 (en) Semiconductor die edge protection for semiconductor device assemblies and associated systems and methods
CN115732429A (en) Polymer coated semiconductor devices and hybrid junctions for forming semiconductor assemblies
TWI597803B (en) Electronic package that includes a plurality of integrated circuit devices bonded in a three-dimensional stack arrangement and methods of creating the same
CN114864545A (en) Method for manufacturing semiconductor device
US20230068435A1 (en) Semiconductor die assemblies with sidewall protection and associated methods and systems
WO2022252087A1 (en) Method of manufacturing active reconstructed wafers
US20240038686A1 (en) Semiconductor packages and methods of manufacturing thereof
US20230352369A1 (en) Through-substrate vias with metal plane layers and methods of manufacturing the same
US20230275012A1 (en) Dielectric anchors for anchoring a conductive pillar
US20230136202A1 (en) Semiconductor device assemblies including monolithic silicon structures for thermal dissipation and methods of making the same
US20230139914A1 (en) Semiconductor device assemblies including monolithic silicon structures for thermal dissipation and methods of making the same
US20230352406A1 (en) Stacked semiconductor devices with topside and backside interconnect wiring
US20230139175A1 (en) Semiconductor device assemblies including monolithic silicon structures for thermal dissipation and methods of making the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WIRZ, BRANDON P.;BAYLESS, ANDREW M.;SIGNING DATES FROM 20200703 TO 20200706;REEL/FRAME:064911/0236